Add initial GDS files

Signed-off-by: Matthew Ballance <matt.ballance@gmail.com>
diff --git a/Makefile b/Makefile
index 6c51043..1d2aa4a 100644
--- a/Makefile
+++ b/Makefile
@@ -16,6 +16,7 @@
 .PHONY: verify
 verify:
 	echo "verify"
+#	$(MAKE) -C dv clean
 
 
 
diff --git a/def/fwpayload.def.gz b/def/fwpayload.def.gz
new file mode 100644
index 0000000..fffb1a4
--- /dev/null
+++ b/def/fwpayload.def.gz
Binary files differ
diff --git a/gds/fwpayload.gds.gz b/gds/fwpayload.gds.gz
new file mode 100644
index 0000000..0533b27
--- /dev/null
+++ b/gds/fwpayload.gds.gz
Binary files differ
diff --git a/info.yaml b/info.yaml
index 79c4971..2902161 100644
--- a/info.yaml
+++ b/info.yaml
@@ -13,7 +13,7 @@
     - "FWPayload"
   category: "Processor"
   top_level_netlist: "verilog/rtl/caravel.v"
-  user_level_netlist: "verilog/rtl/user_project_wrapper.v"
+  user_level_netlist: "verilog/gl/user_project_wrapper.v"
   version: "1.00"
   cover_image: "doc/images/fwpayload_diagram.png"
-  
\ No newline at end of file
+  
diff --git a/lef/fwpayload.lef b/lef/fwpayload.lef
new file mode 100644
index 0000000..b1a1bc2
--- /dev/null
+++ b/lef/fwpayload.lef
@@ -0,0 +1,5031 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO fwpayload
+  CLASS BLOCK ;
+  FOREIGN fwpayload ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 1500.000 BY 2000.000 ;
+  PIN io_in[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 20.440 1500.000 21.040 ;
+    END
+  END io_in[0]
+  PIN io_in[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1353.240 1500.000 1353.840 ;
+    END
+  END io_in[10]
+  PIN io_in[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1478.360 1500.000 1478.960 ;
+    END
+  END io_in[11]
+  PIN io_in[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1603.480 1500.000 1604.080 ;
+    END
+  END io_in[12]
+  PIN io_in[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1728.600 1500.000 1729.200 ;
+    END
+  END io_in[13]
+  PIN io_in[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1895.200 1500.000 1895.800 ;
+    END
+  END io_in[14]
+  PIN io_in[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1473.010 1997.600 1473.290 2000.000 ;
+    END
+  END io_in[15]
+  PIN io_in[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1258.650 1997.600 1258.930 2000.000 ;
+    END
+  END io_in[16]
+  PIN io_in[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1098.110 1997.600 1098.390 2000.000 ;
+    END
+  END io_in[17]
+  PIN io_in[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 937.110 1997.600 937.390 2000.000 ;
+    END
+  END io_in[18]
+  PIN io_in[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 776.570 1997.600 776.850 2000.000 ;
+    END
+  END io_in[19]
+  PIN io_in[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 144.880 1500.000 145.480 ;
+    END
+  END io_in[1]
+  PIN io_in[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 616.030 1997.600 616.310 2000.000 ;
+    END
+  END io_in[20]
+  PIN io_in[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 455.030 1997.600 455.310 2000.000 ;
+    END
+  END io_in[21]
+  PIN io_in[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 294.490 1997.600 294.770 2000.000 ;
+    END
+  END io_in[22]
+  PIN io_in[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 133.490 1997.600 133.770 2000.000 ;
+    END
+  END io_in[23]
+  PIN io_in[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1977.480 2.400 1978.080 ;
+    END
+  END io_in[24]
+  PIN io_in[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1759.880 2.400 1760.480 ;
+    END
+  END io_in[25]
+  PIN io_in[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1629.320 2.400 1629.920 ;
+    END
+  END io_in[26]
+  PIN io_in[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1498.760 2.400 1499.360 ;
+    END
+  END io_in[27]
+  PIN io_in[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1368.200 2.400 1368.800 ;
+    END
+  END io_in[28]
+  PIN io_in[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1238.320 2.400 1238.920 ;
+    END
+  END io_in[29]
+  PIN io_in[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 270.000 1500.000 270.600 ;
+    END
+  END io_in[2]
+  PIN io_in[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1107.760 2.400 1108.360 ;
+    END
+  END io_in[30]
+  PIN io_in[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 977.200 2.400 977.800 ;
+    END
+  END io_in[31]
+  PIN io_in[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 759.600 2.400 760.200 ;
+    END
+  END io_in[32]
+  PIN io_in[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 629.720 2.400 630.320 ;
+    END
+  END io_in[33]
+  PIN io_in[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 499.160 2.400 499.760 ;
+    END
+  END io_in[34]
+  PIN io_in[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 368.600 2.400 369.200 ;
+    END
+  END io_in[35]
+  PIN io_in[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 238.040 2.400 238.640 ;
+    END
+  END io_in[36]
+  PIN io_in[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 107.480 2.400 108.080 ;
+    END
+  END io_in[37]
+  PIN io_in[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 395.120 1500.000 395.720 ;
+    END
+  END io_in[3]
+  PIN io_in[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 520.240 1500.000 520.840 ;
+    END
+  END io_in[4]
+  PIN io_in[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 645.360 1500.000 645.960 ;
+    END
+  END io_in[5]
+  PIN io_in[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 769.800 1500.000 770.400 ;
+    END
+  END io_in[6]
+  PIN io_in[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 978.560 1500.000 979.160 ;
+    END
+  END io_in[7]
+  PIN io_in[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1103.680 1500.000 1104.280 ;
+    END
+  END io_in[8]
+  PIN io_in[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1228.120 1500.000 1228.720 ;
+    END
+  END io_in[9]
+  PIN io_oeb[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 103.400 1500.000 104.000 ;
+    END
+  END io_oeb[0]
+  PIN io_oeb[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1436.880 1500.000 1437.480 ;
+    END
+  END io_oeb[10]
+  PIN io_oeb[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1562.000 1500.000 1562.600 ;
+    END
+  END io_oeb[11]
+  PIN io_oeb[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1686.440 1500.000 1687.040 ;
+    END
+  END io_oeb[12]
+  PIN io_oeb[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1811.560 1500.000 1812.160 ;
+    END
+  END io_oeb[13]
+  PIN io_oeb[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1978.160 1500.000 1978.760 ;
+    END
+  END io_oeb[14]
+  PIN io_oeb[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1365.830 1997.600 1366.110 2000.000 ;
+    END
+  END io_oeb[15]
+  PIN io_oeb[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1151.470 1997.600 1151.750 2000.000 ;
+    END
+  END io_oeb[16]
+  PIN io_oeb[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 990.930 1997.600 991.210 2000.000 ;
+    END
+  END io_oeb[17]
+  PIN io_oeb[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 829.930 1997.600 830.210 2000.000 ;
+    END
+  END io_oeb[18]
+  PIN io_oeb[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 669.390 1997.600 669.670 2000.000 ;
+    END
+  END io_oeb[19]
+  PIN io_oeb[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 228.520 1500.000 229.120 ;
+    END
+  END io_oeb[1]
+  PIN io_oeb[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 508.850 1997.600 509.130 2000.000 ;
+    END
+  END io_oeb[20]
+  PIN io_oeb[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 347.850 1997.600 348.130 2000.000 ;
+    END
+  END io_oeb[21]
+  PIN io_oeb[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 187.310 1997.600 187.590 2000.000 ;
+    END
+  END io_oeb[22]
+  PIN io_oeb[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 26.770 1997.600 27.050 2000.000 ;
+    END
+  END io_oeb[23]
+  PIN io_oeb[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1890.440 2.400 1891.040 ;
+    END
+  END io_oeb[24]
+  PIN io_oeb[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1672.840 2.400 1673.440 ;
+    END
+  END io_oeb[25]
+  PIN io_oeb[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1542.280 2.400 1542.880 ;
+    END
+  END io_oeb[26]
+  PIN io_oeb[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1411.720 2.400 1412.320 ;
+    END
+  END io_oeb[27]
+  PIN io_oeb[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1281.840 2.400 1282.440 ;
+    END
+  END io_oeb[28]
+  PIN io_oeb[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1151.280 2.400 1151.880 ;
+    END
+  END io_oeb[29]
+  PIN io_oeb[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 353.640 1500.000 354.240 ;
+    END
+  END io_oeb[2]
+  PIN io_oeb[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1020.720 2.400 1021.320 ;
+    END
+  END io_oeb[30]
+  PIN io_oeb[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 890.160 2.400 890.760 ;
+    END
+  END io_oeb[31]
+  PIN io_oeb[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 673.240 2.400 673.840 ;
+    END
+  END io_oeb[32]
+  PIN io_oeb[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 542.680 2.400 543.280 ;
+    END
+  END io_oeb[33]
+  PIN io_oeb[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 412.120 2.400 412.720 ;
+    END
+  END io_oeb[34]
+  PIN io_oeb[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 281.560 2.400 282.160 ;
+    END
+  END io_oeb[35]
+  PIN io_oeb[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 151.000 2.400 151.600 ;
+    END
+  END io_oeb[36]
+  PIN io_oeb[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 21.120 2.400 21.720 ;
+    END
+  END io_oeb[37]
+  PIN io_oeb[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 478.080 1500.000 478.680 ;
+    END
+  END io_oeb[3]
+  PIN io_oeb[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 603.200 1500.000 603.800 ;
+    END
+  END io_oeb[4]
+  PIN io_oeb[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 728.320 1500.000 728.920 ;
+    END
+  END io_oeb[5]
+  PIN io_oeb[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 853.440 1500.000 854.040 ;
+    END
+  END io_oeb[6]
+  PIN io_oeb[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1061.520 1500.000 1062.120 ;
+    END
+  END io_oeb[7]
+  PIN io_oeb[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1186.640 1500.000 1187.240 ;
+    END
+  END io_oeb[8]
+  PIN io_oeb[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1311.760 1500.000 1312.360 ;
+    END
+  END io_oeb[9]
+  PIN io_out[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 61.920 1500.000 62.520 ;
+    END
+  END io_out[0]
+  PIN io_out[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1394.720 1500.000 1395.320 ;
+    END
+  END io_out[10]
+  PIN io_out[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1519.840 1500.000 1520.440 ;
+    END
+  END io_out[11]
+  PIN io_out[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1644.960 1500.000 1645.560 ;
+    END
+  END io_out[12]
+  PIN io_out[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1770.080 1500.000 1770.680 ;
+    END
+  END io_out[13]
+  PIN io_out[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1936.680 1500.000 1937.280 ;
+    END
+  END io_out[14]
+  PIN io_out[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1419.650 1997.600 1419.930 2000.000 ;
+    END
+  END io_out[15]
+  PIN io_out[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1205.290 1997.600 1205.570 2000.000 ;
+    END
+  END io_out[16]
+  PIN io_out[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1044.290 1997.600 1044.570 2000.000 ;
+    END
+  END io_out[17]
+  PIN io_out[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 883.750 1997.600 884.030 2000.000 ;
+    END
+  END io_out[18]
+  PIN io_out[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 723.210 1997.600 723.490 2000.000 ;
+    END
+  END io_out[19]
+  PIN io_out[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 187.040 1500.000 187.640 ;
+    END
+  END io_out[1]
+  PIN io_out[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 562.210 1997.600 562.490 2000.000 ;
+    END
+  END io_out[20]
+  PIN io_out[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 401.670 1997.600 401.950 2000.000 ;
+    END
+  END io_out[21]
+  PIN io_out[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 240.670 1997.600 240.950 2000.000 ;
+    END
+  END io_out[22]
+  PIN io_out[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 80.130 1997.600 80.410 2000.000 ;
+    END
+  END io_out[23]
+  PIN io_out[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1933.960 2.400 1934.560 ;
+    END
+  END io_out[24]
+  PIN io_out[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1716.360 2.400 1716.960 ;
+    END
+  END io_out[25]
+  PIN io_out[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1585.800 2.400 1586.400 ;
+    END
+  END io_out[26]
+  PIN io_out[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1455.240 2.400 1455.840 ;
+    END
+  END io_out[27]
+  PIN io_out[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1325.360 2.400 1325.960 ;
+    END
+  END io_out[28]
+  PIN io_out[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1194.800 2.400 1195.400 ;
+    END
+  END io_out[29]
+  PIN io_out[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 311.480 1500.000 312.080 ;
+    END
+  END io_out[2]
+  PIN io_out[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1064.240 2.400 1064.840 ;
+    END
+  END io_out[30]
+  PIN io_out[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 933.680 2.400 934.280 ;
+    END
+  END io_out[31]
+  PIN io_out[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 716.080 2.400 716.680 ;
+    END
+  END io_out[32]
+  PIN io_out[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 586.200 2.400 586.800 ;
+    END
+  END io_out[33]
+  PIN io_out[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 455.640 2.400 456.240 ;
+    END
+  END io_out[34]
+  PIN io_out[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 325.080 2.400 325.680 ;
+    END
+  END io_out[35]
+  PIN io_out[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 194.520 2.400 195.120 ;
+    END
+  END io_out[36]
+  PIN io_out[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 63.960 2.400 64.560 ;
+    END
+  END io_out[37]
+  PIN io_out[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 436.600 1500.000 437.200 ;
+    END
+  END io_out[3]
+  PIN io_out[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 561.720 1500.000 562.320 ;
+    END
+  END io_out[4]
+  PIN io_out[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 686.840 1500.000 687.440 ;
+    END
+  END io_out[5]
+  PIN io_out[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 811.960 1500.000 812.560 ;
+    END
+  END io_out[6]
+  PIN io_out[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1020.040 1500.000 1020.640 ;
+    END
+  END io_out[7]
+  PIN io_out[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1145.160 1500.000 1145.760 ;
+    END
+  END io_out[8]
+  PIN io_out[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1270.280 1500.000 1270.880 ;
+    END
+  END io_out[9]
+  PIN la_data_in[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 325.770 0.000 326.050 2.400 ;
+    END
+  END la_data_in[0]
+  PIN la_data_in[100]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1243.930 0.000 1244.210 2.400 ;
+    END
+  END la_data_in[100]
+  PIN la_data_in[101]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1253.130 0.000 1253.410 2.400 ;
+    END
+  END la_data_in[101]
+  PIN la_data_in[102]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1262.330 0.000 1262.610 2.400 ;
+    END
+  END la_data_in[102]
+  PIN la_data_in[103]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1271.530 0.000 1271.810 2.400 ;
+    END
+  END la_data_in[103]
+  PIN la_data_in[104]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1280.730 0.000 1281.010 2.400 ;
+    END
+  END la_data_in[104]
+  PIN la_data_in[105]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1289.930 0.000 1290.210 2.400 ;
+    END
+  END la_data_in[105]
+  PIN la_data_in[106]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1299.130 0.000 1299.410 2.400 ;
+    END
+  END la_data_in[106]
+  PIN la_data_in[107]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1308.330 0.000 1308.610 2.400 ;
+    END
+  END la_data_in[107]
+  PIN la_data_in[108]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1317.530 0.000 1317.810 2.400 ;
+    END
+  END la_data_in[108]
+  PIN la_data_in[109]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1326.730 0.000 1327.010 2.400 ;
+    END
+  END la_data_in[109]
+  PIN la_data_in[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 417.770 0.000 418.050 2.400 ;
+    END
+  END la_data_in[10]
+  PIN la_data_in[110]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1335.930 0.000 1336.210 2.400 ;
+    END
+  END la_data_in[110]
+  PIN la_data_in[111]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1345.130 0.000 1345.410 2.400 ;
+    END
+  END la_data_in[111]
+  PIN la_data_in[112]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1354.330 0.000 1354.610 2.400 ;
+    END
+  END la_data_in[112]
+  PIN la_data_in[113]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1363.530 0.000 1363.810 2.400 ;
+    END
+  END la_data_in[113]
+  PIN la_data_in[114]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1372.730 0.000 1373.010 2.400 ;
+    END
+  END la_data_in[114]
+  PIN la_data_in[115]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1381.930 0.000 1382.210 2.400 ;
+    END
+  END la_data_in[115]
+  PIN la_data_in[116]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1391.130 0.000 1391.410 2.400 ;
+    END
+  END la_data_in[116]
+  PIN la_data_in[117]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1400.330 0.000 1400.610 2.400 ;
+    END
+  END la_data_in[117]
+  PIN la_data_in[118]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1409.530 0.000 1409.810 2.400 ;
+    END
+  END la_data_in[118]
+  PIN la_data_in[119]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1418.730 0.000 1419.010 2.400 ;
+    END
+  END la_data_in[119]
+  PIN la_data_in[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 426.970 0.000 427.250 2.400 ;
+    END
+  END la_data_in[11]
+  PIN la_data_in[120]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1427.930 0.000 1428.210 2.400 ;
+    END
+  END la_data_in[120]
+  PIN la_data_in[121]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1437.130 0.000 1437.410 2.400 ;
+    END
+  END la_data_in[121]
+  PIN la_data_in[122]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1446.330 0.000 1446.610 2.400 ;
+    END
+  END la_data_in[122]
+  PIN la_data_in[123]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1455.530 0.000 1455.810 2.400 ;
+    END
+  END la_data_in[123]
+  PIN la_data_in[124]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1464.730 0.000 1465.010 2.400 ;
+    END
+  END la_data_in[124]
+  PIN la_data_in[125]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1473.930 0.000 1474.210 2.400 ;
+    END
+  END la_data_in[125]
+  PIN la_data_in[126]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1483.130 0.000 1483.410 2.400 ;
+    END
+  END la_data_in[126]
+  PIN la_data_in[127]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1492.330 0.000 1492.610 2.400 ;
+    END
+  END la_data_in[127]
+  PIN la_data_in[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 436.170 0.000 436.450 2.400 ;
+    END
+  END la_data_in[12]
+  PIN la_data_in[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 444.910 0.000 445.190 2.400 ;
+    END
+  END la_data_in[13]
+  PIN la_data_in[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 454.110 0.000 454.390 2.400 ;
+    END
+  END la_data_in[14]
+  PIN la_data_in[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 463.310 0.000 463.590 2.400 ;
+    END
+  END la_data_in[15]
+  PIN la_data_in[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 472.510 0.000 472.790 2.400 ;
+    END
+  END la_data_in[16]
+  PIN la_data_in[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 481.710 0.000 481.990 2.400 ;
+    END
+  END la_data_in[17]
+  PIN la_data_in[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 490.910 0.000 491.190 2.400 ;
+    END
+  END la_data_in[18]
+  PIN la_data_in[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 500.110 0.000 500.390 2.400 ;
+    END
+  END la_data_in[19]
+  PIN la_data_in[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 334.970 0.000 335.250 2.400 ;
+    END
+  END la_data_in[1]
+  PIN la_data_in[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 509.310 0.000 509.590 2.400 ;
+    END
+  END la_data_in[20]
+  PIN la_data_in[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 518.510 0.000 518.790 2.400 ;
+    END
+  END la_data_in[21]
+  PIN la_data_in[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 527.710 0.000 527.990 2.400 ;
+    END
+  END la_data_in[22]
+  PIN la_data_in[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 536.910 0.000 537.190 2.400 ;
+    END
+  END la_data_in[23]
+  PIN la_data_in[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 546.110 0.000 546.390 2.400 ;
+    END
+  END la_data_in[24]
+  PIN la_data_in[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 555.310 0.000 555.590 2.400 ;
+    END
+  END la_data_in[25]
+  PIN la_data_in[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 564.510 0.000 564.790 2.400 ;
+    END
+  END la_data_in[26]
+  PIN la_data_in[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 573.710 0.000 573.990 2.400 ;
+    END
+  END la_data_in[27]
+  PIN la_data_in[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 582.910 0.000 583.190 2.400 ;
+    END
+  END la_data_in[28]
+  PIN la_data_in[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 592.110 0.000 592.390 2.400 ;
+    END
+  END la_data_in[29]
+  PIN la_data_in[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 344.170 0.000 344.450 2.400 ;
+    END
+  END la_data_in[2]
+  PIN la_data_in[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 601.310 0.000 601.590 2.400 ;
+    END
+  END la_data_in[30]
+  PIN la_data_in[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 610.510 0.000 610.790 2.400 ;
+    END
+  END la_data_in[31]
+  PIN la_data_in[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 619.710 0.000 619.990 2.400 ;
+    END
+  END la_data_in[32]
+  PIN la_data_in[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 628.910 0.000 629.190 2.400 ;
+    END
+  END la_data_in[33]
+  PIN la_data_in[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 638.110 0.000 638.390 2.400 ;
+    END
+  END la_data_in[34]
+  PIN la_data_in[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 647.310 0.000 647.590 2.400 ;
+    END
+  END la_data_in[35]
+  PIN la_data_in[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 656.510 0.000 656.790 2.400 ;
+    END
+  END la_data_in[36]
+  PIN la_data_in[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 665.710 0.000 665.990 2.400 ;
+    END
+  END la_data_in[37]
+  PIN la_data_in[38]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 674.910 0.000 675.190 2.400 ;
+    END
+  END la_data_in[38]
+  PIN la_data_in[39]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 684.110 0.000 684.390 2.400 ;
+    END
+  END la_data_in[39]
+  PIN la_data_in[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 353.370 0.000 353.650 2.400 ;
+    END
+  END la_data_in[3]
+  PIN la_data_in[40]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 693.310 0.000 693.590 2.400 ;
+    END
+  END la_data_in[40]
+  PIN la_data_in[41]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 702.510 0.000 702.790 2.400 ;
+    END
+  END la_data_in[41]
+  PIN la_data_in[42]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 711.250 0.000 711.530 2.400 ;
+    END
+  END la_data_in[42]
+  PIN la_data_in[43]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 720.450 0.000 720.730 2.400 ;
+    END
+  END la_data_in[43]
+  PIN la_data_in[44]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 729.650 0.000 729.930 2.400 ;
+    END
+  END la_data_in[44]
+  PIN la_data_in[45]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 738.850 0.000 739.130 2.400 ;
+    END
+  END la_data_in[45]
+  PIN la_data_in[46]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 748.050 0.000 748.330 2.400 ;
+    END
+  END la_data_in[46]
+  PIN la_data_in[47]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 757.250 0.000 757.530 2.400 ;
+    END
+  END la_data_in[47]
+  PIN la_data_in[48]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 766.450 0.000 766.730 2.400 ;
+    END
+  END la_data_in[48]
+  PIN la_data_in[49]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 775.650 0.000 775.930 2.400 ;
+    END
+  END la_data_in[49]
+  PIN la_data_in[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 362.570 0.000 362.850 2.400 ;
+    END
+  END la_data_in[4]
+  PIN la_data_in[50]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 784.850 0.000 785.130 2.400 ;
+    END
+  END la_data_in[50]
+  PIN la_data_in[51]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 794.050 0.000 794.330 2.400 ;
+    END
+  END la_data_in[51]
+  PIN la_data_in[52]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 803.250 0.000 803.530 2.400 ;
+    END
+  END la_data_in[52]
+  PIN la_data_in[53]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 812.450 0.000 812.730 2.400 ;
+    END
+  END la_data_in[53]
+  PIN la_data_in[54]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 821.650 0.000 821.930 2.400 ;
+    END
+  END la_data_in[54]
+  PIN la_data_in[55]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 830.850 0.000 831.130 2.400 ;
+    END
+  END la_data_in[55]
+  PIN la_data_in[56]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 840.050 0.000 840.330 2.400 ;
+    END
+  END la_data_in[56]
+  PIN la_data_in[57]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 849.250 0.000 849.530 2.400 ;
+    END
+  END la_data_in[57]
+  PIN la_data_in[58]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 858.450 0.000 858.730 2.400 ;
+    END
+  END la_data_in[58]
+  PIN la_data_in[59]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 867.650 0.000 867.930 2.400 ;
+    END
+  END la_data_in[59]
+  PIN la_data_in[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 371.770 0.000 372.050 2.400 ;
+    END
+  END la_data_in[5]
+  PIN la_data_in[60]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 876.850 0.000 877.130 2.400 ;
+    END
+  END la_data_in[60]
+  PIN la_data_in[61]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 886.050 0.000 886.330 2.400 ;
+    END
+  END la_data_in[61]
+  PIN la_data_in[62]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 895.250 0.000 895.530 2.400 ;
+    END
+  END la_data_in[62]
+  PIN la_data_in[63]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 904.450 0.000 904.730 2.400 ;
+    END
+  END la_data_in[63]
+  PIN la_data_in[64]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 913.650 0.000 913.930 2.400 ;
+    END
+  END la_data_in[64]
+  PIN la_data_in[65]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 922.850 0.000 923.130 2.400 ;
+    END
+  END la_data_in[65]
+  PIN la_data_in[66]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 932.050 0.000 932.330 2.400 ;
+    END
+  END la_data_in[66]
+  PIN la_data_in[67]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 941.250 0.000 941.530 2.400 ;
+    END
+  END la_data_in[67]
+  PIN la_data_in[68]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 950.450 0.000 950.730 2.400 ;
+    END
+  END la_data_in[68]
+  PIN la_data_in[69]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 959.650 0.000 959.930 2.400 ;
+    END
+  END la_data_in[69]
+  PIN la_data_in[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 380.970 0.000 381.250 2.400 ;
+    END
+  END la_data_in[6]
+  PIN la_data_in[70]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 968.850 0.000 969.130 2.400 ;
+    END
+  END la_data_in[70]
+  PIN la_data_in[71]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 977.590 0.000 977.870 2.400 ;
+    END
+  END la_data_in[71]
+  PIN la_data_in[72]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 986.790 0.000 987.070 2.400 ;
+    END
+  END la_data_in[72]
+  PIN la_data_in[73]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 995.990 0.000 996.270 2.400 ;
+    END
+  END la_data_in[73]
+  PIN la_data_in[74]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1005.190 0.000 1005.470 2.400 ;
+    END
+  END la_data_in[74]
+  PIN la_data_in[75]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1014.390 0.000 1014.670 2.400 ;
+    END
+  END la_data_in[75]
+  PIN la_data_in[76]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1023.590 0.000 1023.870 2.400 ;
+    END
+  END la_data_in[76]
+  PIN la_data_in[77]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1032.790 0.000 1033.070 2.400 ;
+    END
+  END la_data_in[77]
+  PIN la_data_in[78]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1041.990 0.000 1042.270 2.400 ;
+    END
+  END la_data_in[78]
+  PIN la_data_in[79]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1051.190 0.000 1051.470 2.400 ;
+    END
+  END la_data_in[79]
+  PIN la_data_in[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 390.170 0.000 390.450 2.400 ;
+    END
+  END la_data_in[7]
+  PIN la_data_in[80]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1060.390 0.000 1060.670 2.400 ;
+    END
+  END la_data_in[80]
+  PIN la_data_in[81]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1069.590 0.000 1069.870 2.400 ;
+    END
+  END la_data_in[81]
+  PIN la_data_in[82]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1078.790 0.000 1079.070 2.400 ;
+    END
+  END la_data_in[82]
+  PIN la_data_in[83]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1087.990 0.000 1088.270 2.400 ;
+    END
+  END la_data_in[83]
+  PIN la_data_in[84]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1097.190 0.000 1097.470 2.400 ;
+    END
+  END la_data_in[84]
+  PIN la_data_in[85]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1106.390 0.000 1106.670 2.400 ;
+    END
+  END la_data_in[85]
+  PIN la_data_in[86]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1115.590 0.000 1115.870 2.400 ;
+    END
+  END la_data_in[86]
+  PIN la_data_in[87]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1124.790 0.000 1125.070 2.400 ;
+    END
+  END la_data_in[87]
+  PIN la_data_in[88]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1133.990 0.000 1134.270 2.400 ;
+    END
+  END la_data_in[88]
+  PIN la_data_in[89]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1143.190 0.000 1143.470 2.400 ;
+    END
+  END la_data_in[89]
+  PIN la_data_in[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 399.370 0.000 399.650 2.400 ;
+    END
+  END la_data_in[8]
+  PIN la_data_in[90]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1152.390 0.000 1152.670 2.400 ;
+    END
+  END la_data_in[90]
+  PIN la_data_in[91]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1161.590 0.000 1161.870 2.400 ;
+    END
+  END la_data_in[91]
+  PIN la_data_in[92]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1170.790 0.000 1171.070 2.400 ;
+    END
+  END la_data_in[92]
+  PIN la_data_in[93]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1179.990 0.000 1180.270 2.400 ;
+    END
+  END la_data_in[93]
+  PIN la_data_in[94]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1189.190 0.000 1189.470 2.400 ;
+    END
+  END la_data_in[94]
+  PIN la_data_in[95]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1198.390 0.000 1198.670 2.400 ;
+    END
+  END la_data_in[95]
+  PIN la_data_in[96]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1207.590 0.000 1207.870 2.400 ;
+    END
+  END la_data_in[96]
+  PIN la_data_in[97]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1216.790 0.000 1217.070 2.400 ;
+    END
+  END la_data_in[97]
+  PIN la_data_in[98]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1225.990 0.000 1226.270 2.400 ;
+    END
+  END la_data_in[98]
+  PIN la_data_in[99]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1235.190 0.000 1235.470 2.400 ;
+    END
+  END la_data_in[99]
+  PIN la_data_in[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 408.570 0.000 408.850 2.400 ;
+    END
+  END la_data_in[9]
+  PIN la_data_out[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 328.990 0.000 329.270 2.400 ;
+    END
+  END la_data_out[0]
+  PIN la_data_out[100]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1247.150 0.000 1247.430 2.400 ;
+    END
+  END la_data_out[100]
+  PIN la_data_out[101]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1256.350 0.000 1256.630 2.400 ;
+    END
+  END la_data_out[101]
+  PIN la_data_out[102]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1265.550 0.000 1265.830 2.400 ;
+    END
+  END la_data_out[102]
+  PIN la_data_out[103]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1274.750 0.000 1275.030 2.400 ;
+    END
+  END la_data_out[103]
+  PIN la_data_out[104]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1283.950 0.000 1284.230 2.400 ;
+    END
+  END la_data_out[104]
+  PIN la_data_out[105]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1293.150 0.000 1293.430 2.400 ;
+    END
+  END la_data_out[105]
+  PIN la_data_out[106]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1302.350 0.000 1302.630 2.400 ;
+    END
+  END la_data_out[106]
+  PIN la_data_out[107]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1311.550 0.000 1311.830 2.400 ;
+    END
+  END la_data_out[107]
+  PIN la_data_out[108]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1320.750 0.000 1321.030 2.400 ;
+    END
+  END la_data_out[108]
+  PIN la_data_out[109]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1329.950 0.000 1330.230 2.400 ;
+    END
+  END la_data_out[109]
+  PIN la_data_out[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 420.530 0.000 420.810 2.400 ;
+    END
+  END la_data_out[10]
+  PIN la_data_out[110]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1339.150 0.000 1339.430 2.400 ;
+    END
+  END la_data_out[110]
+  PIN la_data_out[111]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1348.350 0.000 1348.630 2.400 ;
+    END
+  END la_data_out[111]
+  PIN la_data_out[112]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1357.550 0.000 1357.830 2.400 ;
+    END
+  END la_data_out[112]
+  PIN la_data_out[113]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1366.750 0.000 1367.030 2.400 ;
+    END
+  END la_data_out[113]
+  PIN la_data_out[114]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1375.950 0.000 1376.230 2.400 ;
+    END
+  END la_data_out[114]
+  PIN la_data_out[115]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1385.150 0.000 1385.430 2.400 ;
+    END
+  END la_data_out[115]
+  PIN la_data_out[116]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1394.350 0.000 1394.630 2.400 ;
+    END
+  END la_data_out[116]
+  PIN la_data_out[117]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1403.550 0.000 1403.830 2.400 ;
+    END
+  END la_data_out[117]
+  PIN la_data_out[118]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1412.750 0.000 1413.030 2.400 ;
+    END
+  END la_data_out[118]
+  PIN la_data_out[119]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1421.490 0.000 1421.770 2.400 ;
+    END
+  END la_data_out[119]
+  PIN la_data_out[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 429.730 0.000 430.010 2.400 ;
+    END
+  END la_data_out[11]
+  PIN la_data_out[120]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1430.690 0.000 1430.970 2.400 ;
+    END
+  END la_data_out[120]
+  PIN la_data_out[121]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1439.890 0.000 1440.170 2.400 ;
+    END
+  END la_data_out[121]
+  PIN la_data_out[122]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1449.090 0.000 1449.370 2.400 ;
+    END
+  END la_data_out[122]
+  PIN la_data_out[123]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1458.290 0.000 1458.570 2.400 ;
+    END
+  END la_data_out[123]
+  PIN la_data_out[124]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1467.490 0.000 1467.770 2.400 ;
+    END
+  END la_data_out[124]
+  PIN la_data_out[125]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1476.690 0.000 1476.970 2.400 ;
+    END
+  END la_data_out[125]
+  PIN la_data_out[126]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1485.890 0.000 1486.170 2.400 ;
+    END
+  END la_data_out[126]
+  PIN la_data_out[127]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1495.090 0.000 1495.370 2.400 ;
+    END
+  END la_data_out[127]
+  PIN la_data_out[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 438.930 0.000 439.210 2.400 ;
+    END
+  END la_data_out[12]
+  PIN la_data_out[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 448.130 0.000 448.410 2.400 ;
+    END
+  END la_data_out[13]
+  PIN la_data_out[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 457.330 0.000 457.610 2.400 ;
+    END
+  END la_data_out[14]
+  PIN la_data_out[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 466.530 0.000 466.810 2.400 ;
+    END
+  END la_data_out[15]
+  PIN la_data_out[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 475.730 0.000 476.010 2.400 ;
+    END
+  END la_data_out[16]
+  PIN la_data_out[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 484.930 0.000 485.210 2.400 ;
+    END
+  END la_data_out[17]
+  PIN la_data_out[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 494.130 0.000 494.410 2.400 ;
+    END
+  END la_data_out[18]
+  PIN la_data_out[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 503.330 0.000 503.610 2.400 ;
+    END
+  END la_data_out[19]
+  PIN la_data_out[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 338.190 0.000 338.470 2.400 ;
+    END
+  END la_data_out[1]
+  PIN la_data_out[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 512.530 0.000 512.810 2.400 ;
+    END
+  END la_data_out[20]
+  PIN la_data_out[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 521.730 0.000 522.010 2.400 ;
+    END
+  END la_data_out[21]
+  PIN la_data_out[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 530.930 0.000 531.210 2.400 ;
+    END
+  END la_data_out[22]
+  PIN la_data_out[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 540.130 0.000 540.410 2.400 ;
+    END
+  END la_data_out[23]
+  PIN la_data_out[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 549.330 0.000 549.610 2.400 ;
+    END
+  END la_data_out[24]
+  PIN la_data_out[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 558.530 0.000 558.810 2.400 ;
+    END
+  END la_data_out[25]
+  PIN la_data_out[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 567.730 0.000 568.010 2.400 ;
+    END
+  END la_data_out[26]
+  PIN la_data_out[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 576.930 0.000 577.210 2.400 ;
+    END
+  END la_data_out[27]
+  PIN la_data_out[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 586.130 0.000 586.410 2.400 ;
+    END
+  END la_data_out[28]
+  PIN la_data_out[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 595.330 0.000 595.610 2.400 ;
+    END
+  END la_data_out[29]
+  PIN la_data_out[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 347.390 0.000 347.670 2.400 ;
+    END
+  END la_data_out[2]
+  PIN la_data_out[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 604.530 0.000 604.810 2.400 ;
+    END
+  END la_data_out[30]
+  PIN la_data_out[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 613.730 0.000 614.010 2.400 ;
+    END
+  END la_data_out[31]
+  PIN la_data_out[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 622.470 0.000 622.750 2.400 ;
+    END
+  END la_data_out[32]
+  PIN la_data_out[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 631.670 0.000 631.950 2.400 ;
+    END
+  END la_data_out[33]
+  PIN la_data_out[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 640.870 0.000 641.150 2.400 ;
+    END
+  END la_data_out[34]
+  PIN la_data_out[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 650.070 0.000 650.350 2.400 ;
+    END
+  END la_data_out[35]
+  PIN la_data_out[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 659.270 0.000 659.550 2.400 ;
+    END
+  END la_data_out[36]
+  PIN la_data_out[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 668.470 0.000 668.750 2.400 ;
+    END
+  END la_data_out[37]
+  PIN la_data_out[38]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 677.670 0.000 677.950 2.400 ;
+    END
+  END la_data_out[38]
+  PIN la_data_out[39]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 686.870 0.000 687.150 2.400 ;
+    END
+  END la_data_out[39]
+  PIN la_data_out[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 356.130 0.000 356.410 2.400 ;
+    END
+  END la_data_out[3]
+  PIN la_data_out[40]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 696.070 0.000 696.350 2.400 ;
+    END
+  END la_data_out[40]
+  PIN la_data_out[41]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 705.270 0.000 705.550 2.400 ;
+    END
+  END la_data_out[41]
+  PIN la_data_out[42]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 714.470 0.000 714.750 2.400 ;
+    END
+  END la_data_out[42]
+  PIN la_data_out[43]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 723.670 0.000 723.950 2.400 ;
+    END
+  END la_data_out[43]
+  PIN la_data_out[44]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 732.870 0.000 733.150 2.400 ;
+    END
+  END la_data_out[44]
+  PIN la_data_out[45]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 742.070 0.000 742.350 2.400 ;
+    END
+  END la_data_out[45]
+  PIN la_data_out[46]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 751.270 0.000 751.550 2.400 ;
+    END
+  END la_data_out[46]
+  PIN la_data_out[47]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 760.470 0.000 760.750 2.400 ;
+    END
+  END la_data_out[47]
+  PIN la_data_out[48]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 769.670 0.000 769.950 2.400 ;
+    END
+  END la_data_out[48]
+  PIN la_data_out[49]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 778.870 0.000 779.150 2.400 ;
+    END
+  END la_data_out[49]
+  PIN la_data_out[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 365.330 0.000 365.610 2.400 ;
+    END
+  END la_data_out[4]
+  PIN la_data_out[50]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 788.070 0.000 788.350 2.400 ;
+    END
+  END la_data_out[50]
+  PIN la_data_out[51]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 797.270 0.000 797.550 2.400 ;
+    END
+  END la_data_out[51]
+  PIN la_data_out[52]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 806.470 0.000 806.750 2.400 ;
+    END
+  END la_data_out[52]
+  PIN la_data_out[53]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 815.670 0.000 815.950 2.400 ;
+    END
+  END la_data_out[53]
+  PIN la_data_out[54]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 824.870 0.000 825.150 2.400 ;
+    END
+  END la_data_out[54]
+  PIN la_data_out[55]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 834.070 0.000 834.350 2.400 ;
+    END
+  END la_data_out[55]
+  PIN la_data_out[56]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 843.270 0.000 843.550 2.400 ;
+    END
+  END la_data_out[56]
+  PIN la_data_out[57]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 852.470 0.000 852.750 2.400 ;
+    END
+  END la_data_out[57]
+  PIN la_data_out[58]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 861.670 0.000 861.950 2.400 ;
+    END
+  END la_data_out[58]
+  PIN la_data_out[59]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 870.870 0.000 871.150 2.400 ;
+    END
+  END la_data_out[59]
+  PIN la_data_out[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 374.530 0.000 374.810 2.400 ;
+    END
+  END la_data_out[5]
+  PIN la_data_out[60]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 880.070 0.000 880.350 2.400 ;
+    END
+  END la_data_out[60]
+  PIN la_data_out[61]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 888.810 0.000 889.090 2.400 ;
+    END
+  END la_data_out[61]
+  PIN la_data_out[62]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 898.010 0.000 898.290 2.400 ;
+    END
+  END la_data_out[62]
+  PIN la_data_out[63]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 907.210 0.000 907.490 2.400 ;
+    END
+  END la_data_out[63]
+  PIN la_data_out[64]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 916.410 0.000 916.690 2.400 ;
+    END
+  END la_data_out[64]
+  PIN la_data_out[65]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 925.610 0.000 925.890 2.400 ;
+    END
+  END la_data_out[65]
+  PIN la_data_out[66]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 934.810 0.000 935.090 2.400 ;
+    END
+  END la_data_out[66]
+  PIN la_data_out[67]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 944.010 0.000 944.290 2.400 ;
+    END
+  END la_data_out[67]
+  PIN la_data_out[68]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 953.210 0.000 953.490 2.400 ;
+    END
+  END la_data_out[68]
+  PIN la_data_out[69]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 962.410 0.000 962.690 2.400 ;
+    END
+  END la_data_out[69]
+  PIN la_data_out[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 383.730 0.000 384.010 2.400 ;
+    END
+  END la_data_out[6]
+  PIN la_data_out[70]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 971.610 0.000 971.890 2.400 ;
+    END
+  END la_data_out[70]
+  PIN la_data_out[71]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 980.810 0.000 981.090 2.400 ;
+    END
+  END la_data_out[71]
+  PIN la_data_out[72]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 990.010 0.000 990.290 2.400 ;
+    END
+  END la_data_out[72]
+  PIN la_data_out[73]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 999.210 0.000 999.490 2.400 ;
+    END
+  END la_data_out[73]
+  PIN la_data_out[74]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1008.410 0.000 1008.690 2.400 ;
+    END
+  END la_data_out[74]
+  PIN la_data_out[75]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1017.610 0.000 1017.890 2.400 ;
+    END
+  END la_data_out[75]
+  PIN la_data_out[76]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1026.810 0.000 1027.090 2.400 ;
+    END
+  END la_data_out[76]
+  PIN la_data_out[77]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1036.010 0.000 1036.290 2.400 ;
+    END
+  END la_data_out[77]
+  PIN la_data_out[78]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1045.210 0.000 1045.490 2.400 ;
+    END
+  END la_data_out[78]
+  PIN la_data_out[79]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1054.410 0.000 1054.690 2.400 ;
+    END
+  END la_data_out[79]
+  PIN la_data_out[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 392.930 0.000 393.210 2.400 ;
+    END
+  END la_data_out[7]
+  PIN la_data_out[80]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1063.610 0.000 1063.890 2.400 ;
+    END
+  END la_data_out[80]
+  PIN la_data_out[81]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1072.810 0.000 1073.090 2.400 ;
+    END
+  END la_data_out[81]
+  PIN la_data_out[82]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1082.010 0.000 1082.290 2.400 ;
+    END
+  END la_data_out[82]
+  PIN la_data_out[83]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1091.210 0.000 1091.490 2.400 ;
+    END
+  END la_data_out[83]
+  PIN la_data_out[84]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1100.410 0.000 1100.690 2.400 ;
+    END
+  END la_data_out[84]
+  PIN la_data_out[85]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1109.610 0.000 1109.890 2.400 ;
+    END
+  END la_data_out[85]
+  PIN la_data_out[86]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1118.810 0.000 1119.090 2.400 ;
+    END
+  END la_data_out[86]
+  PIN la_data_out[87]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1128.010 0.000 1128.290 2.400 ;
+    END
+  END la_data_out[87]
+  PIN la_data_out[88]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1137.210 0.000 1137.490 2.400 ;
+    END
+  END la_data_out[88]
+  PIN la_data_out[89]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1146.410 0.000 1146.690 2.400 ;
+    END
+  END la_data_out[89]
+  PIN la_data_out[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 402.130 0.000 402.410 2.400 ;
+    END
+  END la_data_out[8]
+  PIN la_data_out[90]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1155.150 0.000 1155.430 2.400 ;
+    END
+  END la_data_out[90]
+  PIN la_data_out[91]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1164.350 0.000 1164.630 2.400 ;
+    END
+  END la_data_out[91]
+  PIN la_data_out[92]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1173.550 0.000 1173.830 2.400 ;
+    END
+  END la_data_out[92]
+  PIN la_data_out[93]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1182.750 0.000 1183.030 2.400 ;
+    END
+  END la_data_out[93]
+  PIN la_data_out[94]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1191.950 0.000 1192.230 2.400 ;
+    END
+  END la_data_out[94]
+  PIN la_data_out[95]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1201.150 0.000 1201.430 2.400 ;
+    END
+  END la_data_out[95]
+  PIN la_data_out[96]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1210.350 0.000 1210.630 2.400 ;
+    END
+  END la_data_out[96]
+  PIN la_data_out[97]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1219.550 0.000 1219.830 2.400 ;
+    END
+  END la_data_out[97]
+  PIN la_data_out[98]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1228.750 0.000 1229.030 2.400 ;
+    END
+  END la_data_out[98]
+  PIN la_data_out[99]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1237.950 0.000 1238.230 2.400 ;
+    END
+  END la_data_out[99]
+  PIN la_data_out[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 411.330 0.000 411.610 2.400 ;
+    END
+  END la_data_out[9]
+  PIN la_oen[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 331.750 0.000 332.030 2.400 ;
+    END
+  END la_oen[0]
+  PIN la_oen[100]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1250.370 0.000 1250.650 2.400 ;
+    END
+  END la_oen[100]
+  PIN la_oen[101]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1259.570 0.000 1259.850 2.400 ;
+    END
+  END la_oen[101]
+  PIN la_oen[102]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1268.770 0.000 1269.050 2.400 ;
+    END
+  END la_oen[102]
+  PIN la_oen[103]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1277.970 0.000 1278.250 2.400 ;
+    END
+  END la_oen[103]
+  PIN la_oen[104]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1287.170 0.000 1287.450 2.400 ;
+    END
+  END la_oen[104]
+  PIN la_oen[105]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1296.370 0.000 1296.650 2.400 ;
+    END
+  END la_oen[105]
+  PIN la_oen[106]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1305.570 0.000 1305.850 2.400 ;
+    END
+  END la_oen[106]
+  PIN la_oen[107]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1314.770 0.000 1315.050 2.400 ;
+    END
+  END la_oen[107]
+  PIN la_oen[108]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1323.970 0.000 1324.250 2.400 ;
+    END
+  END la_oen[108]
+  PIN la_oen[109]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1332.710 0.000 1332.990 2.400 ;
+    END
+  END la_oen[109]
+  PIN la_oen[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 423.750 0.000 424.030 2.400 ;
+    END
+  END la_oen[10]
+  PIN la_oen[110]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1341.910 0.000 1342.190 2.400 ;
+    END
+  END la_oen[110]
+  PIN la_oen[111]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1351.110 0.000 1351.390 2.400 ;
+    END
+  END la_oen[111]
+  PIN la_oen[112]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1360.310 0.000 1360.590 2.400 ;
+    END
+  END la_oen[112]
+  PIN la_oen[113]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1369.510 0.000 1369.790 2.400 ;
+    END
+  END la_oen[113]
+  PIN la_oen[114]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1378.710 0.000 1378.990 2.400 ;
+    END
+  END la_oen[114]
+  PIN la_oen[115]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1387.910 0.000 1388.190 2.400 ;
+    END
+  END la_oen[115]
+  PIN la_oen[116]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1397.110 0.000 1397.390 2.400 ;
+    END
+  END la_oen[116]
+  PIN la_oen[117]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1406.310 0.000 1406.590 2.400 ;
+    END
+  END la_oen[117]
+  PIN la_oen[118]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1415.510 0.000 1415.790 2.400 ;
+    END
+  END la_oen[118]
+  PIN la_oen[119]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1424.710 0.000 1424.990 2.400 ;
+    END
+  END la_oen[119]
+  PIN la_oen[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 432.950 0.000 433.230 2.400 ;
+    END
+  END la_oen[11]
+  PIN la_oen[120]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1433.910 0.000 1434.190 2.400 ;
+    END
+  END la_oen[120]
+  PIN la_oen[121]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1443.110 0.000 1443.390 2.400 ;
+    END
+  END la_oen[121]
+  PIN la_oen[122]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1452.310 0.000 1452.590 2.400 ;
+    END
+  END la_oen[122]
+  PIN la_oen[123]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1461.510 0.000 1461.790 2.400 ;
+    END
+  END la_oen[123]
+  PIN la_oen[124]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1470.710 0.000 1470.990 2.400 ;
+    END
+  END la_oen[124]
+  PIN la_oen[125]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1479.910 0.000 1480.190 2.400 ;
+    END
+  END la_oen[125]
+  PIN la_oen[126]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1489.110 0.000 1489.390 2.400 ;
+    END
+  END la_oen[126]
+  PIN la_oen[127]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1498.310 0.000 1498.590 2.400 ;
+    END
+  END la_oen[127]
+  PIN la_oen[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 442.150 0.000 442.430 2.400 ;
+    END
+  END la_oen[12]
+  PIN la_oen[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 451.350 0.000 451.630 2.400 ;
+    END
+  END la_oen[13]
+  PIN la_oen[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 460.550 0.000 460.830 2.400 ;
+    END
+  END la_oen[14]
+  PIN la_oen[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 469.750 0.000 470.030 2.400 ;
+    END
+  END la_oen[15]
+  PIN la_oen[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 478.950 0.000 479.230 2.400 ;
+    END
+  END la_oen[16]
+  PIN la_oen[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 488.150 0.000 488.430 2.400 ;
+    END
+  END la_oen[17]
+  PIN la_oen[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 497.350 0.000 497.630 2.400 ;
+    END
+  END la_oen[18]
+  PIN la_oen[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 506.550 0.000 506.830 2.400 ;
+    END
+  END la_oen[19]
+  PIN la_oen[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 340.950 0.000 341.230 2.400 ;
+    END
+  END la_oen[1]
+  PIN la_oen[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 515.750 0.000 516.030 2.400 ;
+    END
+  END la_oen[20]
+  PIN la_oen[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 524.950 0.000 525.230 2.400 ;
+    END
+  END la_oen[21]
+  PIN la_oen[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 533.690 0.000 533.970 2.400 ;
+    END
+  END la_oen[22]
+  PIN la_oen[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 542.890 0.000 543.170 2.400 ;
+    END
+  END la_oen[23]
+  PIN la_oen[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 552.090 0.000 552.370 2.400 ;
+    END
+  END la_oen[24]
+  PIN la_oen[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 561.290 0.000 561.570 2.400 ;
+    END
+  END la_oen[25]
+  PIN la_oen[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 570.490 0.000 570.770 2.400 ;
+    END
+  END la_oen[26]
+  PIN la_oen[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 579.690 0.000 579.970 2.400 ;
+    END
+  END la_oen[27]
+  PIN la_oen[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 588.890 0.000 589.170 2.400 ;
+    END
+  END la_oen[28]
+  PIN la_oen[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 598.090 0.000 598.370 2.400 ;
+    END
+  END la_oen[29]
+  PIN la_oen[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 350.150 0.000 350.430 2.400 ;
+    END
+  END la_oen[2]
+  PIN la_oen[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 607.290 0.000 607.570 2.400 ;
+    END
+  END la_oen[30]
+  PIN la_oen[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 616.490 0.000 616.770 2.400 ;
+    END
+  END la_oen[31]
+  PIN la_oen[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 625.690 0.000 625.970 2.400 ;
+    END
+  END la_oen[32]
+  PIN la_oen[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 634.890 0.000 635.170 2.400 ;
+    END
+  END la_oen[33]
+  PIN la_oen[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 644.090 0.000 644.370 2.400 ;
+    END
+  END la_oen[34]
+  PIN la_oen[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 653.290 0.000 653.570 2.400 ;
+    END
+  END la_oen[35]
+  PIN la_oen[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 662.490 0.000 662.770 2.400 ;
+    END
+  END la_oen[36]
+  PIN la_oen[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 671.690 0.000 671.970 2.400 ;
+    END
+  END la_oen[37]
+  PIN la_oen[38]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 680.890 0.000 681.170 2.400 ;
+    END
+  END la_oen[38]
+  PIN la_oen[39]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 690.090 0.000 690.370 2.400 ;
+    END
+  END la_oen[39]
+  PIN la_oen[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 359.350 0.000 359.630 2.400 ;
+    END
+  END la_oen[3]
+  PIN la_oen[40]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 699.290 0.000 699.570 2.400 ;
+    END
+  END la_oen[40]
+  PIN la_oen[41]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 708.490 0.000 708.770 2.400 ;
+    END
+  END la_oen[41]
+  PIN la_oen[42]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 717.690 0.000 717.970 2.400 ;
+    END
+  END la_oen[42]
+  PIN la_oen[43]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 726.890 0.000 727.170 2.400 ;
+    END
+  END la_oen[43]
+  PIN la_oen[44]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 736.090 0.000 736.370 2.400 ;
+    END
+  END la_oen[44]
+  PIN la_oen[45]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 745.290 0.000 745.570 2.400 ;
+    END
+  END la_oen[45]
+  PIN la_oen[46]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 754.490 0.000 754.770 2.400 ;
+    END
+  END la_oen[46]
+  PIN la_oen[47]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 763.690 0.000 763.970 2.400 ;
+    END
+  END la_oen[47]
+  PIN la_oen[48]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 772.890 0.000 773.170 2.400 ;
+    END
+  END la_oen[48]
+  PIN la_oen[49]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 782.090 0.000 782.370 2.400 ;
+    END
+  END la_oen[49]
+  PIN la_oen[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 368.550 0.000 368.830 2.400 ;
+    END
+  END la_oen[4]
+  PIN la_oen[50]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 791.290 0.000 791.570 2.400 ;
+    END
+  END la_oen[50]
+  PIN la_oen[51]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 800.030 0.000 800.310 2.400 ;
+    END
+  END la_oen[51]
+  PIN la_oen[52]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 809.230 0.000 809.510 2.400 ;
+    END
+  END la_oen[52]
+  PIN la_oen[53]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 818.430 0.000 818.710 2.400 ;
+    END
+  END la_oen[53]
+  PIN la_oen[54]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 827.630 0.000 827.910 2.400 ;
+    END
+  END la_oen[54]
+  PIN la_oen[55]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 836.830 0.000 837.110 2.400 ;
+    END
+  END la_oen[55]
+  PIN la_oen[56]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 846.030 0.000 846.310 2.400 ;
+    END
+  END la_oen[56]
+  PIN la_oen[57]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 855.230 0.000 855.510 2.400 ;
+    END
+  END la_oen[57]
+  PIN la_oen[58]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 864.430 0.000 864.710 2.400 ;
+    END
+  END la_oen[58]
+  PIN la_oen[59]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 873.630 0.000 873.910 2.400 ;
+    END
+  END la_oen[59]
+  PIN la_oen[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 377.750 0.000 378.030 2.400 ;
+    END
+  END la_oen[5]
+  PIN la_oen[60]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 882.830 0.000 883.110 2.400 ;
+    END
+  END la_oen[60]
+  PIN la_oen[61]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 892.030 0.000 892.310 2.400 ;
+    END
+  END la_oen[61]
+  PIN la_oen[62]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 901.230 0.000 901.510 2.400 ;
+    END
+  END la_oen[62]
+  PIN la_oen[63]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 910.430 0.000 910.710 2.400 ;
+    END
+  END la_oen[63]
+  PIN la_oen[64]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 919.630 0.000 919.910 2.400 ;
+    END
+  END la_oen[64]
+  PIN la_oen[65]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 928.830 0.000 929.110 2.400 ;
+    END
+  END la_oen[65]
+  PIN la_oen[66]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 938.030 0.000 938.310 2.400 ;
+    END
+  END la_oen[66]
+  PIN la_oen[67]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 947.230 0.000 947.510 2.400 ;
+    END
+  END la_oen[67]
+  PIN la_oen[68]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 956.430 0.000 956.710 2.400 ;
+    END
+  END la_oen[68]
+  PIN la_oen[69]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 965.630 0.000 965.910 2.400 ;
+    END
+  END la_oen[69]
+  PIN la_oen[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 386.950 0.000 387.230 2.400 ;
+    END
+  END la_oen[6]
+  PIN la_oen[70]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 974.830 0.000 975.110 2.400 ;
+    END
+  END la_oen[70]
+  PIN la_oen[71]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 984.030 0.000 984.310 2.400 ;
+    END
+  END la_oen[71]
+  PIN la_oen[72]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 993.230 0.000 993.510 2.400 ;
+    END
+  END la_oen[72]
+  PIN la_oen[73]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1002.430 0.000 1002.710 2.400 ;
+    END
+  END la_oen[73]
+  PIN la_oen[74]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1011.630 0.000 1011.910 2.400 ;
+    END
+  END la_oen[74]
+  PIN la_oen[75]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1020.830 0.000 1021.110 2.400 ;
+    END
+  END la_oen[75]
+  PIN la_oen[76]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1030.030 0.000 1030.310 2.400 ;
+    END
+  END la_oen[76]
+  PIN la_oen[77]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1039.230 0.000 1039.510 2.400 ;
+    END
+  END la_oen[77]
+  PIN la_oen[78]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1048.430 0.000 1048.710 2.400 ;
+    END
+  END la_oen[78]
+  PIN la_oen[79]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1057.630 0.000 1057.910 2.400 ;
+    END
+  END la_oen[79]
+  PIN la_oen[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 396.150 0.000 396.430 2.400 ;
+    END
+  END la_oen[7]
+  PIN la_oen[80]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1066.370 0.000 1066.650 2.400 ;
+    END
+  END la_oen[80]
+  PIN la_oen[81]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1075.570 0.000 1075.850 2.400 ;
+    END
+  END la_oen[81]
+  PIN la_oen[82]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1084.770 0.000 1085.050 2.400 ;
+    END
+  END la_oen[82]
+  PIN la_oen[83]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1093.970 0.000 1094.250 2.400 ;
+    END
+  END la_oen[83]
+  PIN la_oen[84]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1103.170 0.000 1103.450 2.400 ;
+    END
+  END la_oen[84]
+  PIN la_oen[85]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1112.370 0.000 1112.650 2.400 ;
+    END
+  END la_oen[85]
+  PIN la_oen[86]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1121.570 0.000 1121.850 2.400 ;
+    END
+  END la_oen[86]
+  PIN la_oen[87]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1130.770 0.000 1131.050 2.400 ;
+    END
+  END la_oen[87]
+  PIN la_oen[88]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1139.970 0.000 1140.250 2.400 ;
+    END
+  END la_oen[88]
+  PIN la_oen[89]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1149.170 0.000 1149.450 2.400 ;
+    END
+  END la_oen[89]
+  PIN la_oen[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 405.350 0.000 405.630 2.400 ;
+    END
+  END la_oen[8]
+  PIN la_oen[90]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1158.370 0.000 1158.650 2.400 ;
+    END
+  END la_oen[90]
+  PIN la_oen[91]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1167.570 0.000 1167.850 2.400 ;
+    END
+  END la_oen[91]
+  PIN la_oen[92]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1176.770 0.000 1177.050 2.400 ;
+    END
+  END la_oen[92]
+  PIN la_oen[93]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1185.970 0.000 1186.250 2.400 ;
+    END
+  END la_oen[93]
+  PIN la_oen[94]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1195.170 0.000 1195.450 2.400 ;
+    END
+  END la_oen[94]
+  PIN la_oen[95]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1204.370 0.000 1204.650 2.400 ;
+    END
+  END la_oen[95]
+  PIN la_oen[96]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1213.570 0.000 1213.850 2.400 ;
+    END
+  END la_oen[96]
+  PIN la_oen[97]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1222.770 0.000 1223.050 2.400 ;
+    END
+  END la_oen[97]
+  PIN la_oen[98]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1231.970 0.000 1232.250 2.400 ;
+    END
+  END la_oen[98]
+  PIN la_oen[99]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1241.170 0.000 1241.450 2.400 ;
+    END
+  END la_oen[99]
+  PIN la_oen[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 414.550 0.000 414.830 2.400 ;
+    END
+  END la_oen[9]
+  PIN vccd1
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 1853.040 1500.000 1853.640 ;
+    END
+  END vccd1
+  PIN vccd2
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1846.920 2.400 1847.520 ;
+    END
+  END vccd2
+  PIN vdda1
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 936.400 1500.000 937.000 ;
+    END
+  END vdda1
+  PIN vdda2
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 846.640 2.400 847.240 ;
+    END
+  END vdda2
+  PIN vssa1
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1312.470 1997.600 1312.750 2000.000 ;
+    END
+  END vssa1
+  PIN vssa2
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1803.400 2.400 1804.000 ;
+    END
+  END vssa2
+  PIN vssd1
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 1497.600 894.920 1500.000 895.520 ;
+    END
+  END vssd1
+  PIN vssd2
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 803.120 2.400 803.720 ;
+    END
+  END vssd2
+  PIN wb_clk_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1.470 0.000 1.750 2.400 ;
+    END
+  END wb_clk_i
+  PIN wb_rst_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 4.230 0.000 4.510 2.400 ;
+    END
+  END wb_rst_i
+  PIN wbs_ack_o
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 7.450 0.000 7.730 2.400 ;
+    END
+  END wbs_ack_o
+  PIN wbs_adr_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 19.410 0.000 19.690 2.400 ;
+    END
+  END wbs_adr_i[0]
+  PIN wbs_adr_i[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 123.830 0.000 124.110 2.400 ;
+    END
+  END wbs_adr_i[10]
+  PIN wbs_adr_i[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 133.030 0.000 133.310 2.400 ;
+    END
+  END wbs_adr_i[11]
+  PIN wbs_adr_i[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 142.230 0.000 142.510 2.400 ;
+    END
+  END wbs_adr_i[12]
+  PIN wbs_adr_i[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 151.430 0.000 151.710 2.400 ;
+    END
+  END wbs_adr_i[13]
+  PIN wbs_adr_i[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 160.630 0.000 160.910 2.400 ;
+    END
+  END wbs_adr_i[14]
+  PIN wbs_adr_i[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 169.830 0.000 170.110 2.400 ;
+    END
+  END wbs_adr_i[15]
+  PIN wbs_adr_i[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 178.570 0.000 178.850 2.400 ;
+    END
+  END wbs_adr_i[16]
+  PIN wbs_adr_i[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 187.770 0.000 188.050 2.400 ;
+    END
+  END wbs_adr_i[17]
+  PIN wbs_adr_i[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 196.970 0.000 197.250 2.400 ;
+    END
+  END wbs_adr_i[18]
+  PIN wbs_adr_i[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 206.170 0.000 206.450 2.400 ;
+    END
+  END wbs_adr_i[19]
+  PIN wbs_adr_i[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 31.830 0.000 32.110 2.400 ;
+    END
+  END wbs_adr_i[1]
+  PIN wbs_adr_i[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 215.370 0.000 215.650 2.400 ;
+    END
+  END wbs_adr_i[20]
+  PIN wbs_adr_i[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 224.570 0.000 224.850 2.400 ;
+    END
+  END wbs_adr_i[21]
+  PIN wbs_adr_i[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 233.770 0.000 234.050 2.400 ;
+    END
+  END wbs_adr_i[22]
+  PIN wbs_adr_i[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 242.970 0.000 243.250 2.400 ;
+    END
+  END wbs_adr_i[23]
+  PIN wbs_adr_i[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 252.170 0.000 252.450 2.400 ;
+    END
+  END wbs_adr_i[24]
+  PIN wbs_adr_i[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 261.370 0.000 261.650 2.400 ;
+    END
+  END wbs_adr_i[25]
+  PIN wbs_adr_i[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 270.570 0.000 270.850 2.400 ;
+    END
+  END wbs_adr_i[26]
+  PIN wbs_adr_i[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 279.770 0.000 280.050 2.400 ;
+    END
+  END wbs_adr_i[27]
+  PIN wbs_adr_i[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 288.970 0.000 289.250 2.400 ;
+    END
+  END wbs_adr_i[28]
+  PIN wbs_adr_i[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 298.170 0.000 298.450 2.400 ;
+    END
+  END wbs_adr_i[29]
+  PIN wbs_adr_i[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 44.250 0.000 44.530 2.400 ;
+    END
+  END wbs_adr_i[2]
+  PIN wbs_adr_i[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 307.370 0.000 307.650 2.400 ;
+    END
+  END wbs_adr_i[30]
+  PIN wbs_adr_i[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 316.570 0.000 316.850 2.400 ;
+    END
+  END wbs_adr_i[31]
+  PIN wbs_adr_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 56.210 0.000 56.490 2.400 ;
+    END
+  END wbs_adr_i[3]
+  PIN wbs_adr_i[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 68.630 0.000 68.910 2.400 ;
+    END
+  END wbs_adr_i[4]
+  PIN wbs_adr_i[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 77.830 0.000 78.110 2.400 ;
+    END
+  END wbs_adr_i[5]
+  PIN wbs_adr_i[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 87.030 0.000 87.310 2.400 ;
+    END
+  END wbs_adr_i[6]
+  PIN wbs_adr_i[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 96.230 0.000 96.510 2.400 ;
+    END
+  END wbs_adr_i[7]
+  PIN wbs_adr_i[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 105.430 0.000 105.710 2.400 ;
+    END
+  END wbs_adr_i[8]
+  PIN wbs_adr_i[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 114.630 0.000 114.910 2.400 ;
+    END
+  END wbs_adr_i[9]
+  PIN wbs_cyc_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 10.210 0.000 10.490 2.400 ;
+    END
+  END wbs_cyc_i
+  PIN wbs_dat_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 22.630 0.000 22.910 2.400 ;
+    END
+  END wbs_dat_i[0]
+  PIN wbs_dat_i[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 126.590 0.000 126.870 2.400 ;
+    END
+  END wbs_dat_i[10]
+  PIN wbs_dat_i[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 135.790 0.000 136.070 2.400 ;
+    END
+  END wbs_dat_i[11]
+  PIN wbs_dat_i[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 144.990 0.000 145.270 2.400 ;
+    END
+  END wbs_dat_i[12]
+  PIN wbs_dat_i[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 154.190 0.000 154.470 2.400 ;
+    END
+  END wbs_dat_i[13]
+  PIN wbs_dat_i[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 163.390 0.000 163.670 2.400 ;
+    END
+  END wbs_dat_i[14]
+  PIN wbs_dat_i[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 172.590 0.000 172.870 2.400 ;
+    END
+  END wbs_dat_i[15]
+  PIN wbs_dat_i[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 181.790 0.000 182.070 2.400 ;
+    END
+  END wbs_dat_i[16]
+  PIN wbs_dat_i[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 190.990 0.000 191.270 2.400 ;
+    END
+  END wbs_dat_i[17]
+  PIN wbs_dat_i[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 200.190 0.000 200.470 2.400 ;
+    END
+  END wbs_dat_i[18]
+  PIN wbs_dat_i[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 209.390 0.000 209.670 2.400 ;
+    END
+  END wbs_dat_i[19]
+  PIN wbs_dat_i[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 35.050 0.000 35.330 2.400 ;
+    END
+  END wbs_dat_i[1]
+  PIN wbs_dat_i[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 218.590 0.000 218.870 2.400 ;
+    END
+  END wbs_dat_i[20]
+  PIN wbs_dat_i[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 227.790 0.000 228.070 2.400 ;
+    END
+  END wbs_dat_i[21]
+  PIN wbs_dat_i[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 236.990 0.000 237.270 2.400 ;
+    END
+  END wbs_dat_i[22]
+  PIN wbs_dat_i[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 246.190 0.000 246.470 2.400 ;
+    END
+  END wbs_dat_i[23]
+  PIN wbs_dat_i[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 255.390 0.000 255.670 2.400 ;
+    END
+  END wbs_dat_i[24]
+  PIN wbs_dat_i[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 264.590 0.000 264.870 2.400 ;
+    END
+  END wbs_dat_i[25]
+  PIN wbs_dat_i[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 273.790 0.000 274.070 2.400 ;
+    END
+  END wbs_dat_i[26]
+  PIN wbs_dat_i[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 282.990 0.000 283.270 2.400 ;
+    END
+  END wbs_dat_i[27]
+  PIN wbs_dat_i[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 292.190 0.000 292.470 2.400 ;
+    END
+  END wbs_dat_i[28]
+  PIN wbs_dat_i[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 301.390 0.000 301.670 2.400 ;
+    END
+  END wbs_dat_i[29]
+  PIN wbs_dat_i[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 47.010 0.000 47.290 2.400 ;
+    END
+  END wbs_dat_i[2]
+  PIN wbs_dat_i[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 310.590 0.000 310.870 2.400 ;
+    END
+  END wbs_dat_i[30]
+  PIN wbs_dat_i[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 319.790 0.000 320.070 2.400 ;
+    END
+  END wbs_dat_i[31]
+  PIN wbs_dat_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 59.430 0.000 59.710 2.400 ;
+    END
+  END wbs_dat_i[3]
+  PIN wbs_dat_i[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 71.850 0.000 72.130 2.400 ;
+    END
+  END wbs_dat_i[4]
+  PIN wbs_dat_i[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 81.050 0.000 81.330 2.400 ;
+    END
+  END wbs_dat_i[5]
+  PIN wbs_dat_i[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 89.790 0.000 90.070 2.400 ;
+    END
+  END wbs_dat_i[6]
+  PIN wbs_dat_i[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 98.990 0.000 99.270 2.400 ;
+    END
+  END wbs_dat_i[7]
+  PIN wbs_dat_i[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 108.190 0.000 108.470 2.400 ;
+    END
+  END wbs_dat_i[8]
+  PIN wbs_dat_i[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 117.390 0.000 117.670 2.400 ;
+    END
+  END wbs_dat_i[9]
+  PIN wbs_dat_o[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 25.850 0.000 26.130 2.400 ;
+    END
+  END wbs_dat_o[0]
+  PIN wbs_dat_o[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 129.810 0.000 130.090 2.400 ;
+    END
+  END wbs_dat_o[10]
+  PIN wbs_dat_o[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 139.010 0.000 139.290 2.400 ;
+    END
+  END wbs_dat_o[11]
+  PIN wbs_dat_o[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 148.210 0.000 148.490 2.400 ;
+    END
+  END wbs_dat_o[12]
+  PIN wbs_dat_o[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 157.410 0.000 157.690 2.400 ;
+    END
+  END wbs_dat_o[13]
+  PIN wbs_dat_o[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 166.610 0.000 166.890 2.400 ;
+    END
+  END wbs_dat_o[14]
+  PIN wbs_dat_o[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 175.810 0.000 176.090 2.400 ;
+    END
+  END wbs_dat_o[15]
+  PIN wbs_dat_o[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 185.010 0.000 185.290 2.400 ;
+    END
+  END wbs_dat_o[16]
+  PIN wbs_dat_o[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 194.210 0.000 194.490 2.400 ;
+    END
+  END wbs_dat_o[17]
+  PIN wbs_dat_o[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 203.410 0.000 203.690 2.400 ;
+    END
+  END wbs_dat_o[18]
+  PIN wbs_dat_o[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 212.610 0.000 212.890 2.400 ;
+    END
+  END wbs_dat_o[19]
+  PIN wbs_dat_o[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 37.810 0.000 38.090 2.400 ;
+    END
+  END wbs_dat_o[1]
+  PIN wbs_dat_o[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 221.810 0.000 222.090 2.400 ;
+    END
+  END wbs_dat_o[20]
+  PIN wbs_dat_o[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 231.010 0.000 231.290 2.400 ;
+    END
+  END wbs_dat_o[21]
+  PIN wbs_dat_o[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 240.210 0.000 240.490 2.400 ;
+    END
+  END wbs_dat_o[22]
+  PIN wbs_dat_o[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 249.410 0.000 249.690 2.400 ;
+    END
+  END wbs_dat_o[23]
+  PIN wbs_dat_o[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 258.610 0.000 258.890 2.400 ;
+    END
+  END wbs_dat_o[24]
+  PIN wbs_dat_o[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 267.350 0.000 267.630 2.400 ;
+    END
+  END wbs_dat_o[25]
+  PIN wbs_dat_o[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 276.550 0.000 276.830 2.400 ;
+    END
+  END wbs_dat_o[26]
+  PIN wbs_dat_o[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 285.750 0.000 286.030 2.400 ;
+    END
+  END wbs_dat_o[27]
+  PIN wbs_dat_o[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 294.950 0.000 295.230 2.400 ;
+    END
+  END wbs_dat_o[28]
+  PIN wbs_dat_o[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 304.150 0.000 304.430 2.400 ;
+    END
+  END wbs_dat_o[29]
+  PIN wbs_dat_o[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 50.230 0.000 50.510 2.400 ;
+    END
+  END wbs_dat_o[2]
+  PIN wbs_dat_o[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 313.350 0.000 313.630 2.400 ;
+    END
+  END wbs_dat_o[30]
+  PIN wbs_dat_o[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 322.550 0.000 322.830 2.400 ;
+    END
+  END wbs_dat_o[31]
+  PIN wbs_dat_o[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 62.650 0.000 62.930 2.400 ;
+    END
+  END wbs_dat_o[3]
+  PIN wbs_dat_o[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 74.610 0.000 74.890 2.400 ;
+    END
+  END wbs_dat_o[4]
+  PIN wbs_dat_o[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 83.810 0.000 84.090 2.400 ;
+    END
+  END wbs_dat_o[5]
+  PIN wbs_dat_o[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 93.010 0.000 93.290 2.400 ;
+    END
+  END wbs_dat_o[6]
+  PIN wbs_dat_o[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 102.210 0.000 102.490 2.400 ;
+    END
+  END wbs_dat_o[7]
+  PIN wbs_dat_o[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 111.410 0.000 111.690 2.400 ;
+    END
+  END wbs_dat_o[8]
+  PIN wbs_dat_o[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 120.610 0.000 120.890 2.400 ;
+    END
+  END wbs_dat_o[9]
+  PIN wbs_sel_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 28.610 0.000 28.890 2.400 ;
+    END
+  END wbs_sel_i[0]
+  PIN wbs_sel_i[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 41.030 0.000 41.310 2.400 ;
+    END
+  END wbs_sel_i[1]
+  PIN wbs_sel_i[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 53.450 0.000 53.730 2.400 ;
+    END
+  END wbs_sel_i[2]
+  PIN wbs_sel_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 65.410 0.000 65.690 2.400 ;
+    END
+  END wbs_sel_i[3]
+  PIN wbs_stb_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 13.430 0.000 13.710 2.400 ;
+    END
+  END wbs_stb_i
+  PIN wbs_we_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 16.650 0.000 16.930 2.400 ;
+    END
+  END wbs_we_i
+  PIN VPWR
+    DIRECTION INPUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 21.040 10.640 22.640 1988.560 ;
+    END
+  END VPWR
+  PIN VGND
+    DIRECTION INPUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 97.840 10.640 99.440 1988.560 ;
+    END
+  END VGND
+  OBS
+      LAYER li1 ;
+        RECT 5.520 10.795 1494.080 1988.405 ;
+      LAYER met1 ;
+        RECT 3.290 2.760 1498.610 1989.300 ;
+      LAYER met2 ;
+        RECT 1.470 1997.320 26.490 1998.250 ;
+        RECT 27.330 1997.320 79.850 1998.250 ;
+        RECT 80.690 1997.320 133.210 1998.250 ;
+        RECT 134.050 1997.320 187.030 1998.250 ;
+        RECT 187.870 1997.320 240.390 1998.250 ;
+        RECT 241.230 1997.320 294.210 1998.250 ;
+        RECT 295.050 1997.320 347.570 1998.250 ;
+        RECT 348.410 1997.320 401.390 1998.250 ;
+        RECT 402.230 1997.320 454.750 1998.250 ;
+        RECT 455.590 1997.320 508.570 1998.250 ;
+        RECT 509.410 1997.320 561.930 1998.250 ;
+        RECT 562.770 1997.320 615.750 1998.250 ;
+        RECT 616.590 1997.320 669.110 1998.250 ;
+        RECT 669.950 1997.320 722.930 1998.250 ;
+        RECT 723.770 1997.320 776.290 1998.250 ;
+        RECT 777.130 1997.320 829.650 1998.250 ;
+        RECT 830.490 1997.320 883.470 1998.250 ;
+        RECT 884.310 1997.320 936.830 1998.250 ;
+        RECT 937.670 1997.320 990.650 1998.250 ;
+        RECT 991.490 1997.320 1044.010 1998.250 ;
+        RECT 1044.850 1997.320 1097.830 1998.250 ;
+        RECT 1098.670 1997.320 1151.190 1998.250 ;
+        RECT 1152.030 1997.320 1205.010 1998.250 ;
+        RECT 1205.850 1997.320 1258.370 1998.250 ;
+        RECT 1259.210 1997.320 1312.190 1998.250 ;
+        RECT 1313.030 1997.320 1365.550 1998.250 ;
+        RECT 1366.390 1997.320 1419.370 1998.250 ;
+        RECT 1420.210 1997.320 1472.730 1998.250 ;
+        RECT 1473.570 1997.320 1498.580 1998.250 ;
+        RECT 1.470 2.680 1498.580 1997.320 ;
+        RECT 2.030 2.400 3.950 2.680 ;
+        RECT 4.790 2.400 7.170 2.680 ;
+        RECT 8.010 2.400 9.930 2.680 ;
+        RECT 10.770 2.400 13.150 2.680 ;
+        RECT 13.990 2.400 16.370 2.680 ;
+        RECT 17.210 2.400 19.130 2.680 ;
+        RECT 19.970 2.400 22.350 2.680 ;
+        RECT 23.190 2.400 25.570 2.680 ;
+        RECT 26.410 2.400 28.330 2.680 ;
+        RECT 29.170 2.400 31.550 2.680 ;
+        RECT 32.390 2.400 34.770 2.680 ;
+        RECT 35.610 2.400 37.530 2.680 ;
+        RECT 38.370 2.400 40.750 2.680 ;
+        RECT 41.590 2.400 43.970 2.680 ;
+        RECT 44.810 2.400 46.730 2.680 ;
+        RECT 47.570 2.400 49.950 2.680 ;
+        RECT 50.790 2.400 53.170 2.680 ;
+        RECT 54.010 2.400 55.930 2.680 ;
+        RECT 56.770 2.400 59.150 2.680 ;
+        RECT 59.990 2.400 62.370 2.680 ;
+        RECT 63.210 2.400 65.130 2.680 ;
+        RECT 65.970 2.400 68.350 2.680 ;
+        RECT 69.190 2.400 71.570 2.680 ;
+        RECT 72.410 2.400 74.330 2.680 ;
+        RECT 75.170 2.400 77.550 2.680 ;
+        RECT 78.390 2.400 80.770 2.680 ;
+        RECT 81.610 2.400 83.530 2.680 ;
+        RECT 84.370 2.400 86.750 2.680 ;
+        RECT 87.590 2.400 89.510 2.680 ;
+        RECT 90.350 2.400 92.730 2.680 ;
+        RECT 93.570 2.400 95.950 2.680 ;
+        RECT 96.790 2.400 98.710 2.680 ;
+        RECT 99.550 2.400 101.930 2.680 ;
+        RECT 102.770 2.400 105.150 2.680 ;
+        RECT 105.990 2.400 107.910 2.680 ;
+        RECT 108.750 2.400 111.130 2.680 ;
+        RECT 111.970 2.400 114.350 2.680 ;
+        RECT 115.190 2.400 117.110 2.680 ;
+        RECT 117.950 2.400 120.330 2.680 ;
+        RECT 121.170 2.400 123.550 2.680 ;
+        RECT 124.390 2.400 126.310 2.680 ;
+        RECT 127.150 2.400 129.530 2.680 ;
+        RECT 130.370 2.400 132.750 2.680 ;
+        RECT 133.590 2.400 135.510 2.680 ;
+        RECT 136.350 2.400 138.730 2.680 ;
+        RECT 139.570 2.400 141.950 2.680 ;
+        RECT 142.790 2.400 144.710 2.680 ;
+        RECT 145.550 2.400 147.930 2.680 ;
+        RECT 148.770 2.400 151.150 2.680 ;
+        RECT 151.990 2.400 153.910 2.680 ;
+        RECT 154.750 2.400 157.130 2.680 ;
+        RECT 157.970 2.400 160.350 2.680 ;
+        RECT 161.190 2.400 163.110 2.680 ;
+        RECT 163.950 2.400 166.330 2.680 ;
+        RECT 167.170 2.400 169.550 2.680 ;
+        RECT 170.390 2.400 172.310 2.680 ;
+        RECT 173.150 2.400 175.530 2.680 ;
+        RECT 176.370 2.400 178.290 2.680 ;
+        RECT 179.130 2.400 181.510 2.680 ;
+        RECT 182.350 2.400 184.730 2.680 ;
+        RECT 185.570 2.400 187.490 2.680 ;
+        RECT 188.330 2.400 190.710 2.680 ;
+        RECT 191.550 2.400 193.930 2.680 ;
+        RECT 194.770 2.400 196.690 2.680 ;
+        RECT 197.530 2.400 199.910 2.680 ;
+        RECT 200.750 2.400 203.130 2.680 ;
+        RECT 203.970 2.400 205.890 2.680 ;
+        RECT 206.730 2.400 209.110 2.680 ;
+        RECT 209.950 2.400 212.330 2.680 ;
+        RECT 213.170 2.400 215.090 2.680 ;
+        RECT 215.930 2.400 218.310 2.680 ;
+        RECT 219.150 2.400 221.530 2.680 ;
+        RECT 222.370 2.400 224.290 2.680 ;
+        RECT 225.130 2.400 227.510 2.680 ;
+        RECT 228.350 2.400 230.730 2.680 ;
+        RECT 231.570 2.400 233.490 2.680 ;
+        RECT 234.330 2.400 236.710 2.680 ;
+        RECT 237.550 2.400 239.930 2.680 ;
+        RECT 240.770 2.400 242.690 2.680 ;
+        RECT 243.530 2.400 245.910 2.680 ;
+        RECT 246.750 2.400 249.130 2.680 ;
+        RECT 249.970 2.400 251.890 2.680 ;
+        RECT 252.730 2.400 255.110 2.680 ;
+        RECT 255.950 2.400 258.330 2.680 ;
+        RECT 259.170 2.400 261.090 2.680 ;
+        RECT 261.930 2.400 264.310 2.680 ;
+        RECT 265.150 2.400 267.070 2.680 ;
+        RECT 267.910 2.400 270.290 2.680 ;
+        RECT 271.130 2.400 273.510 2.680 ;
+        RECT 274.350 2.400 276.270 2.680 ;
+        RECT 277.110 2.400 279.490 2.680 ;
+        RECT 280.330 2.400 282.710 2.680 ;
+        RECT 283.550 2.400 285.470 2.680 ;
+        RECT 286.310 2.400 288.690 2.680 ;
+        RECT 289.530 2.400 291.910 2.680 ;
+        RECT 292.750 2.400 294.670 2.680 ;
+        RECT 295.510 2.400 297.890 2.680 ;
+        RECT 298.730 2.400 301.110 2.680 ;
+        RECT 301.950 2.400 303.870 2.680 ;
+        RECT 304.710 2.400 307.090 2.680 ;
+        RECT 307.930 2.400 310.310 2.680 ;
+        RECT 311.150 2.400 313.070 2.680 ;
+        RECT 313.910 2.400 316.290 2.680 ;
+        RECT 317.130 2.400 319.510 2.680 ;
+        RECT 320.350 2.400 322.270 2.680 ;
+        RECT 323.110 2.400 325.490 2.680 ;
+        RECT 326.330 2.400 328.710 2.680 ;
+        RECT 329.550 2.400 331.470 2.680 ;
+        RECT 332.310 2.400 334.690 2.680 ;
+        RECT 335.530 2.400 337.910 2.680 ;
+        RECT 338.750 2.400 340.670 2.680 ;
+        RECT 341.510 2.400 343.890 2.680 ;
+        RECT 344.730 2.400 347.110 2.680 ;
+        RECT 347.950 2.400 349.870 2.680 ;
+        RECT 350.710 2.400 353.090 2.680 ;
+        RECT 353.930 2.400 355.850 2.680 ;
+        RECT 356.690 2.400 359.070 2.680 ;
+        RECT 359.910 2.400 362.290 2.680 ;
+        RECT 363.130 2.400 365.050 2.680 ;
+        RECT 365.890 2.400 368.270 2.680 ;
+        RECT 369.110 2.400 371.490 2.680 ;
+        RECT 372.330 2.400 374.250 2.680 ;
+        RECT 375.090 2.400 377.470 2.680 ;
+        RECT 378.310 2.400 380.690 2.680 ;
+        RECT 381.530 2.400 383.450 2.680 ;
+        RECT 384.290 2.400 386.670 2.680 ;
+        RECT 387.510 2.400 389.890 2.680 ;
+        RECT 390.730 2.400 392.650 2.680 ;
+        RECT 393.490 2.400 395.870 2.680 ;
+        RECT 396.710 2.400 399.090 2.680 ;
+        RECT 399.930 2.400 401.850 2.680 ;
+        RECT 402.690 2.400 405.070 2.680 ;
+        RECT 405.910 2.400 408.290 2.680 ;
+        RECT 409.130 2.400 411.050 2.680 ;
+        RECT 411.890 2.400 414.270 2.680 ;
+        RECT 415.110 2.400 417.490 2.680 ;
+        RECT 418.330 2.400 420.250 2.680 ;
+        RECT 421.090 2.400 423.470 2.680 ;
+        RECT 424.310 2.400 426.690 2.680 ;
+        RECT 427.530 2.400 429.450 2.680 ;
+        RECT 430.290 2.400 432.670 2.680 ;
+        RECT 433.510 2.400 435.890 2.680 ;
+        RECT 436.730 2.400 438.650 2.680 ;
+        RECT 439.490 2.400 441.870 2.680 ;
+        RECT 442.710 2.400 444.630 2.680 ;
+        RECT 445.470 2.400 447.850 2.680 ;
+        RECT 448.690 2.400 451.070 2.680 ;
+        RECT 451.910 2.400 453.830 2.680 ;
+        RECT 454.670 2.400 457.050 2.680 ;
+        RECT 457.890 2.400 460.270 2.680 ;
+        RECT 461.110 2.400 463.030 2.680 ;
+        RECT 463.870 2.400 466.250 2.680 ;
+        RECT 467.090 2.400 469.470 2.680 ;
+        RECT 470.310 2.400 472.230 2.680 ;
+        RECT 473.070 2.400 475.450 2.680 ;
+        RECT 476.290 2.400 478.670 2.680 ;
+        RECT 479.510 2.400 481.430 2.680 ;
+        RECT 482.270 2.400 484.650 2.680 ;
+        RECT 485.490 2.400 487.870 2.680 ;
+        RECT 488.710 2.400 490.630 2.680 ;
+        RECT 491.470 2.400 493.850 2.680 ;
+        RECT 494.690 2.400 497.070 2.680 ;
+        RECT 497.910 2.400 499.830 2.680 ;
+        RECT 500.670 2.400 503.050 2.680 ;
+        RECT 503.890 2.400 506.270 2.680 ;
+        RECT 507.110 2.400 509.030 2.680 ;
+        RECT 509.870 2.400 512.250 2.680 ;
+        RECT 513.090 2.400 515.470 2.680 ;
+        RECT 516.310 2.400 518.230 2.680 ;
+        RECT 519.070 2.400 521.450 2.680 ;
+        RECT 522.290 2.400 524.670 2.680 ;
+        RECT 525.510 2.400 527.430 2.680 ;
+        RECT 528.270 2.400 530.650 2.680 ;
+        RECT 531.490 2.400 533.410 2.680 ;
+        RECT 534.250 2.400 536.630 2.680 ;
+        RECT 537.470 2.400 539.850 2.680 ;
+        RECT 540.690 2.400 542.610 2.680 ;
+        RECT 543.450 2.400 545.830 2.680 ;
+        RECT 546.670 2.400 549.050 2.680 ;
+        RECT 549.890 2.400 551.810 2.680 ;
+        RECT 552.650 2.400 555.030 2.680 ;
+        RECT 555.870 2.400 558.250 2.680 ;
+        RECT 559.090 2.400 561.010 2.680 ;
+        RECT 561.850 2.400 564.230 2.680 ;
+        RECT 565.070 2.400 567.450 2.680 ;
+        RECT 568.290 2.400 570.210 2.680 ;
+        RECT 571.050 2.400 573.430 2.680 ;
+        RECT 574.270 2.400 576.650 2.680 ;
+        RECT 577.490 2.400 579.410 2.680 ;
+        RECT 580.250 2.400 582.630 2.680 ;
+        RECT 583.470 2.400 585.850 2.680 ;
+        RECT 586.690 2.400 588.610 2.680 ;
+        RECT 589.450 2.400 591.830 2.680 ;
+        RECT 592.670 2.400 595.050 2.680 ;
+        RECT 595.890 2.400 597.810 2.680 ;
+        RECT 598.650 2.400 601.030 2.680 ;
+        RECT 601.870 2.400 604.250 2.680 ;
+        RECT 605.090 2.400 607.010 2.680 ;
+        RECT 607.850 2.400 610.230 2.680 ;
+        RECT 611.070 2.400 613.450 2.680 ;
+        RECT 614.290 2.400 616.210 2.680 ;
+        RECT 617.050 2.400 619.430 2.680 ;
+        RECT 620.270 2.400 622.190 2.680 ;
+        RECT 623.030 2.400 625.410 2.680 ;
+        RECT 626.250 2.400 628.630 2.680 ;
+        RECT 629.470 2.400 631.390 2.680 ;
+        RECT 632.230 2.400 634.610 2.680 ;
+        RECT 635.450 2.400 637.830 2.680 ;
+        RECT 638.670 2.400 640.590 2.680 ;
+        RECT 641.430 2.400 643.810 2.680 ;
+        RECT 644.650 2.400 647.030 2.680 ;
+        RECT 647.870 2.400 649.790 2.680 ;
+        RECT 650.630 2.400 653.010 2.680 ;
+        RECT 653.850 2.400 656.230 2.680 ;
+        RECT 657.070 2.400 658.990 2.680 ;
+        RECT 659.830 2.400 662.210 2.680 ;
+        RECT 663.050 2.400 665.430 2.680 ;
+        RECT 666.270 2.400 668.190 2.680 ;
+        RECT 669.030 2.400 671.410 2.680 ;
+        RECT 672.250 2.400 674.630 2.680 ;
+        RECT 675.470 2.400 677.390 2.680 ;
+        RECT 678.230 2.400 680.610 2.680 ;
+        RECT 681.450 2.400 683.830 2.680 ;
+        RECT 684.670 2.400 686.590 2.680 ;
+        RECT 687.430 2.400 689.810 2.680 ;
+        RECT 690.650 2.400 693.030 2.680 ;
+        RECT 693.870 2.400 695.790 2.680 ;
+        RECT 696.630 2.400 699.010 2.680 ;
+        RECT 699.850 2.400 702.230 2.680 ;
+        RECT 703.070 2.400 704.990 2.680 ;
+        RECT 705.830 2.400 708.210 2.680 ;
+        RECT 709.050 2.400 710.970 2.680 ;
+        RECT 711.810 2.400 714.190 2.680 ;
+        RECT 715.030 2.400 717.410 2.680 ;
+        RECT 718.250 2.400 720.170 2.680 ;
+        RECT 721.010 2.400 723.390 2.680 ;
+        RECT 724.230 2.400 726.610 2.680 ;
+        RECT 727.450 2.400 729.370 2.680 ;
+        RECT 730.210 2.400 732.590 2.680 ;
+        RECT 733.430 2.400 735.810 2.680 ;
+        RECT 736.650 2.400 738.570 2.680 ;
+        RECT 739.410 2.400 741.790 2.680 ;
+        RECT 742.630 2.400 745.010 2.680 ;
+        RECT 745.850 2.400 747.770 2.680 ;
+        RECT 748.610 2.400 750.990 2.680 ;
+        RECT 751.830 2.400 754.210 2.680 ;
+        RECT 755.050 2.400 756.970 2.680 ;
+        RECT 757.810 2.400 760.190 2.680 ;
+        RECT 761.030 2.400 763.410 2.680 ;
+        RECT 764.250 2.400 766.170 2.680 ;
+        RECT 767.010 2.400 769.390 2.680 ;
+        RECT 770.230 2.400 772.610 2.680 ;
+        RECT 773.450 2.400 775.370 2.680 ;
+        RECT 776.210 2.400 778.590 2.680 ;
+        RECT 779.430 2.400 781.810 2.680 ;
+        RECT 782.650 2.400 784.570 2.680 ;
+        RECT 785.410 2.400 787.790 2.680 ;
+        RECT 788.630 2.400 791.010 2.680 ;
+        RECT 791.850 2.400 793.770 2.680 ;
+        RECT 794.610 2.400 796.990 2.680 ;
+        RECT 797.830 2.400 799.750 2.680 ;
+        RECT 800.590 2.400 802.970 2.680 ;
+        RECT 803.810 2.400 806.190 2.680 ;
+        RECT 807.030 2.400 808.950 2.680 ;
+        RECT 809.790 2.400 812.170 2.680 ;
+        RECT 813.010 2.400 815.390 2.680 ;
+        RECT 816.230 2.400 818.150 2.680 ;
+        RECT 818.990 2.400 821.370 2.680 ;
+        RECT 822.210 2.400 824.590 2.680 ;
+        RECT 825.430 2.400 827.350 2.680 ;
+        RECT 828.190 2.400 830.570 2.680 ;
+        RECT 831.410 2.400 833.790 2.680 ;
+        RECT 834.630 2.400 836.550 2.680 ;
+        RECT 837.390 2.400 839.770 2.680 ;
+        RECT 840.610 2.400 842.990 2.680 ;
+        RECT 843.830 2.400 845.750 2.680 ;
+        RECT 846.590 2.400 848.970 2.680 ;
+        RECT 849.810 2.400 852.190 2.680 ;
+        RECT 853.030 2.400 854.950 2.680 ;
+        RECT 855.790 2.400 858.170 2.680 ;
+        RECT 859.010 2.400 861.390 2.680 ;
+        RECT 862.230 2.400 864.150 2.680 ;
+        RECT 864.990 2.400 867.370 2.680 ;
+        RECT 868.210 2.400 870.590 2.680 ;
+        RECT 871.430 2.400 873.350 2.680 ;
+        RECT 874.190 2.400 876.570 2.680 ;
+        RECT 877.410 2.400 879.790 2.680 ;
+        RECT 880.630 2.400 882.550 2.680 ;
+        RECT 883.390 2.400 885.770 2.680 ;
+        RECT 886.610 2.400 888.530 2.680 ;
+        RECT 889.370 2.400 891.750 2.680 ;
+        RECT 892.590 2.400 894.970 2.680 ;
+        RECT 895.810 2.400 897.730 2.680 ;
+        RECT 898.570 2.400 900.950 2.680 ;
+        RECT 901.790 2.400 904.170 2.680 ;
+        RECT 905.010 2.400 906.930 2.680 ;
+        RECT 907.770 2.400 910.150 2.680 ;
+        RECT 910.990 2.400 913.370 2.680 ;
+        RECT 914.210 2.400 916.130 2.680 ;
+        RECT 916.970 2.400 919.350 2.680 ;
+        RECT 920.190 2.400 922.570 2.680 ;
+        RECT 923.410 2.400 925.330 2.680 ;
+        RECT 926.170 2.400 928.550 2.680 ;
+        RECT 929.390 2.400 931.770 2.680 ;
+        RECT 932.610 2.400 934.530 2.680 ;
+        RECT 935.370 2.400 937.750 2.680 ;
+        RECT 938.590 2.400 940.970 2.680 ;
+        RECT 941.810 2.400 943.730 2.680 ;
+        RECT 944.570 2.400 946.950 2.680 ;
+        RECT 947.790 2.400 950.170 2.680 ;
+        RECT 951.010 2.400 952.930 2.680 ;
+        RECT 953.770 2.400 956.150 2.680 ;
+        RECT 956.990 2.400 959.370 2.680 ;
+        RECT 960.210 2.400 962.130 2.680 ;
+        RECT 962.970 2.400 965.350 2.680 ;
+        RECT 966.190 2.400 968.570 2.680 ;
+        RECT 969.410 2.400 971.330 2.680 ;
+        RECT 972.170 2.400 974.550 2.680 ;
+        RECT 975.390 2.400 977.310 2.680 ;
+        RECT 978.150 2.400 980.530 2.680 ;
+        RECT 981.370 2.400 983.750 2.680 ;
+        RECT 984.590 2.400 986.510 2.680 ;
+        RECT 987.350 2.400 989.730 2.680 ;
+        RECT 990.570 2.400 992.950 2.680 ;
+        RECT 993.790 2.400 995.710 2.680 ;
+        RECT 996.550 2.400 998.930 2.680 ;
+        RECT 999.770 2.400 1002.150 2.680 ;
+        RECT 1002.990 2.400 1004.910 2.680 ;
+        RECT 1005.750 2.400 1008.130 2.680 ;
+        RECT 1008.970 2.400 1011.350 2.680 ;
+        RECT 1012.190 2.400 1014.110 2.680 ;
+        RECT 1014.950 2.400 1017.330 2.680 ;
+        RECT 1018.170 2.400 1020.550 2.680 ;
+        RECT 1021.390 2.400 1023.310 2.680 ;
+        RECT 1024.150 2.400 1026.530 2.680 ;
+        RECT 1027.370 2.400 1029.750 2.680 ;
+        RECT 1030.590 2.400 1032.510 2.680 ;
+        RECT 1033.350 2.400 1035.730 2.680 ;
+        RECT 1036.570 2.400 1038.950 2.680 ;
+        RECT 1039.790 2.400 1041.710 2.680 ;
+        RECT 1042.550 2.400 1044.930 2.680 ;
+        RECT 1045.770 2.400 1048.150 2.680 ;
+        RECT 1048.990 2.400 1050.910 2.680 ;
+        RECT 1051.750 2.400 1054.130 2.680 ;
+        RECT 1054.970 2.400 1057.350 2.680 ;
+        RECT 1058.190 2.400 1060.110 2.680 ;
+        RECT 1060.950 2.400 1063.330 2.680 ;
+        RECT 1064.170 2.400 1066.090 2.680 ;
+        RECT 1066.930 2.400 1069.310 2.680 ;
+        RECT 1070.150 2.400 1072.530 2.680 ;
+        RECT 1073.370 2.400 1075.290 2.680 ;
+        RECT 1076.130 2.400 1078.510 2.680 ;
+        RECT 1079.350 2.400 1081.730 2.680 ;
+        RECT 1082.570 2.400 1084.490 2.680 ;
+        RECT 1085.330 2.400 1087.710 2.680 ;
+        RECT 1088.550 2.400 1090.930 2.680 ;
+        RECT 1091.770 2.400 1093.690 2.680 ;
+        RECT 1094.530 2.400 1096.910 2.680 ;
+        RECT 1097.750 2.400 1100.130 2.680 ;
+        RECT 1100.970 2.400 1102.890 2.680 ;
+        RECT 1103.730 2.400 1106.110 2.680 ;
+        RECT 1106.950 2.400 1109.330 2.680 ;
+        RECT 1110.170 2.400 1112.090 2.680 ;
+        RECT 1112.930 2.400 1115.310 2.680 ;
+        RECT 1116.150 2.400 1118.530 2.680 ;
+        RECT 1119.370 2.400 1121.290 2.680 ;
+        RECT 1122.130 2.400 1124.510 2.680 ;
+        RECT 1125.350 2.400 1127.730 2.680 ;
+        RECT 1128.570 2.400 1130.490 2.680 ;
+        RECT 1131.330 2.400 1133.710 2.680 ;
+        RECT 1134.550 2.400 1136.930 2.680 ;
+        RECT 1137.770 2.400 1139.690 2.680 ;
+        RECT 1140.530 2.400 1142.910 2.680 ;
+        RECT 1143.750 2.400 1146.130 2.680 ;
+        RECT 1146.970 2.400 1148.890 2.680 ;
+        RECT 1149.730 2.400 1152.110 2.680 ;
+        RECT 1152.950 2.400 1154.870 2.680 ;
+        RECT 1155.710 2.400 1158.090 2.680 ;
+        RECT 1158.930 2.400 1161.310 2.680 ;
+        RECT 1162.150 2.400 1164.070 2.680 ;
+        RECT 1164.910 2.400 1167.290 2.680 ;
+        RECT 1168.130 2.400 1170.510 2.680 ;
+        RECT 1171.350 2.400 1173.270 2.680 ;
+        RECT 1174.110 2.400 1176.490 2.680 ;
+        RECT 1177.330 2.400 1179.710 2.680 ;
+        RECT 1180.550 2.400 1182.470 2.680 ;
+        RECT 1183.310 2.400 1185.690 2.680 ;
+        RECT 1186.530 2.400 1188.910 2.680 ;
+        RECT 1189.750 2.400 1191.670 2.680 ;
+        RECT 1192.510 2.400 1194.890 2.680 ;
+        RECT 1195.730 2.400 1198.110 2.680 ;
+        RECT 1198.950 2.400 1200.870 2.680 ;
+        RECT 1201.710 2.400 1204.090 2.680 ;
+        RECT 1204.930 2.400 1207.310 2.680 ;
+        RECT 1208.150 2.400 1210.070 2.680 ;
+        RECT 1210.910 2.400 1213.290 2.680 ;
+        RECT 1214.130 2.400 1216.510 2.680 ;
+        RECT 1217.350 2.400 1219.270 2.680 ;
+        RECT 1220.110 2.400 1222.490 2.680 ;
+        RECT 1223.330 2.400 1225.710 2.680 ;
+        RECT 1226.550 2.400 1228.470 2.680 ;
+        RECT 1229.310 2.400 1231.690 2.680 ;
+        RECT 1232.530 2.400 1234.910 2.680 ;
+        RECT 1235.750 2.400 1237.670 2.680 ;
+        RECT 1238.510 2.400 1240.890 2.680 ;
+        RECT 1241.730 2.400 1243.650 2.680 ;
+        RECT 1244.490 2.400 1246.870 2.680 ;
+        RECT 1247.710 2.400 1250.090 2.680 ;
+        RECT 1250.930 2.400 1252.850 2.680 ;
+        RECT 1253.690 2.400 1256.070 2.680 ;
+        RECT 1256.910 2.400 1259.290 2.680 ;
+        RECT 1260.130 2.400 1262.050 2.680 ;
+        RECT 1262.890 2.400 1265.270 2.680 ;
+        RECT 1266.110 2.400 1268.490 2.680 ;
+        RECT 1269.330 2.400 1271.250 2.680 ;
+        RECT 1272.090 2.400 1274.470 2.680 ;
+        RECT 1275.310 2.400 1277.690 2.680 ;
+        RECT 1278.530 2.400 1280.450 2.680 ;
+        RECT 1281.290 2.400 1283.670 2.680 ;
+        RECT 1284.510 2.400 1286.890 2.680 ;
+        RECT 1287.730 2.400 1289.650 2.680 ;
+        RECT 1290.490 2.400 1292.870 2.680 ;
+        RECT 1293.710 2.400 1296.090 2.680 ;
+        RECT 1296.930 2.400 1298.850 2.680 ;
+        RECT 1299.690 2.400 1302.070 2.680 ;
+        RECT 1302.910 2.400 1305.290 2.680 ;
+        RECT 1306.130 2.400 1308.050 2.680 ;
+        RECT 1308.890 2.400 1311.270 2.680 ;
+        RECT 1312.110 2.400 1314.490 2.680 ;
+        RECT 1315.330 2.400 1317.250 2.680 ;
+        RECT 1318.090 2.400 1320.470 2.680 ;
+        RECT 1321.310 2.400 1323.690 2.680 ;
+        RECT 1324.530 2.400 1326.450 2.680 ;
+        RECT 1327.290 2.400 1329.670 2.680 ;
+        RECT 1330.510 2.400 1332.430 2.680 ;
+        RECT 1333.270 2.400 1335.650 2.680 ;
+        RECT 1336.490 2.400 1338.870 2.680 ;
+        RECT 1339.710 2.400 1341.630 2.680 ;
+        RECT 1342.470 2.400 1344.850 2.680 ;
+        RECT 1345.690 2.400 1348.070 2.680 ;
+        RECT 1348.910 2.400 1350.830 2.680 ;
+        RECT 1351.670 2.400 1354.050 2.680 ;
+        RECT 1354.890 2.400 1357.270 2.680 ;
+        RECT 1358.110 2.400 1360.030 2.680 ;
+        RECT 1360.870 2.400 1363.250 2.680 ;
+        RECT 1364.090 2.400 1366.470 2.680 ;
+        RECT 1367.310 2.400 1369.230 2.680 ;
+        RECT 1370.070 2.400 1372.450 2.680 ;
+        RECT 1373.290 2.400 1375.670 2.680 ;
+        RECT 1376.510 2.400 1378.430 2.680 ;
+        RECT 1379.270 2.400 1381.650 2.680 ;
+        RECT 1382.490 2.400 1384.870 2.680 ;
+        RECT 1385.710 2.400 1387.630 2.680 ;
+        RECT 1388.470 2.400 1390.850 2.680 ;
+        RECT 1391.690 2.400 1394.070 2.680 ;
+        RECT 1394.910 2.400 1396.830 2.680 ;
+        RECT 1397.670 2.400 1400.050 2.680 ;
+        RECT 1400.890 2.400 1403.270 2.680 ;
+        RECT 1404.110 2.400 1406.030 2.680 ;
+        RECT 1406.870 2.400 1409.250 2.680 ;
+        RECT 1410.090 2.400 1412.470 2.680 ;
+        RECT 1413.310 2.400 1415.230 2.680 ;
+        RECT 1416.070 2.400 1418.450 2.680 ;
+        RECT 1419.290 2.400 1421.210 2.680 ;
+        RECT 1422.050 2.400 1424.430 2.680 ;
+        RECT 1425.270 2.400 1427.650 2.680 ;
+        RECT 1428.490 2.400 1430.410 2.680 ;
+        RECT 1431.250 2.400 1433.630 2.680 ;
+        RECT 1434.470 2.400 1436.850 2.680 ;
+        RECT 1437.690 2.400 1439.610 2.680 ;
+        RECT 1440.450 2.400 1442.830 2.680 ;
+        RECT 1443.670 2.400 1446.050 2.680 ;
+        RECT 1446.890 2.400 1448.810 2.680 ;
+        RECT 1449.650 2.400 1452.030 2.680 ;
+        RECT 1452.870 2.400 1455.250 2.680 ;
+        RECT 1456.090 2.400 1458.010 2.680 ;
+        RECT 1458.850 2.400 1461.230 2.680 ;
+        RECT 1462.070 2.400 1464.450 2.680 ;
+        RECT 1465.290 2.400 1467.210 2.680 ;
+        RECT 1468.050 2.400 1470.430 2.680 ;
+        RECT 1471.270 2.400 1473.650 2.680 ;
+        RECT 1474.490 2.400 1476.410 2.680 ;
+        RECT 1477.250 2.400 1479.630 2.680 ;
+        RECT 1480.470 2.400 1482.850 2.680 ;
+        RECT 1483.690 2.400 1485.610 2.680 ;
+        RECT 1486.450 2.400 1488.830 2.680 ;
+        RECT 1489.670 2.400 1492.050 2.680 ;
+        RECT 1492.890 2.400 1494.810 2.680 ;
+        RECT 1495.650 2.400 1498.030 2.680 ;
+      LAYER met3 ;
+        RECT 1.445 1979.160 1497.600 1988.485 ;
+        RECT 1.445 1978.480 1497.200 1979.160 ;
+        RECT 2.800 1977.760 1497.200 1978.480 ;
+        RECT 2.800 1977.080 1497.600 1977.760 ;
+        RECT 1.445 1937.680 1497.600 1977.080 ;
+        RECT 1.445 1936.280 1497.200 1937.680 ;
+        RECT 1.445 1934.960 1497.600 1936.280 ;
+        RECT 2.800 1933.560 1497.600 1934.960 ;
+        RECT 1.445 1896.200 1497.600 1933.560 ;
+        RECT 1.445 1894.800 1497.200 1896.200 ;
+        RECT 1.445 1891.440 1497.600 1894.800 ;
+        RECT 2.800 1890.040 1497.600 1891.440 ;
+        RECT 1.445 1854.040 1497.600 1890.040 ;
+        RECT 1.445 1852.640 1497.200 1854.040 ;
+        RECT 1.445 1847.920 1497.600 1852.640 ;
+        RECT 2.800 1846.520 1497.600 1847.920 ;
+        RECT 1.445 1812.560 1497.600 1846.520 ;
+        RECT 1.445 1811.160 1497.200 1812.560 ;
+        RECT 1.445 1804.400 1497.600 1811.160 ;
+        RECT 2.800 1803.000 1497.600 1804.400 ;
+        RECT 1.445 1771.080 1497.600 1803.000 ;
+        RECT 1.445 1769.680 1497.200 1771.080 ;
+        RECT 1.445 1760.880 1497.600 1769.680 ;
+        RECT 2.800 1759.480 1497.600 1760.880 ;
+        RECT 1.445 1729.600 1497.600 1759.480 ;
+        RECT 1.445 1728.200 1497.200 1729.600 ;
+        RECT 1.445 1717.360 1497.600 1728.200 ;
+        RECT 2.800 1715.960 1497.600 1717.360 ;
+        RECT 1.445 1687.440 1497.600 1715.960 ;
+        RECT 1.445 1686.040 1497.200 1687.440 ;
+        RECT 1.445 1673.840 1497.600 1686.040 ;
+        RECT 2.800 1672.440 1497.600 1673.840 ;
+        RECT 1.445 1645.960 1497.600 1672.440 ;
+        RECT 1.445 1644.560 1497.200 1645.960 ;
+        RECT 1.445 1630.320 1497.600 1644.560 ;
+        RECT 2.800 1628.920 1497.600 1630.320 ;
+        RECT 1.445 1604.480 1497.600 1628.920 ;
+        RECT 1.445 1603.080 1497.200 1604.480 ;
+        RECT 1.445 1586.800 1497.600 1603.080 ;
+        RECT 2.800 1585.400 1497.600 1586.800 ;
+        RECT 1.445 1563.000 1497.600 1585.400 ;
+        RECT 1.445 1561.600 1497.200 1563.000 ;
+        RECT 1.445 1543.280 1497.600 1561.600 ;
+        RECT 2.800 1541.880 1497.600 1543.280 ;
+        RECT 1.445 1520.840 1497.600 1541.880 ;
+        RECT 1.445 1519.440 1497.200 1520.840 ;
+        RECT 1.445 1499.760 1497.600 1519.440 ;
+        RECT 2.800 1498.360 1497.600 1499.760 ;
+        RECT 1.445 1479.360 1497.600 1498.360 ;
+        RECT 1.445 1477.960 1497.200 1479.360 ;
+        RECT 1.445 1456.240 1497.600 1477.960 ;
+        RECT 2.800 1454.840 1497.600 1456.240 ;
+        RECT 1.445 1437.880 1497.600 1454.840 ;
+        RECT 1.445 1436.480 1497.200 1437.880 ;
+        RECT 1.445 1412.720 1497.600 1436.480 ;
+        RECT 2.800 1411.320 1497.600 1412.720 ;
+        RECT 1.445 1395.720 1497.600 1411.320 ;
+        RECT 1.445 1394.320 1497.200 1395.720 ;
+        RECT 1.445 1369.200 1497.600 1394.320 ;
+        RECT 2.800 1367.800 1497.600 1369.200 ;
+        RECT 1.445 1354.240 1497.600 1367.800 ;
+        RECT 1.445 1352.840 1497.200 1354.240 ;
+        RECT 1.445 1326.360 1497.600 1352.840 ;
+        RECT 2.800 1324.960 1497.600 1326.360 ;
+        RECT 1.445 1312.760 1497.600 1324.960 ;
+        RECT 1.445 1311.360 1497.200 1312.760 ;
+        RECT 1.445 1282.840 1497.600 1311.360 ;
+        RECT 2.800 1281.440 1497.600 1282.840 ;
+        RECT 1.445 1271.280 1497.600 1281.440 ;
+        RECT 1.445 1269.880 1497.200 1271.280 ;
+        RECT 1.445 1239.320 1497.600 1269.880 ;
+        RECT 2.800 1237.920 1497.600 1239.320 ;
+        RECT 1.445 1229.120 1497.600 1237.920 ;
+        RECT 1.445 1227.720 1497.200 1229.120 ;
+        RECT 1.445 1195.800 1497.600 1227.720 ;
+        RECT 2.800 1194.400 1497.600 1195.800 ;
+        RECT 1.445 1187.640 1497.600 1194.400 ;
+        RECT 1.445 1186.240 1497.200 1187.640 ;
+        RECT 1.445 1152.280 1497.600 1186.240 ;
+        RECT 2.800 1150.880 1497.600 1152.280 ;
+        RECT 1.445 1146.160 1497.600 1150.880 ;
+        RECT 1.445 1144.760 1497.200 1146.160 ;
+        RECT 1.445 1108.760 1497.600 1144.760 ;
+        RECT 2.800 1107.360 1497.600 1108.760 ;
+        RECT 1.445 1104.680 1497.600 1107.360 ;
+        RECT 1.445 1103.280 1497.200 1104.680 ;
+        RECT 1.445 1065.240 1497.600 1103.280 ;
+        RECT 2.800 1063.840 1497.600 1065.240 ;
+        RECT 1.445 1062.520 1497.600 1063.840 ;
+        RECT 1.445 1061.120 1497.200 1062.520 ;
+        RECT 1.445 1021.720 1497.600 1061.120 ;
+        RECT 2.800 1021.040 1497.600 1021.720 ;
+        RECT 2.800 1020.320 1497.200 1021.040 ;
+        RECT 1.445 1019.640 1497.200 1020.320 ;
+        RECT 1.445 979.560 1497.600 1019.640 ;
+        RECT 1.445 978.200 1497.200 979.560 ;
+        RECT 2.800 978.160 1497.200 978.200 ;
+        RECT 2.800 976.800 1497.600 978.160 ;
+        RECT 1.445 937.400 1497.600 976.800 ;
+        RECT 1.445 936.000 1497.200 937.400 ;
+        RECT 1.445 934.680 1497.600 936.000 ;
+        RECT 2.800 933.280 1497.600 934.680 ;
+        RECT 1.445 895.920 1497.600 933.280 ;
+        RECT 1.445 894.520 1497.200 895.920 ;
+        RECT 1.445 891.160 1497.600 894.520 ;
+        RECT 2.800 889.760 1497.600 891.160 ;
+        RECT 1.445 854.440 1497.600 889.760 ;
+        RECT 1.445 853.040 1497.200 854.440 ;
+        RECT 1.445 847.640 1497.600 853.040 ;
+        RECT 2.800 846.240 1497.600 847.640 ;
+        RECT 1.445 812.960 1497.600 846.240 ;
+        RECT 1.445 811.560 1497.200 812.960 ;
+        RECT 1.445 804.120 1497.600 811.560 ;
+        RECT 2.800 802.720 1497.600 804.120 ;
+        RECT 1.445 770.800 1497.600 802.720 ;
+        RECT 1.445 769.400 1497.200 770.800 ;
+        RECT 1.445 760.600 1497.600 769.400 ;
+        RECT 2.800 759.200 1497.600 760.600 ;
+        RECT 1.445 729.320 1497.600 759.200 ;
+        RECT 1.445 727.920 1497.200 729.320 ;
+        RECT 1.445 717.080 1497.600 727.920 ;
+        RECT 2.800 715.680 1497.600 717.080 ;
+        RECT 1.445 687.840 1497.600 715.680 ;
+        RECT 1.445 686.440 1497.200 687.840 ;
+        RECT 1.445 674.240 1497.600 686.440 ;
+        RECT 2.800 672.840 1497.600 674.240 ;
+        RECT 1.445 646.360 1497.600 672.840 ;
+        RECT 1.445 644.960 1497.200 646.360 ;
+        RECT 1.445 630.720 1497.600 644.960 ;
+        RECT 2.800 629.320 1497.600 630.720 ;
+        RECT 1.445 604.200 1497.600 629.320 ;
+        RECT 1.445 602.800 1497.200 604.200 ;
+        RECT 1.445 587.200 1497.600 602.800 ;
+        RECT 2.800 585.800 1497.600 587.200 ;
+        RECT 1.445 562.720 1497.600 585.800 ;
+        RECT 1.445 561.320 1497.200 562.720 ;
+        RECT 1.445 543.680 1497.600 561.320 ;
+        RECT 2.800 542.280 1497.600 543.680 ;
+        RECT 1.445 521.240 1497.600 542.280 ;
+        RECT 1.445 519.840 1497.200 521.240 ;
+        RECT 1.445 500.160 1497.600 519.840 ;
+        RECT 2.800 498.760 1497.600 500.160 ;
+        RECT 1.445 479.080 1497.600 498.760 ;
+        RECT 1.445 477.680 1497.200 479.080 ;
+        RECT 1.445 456.640 1497.600 477.680 ;
+        RECT 2.800 455.240 1497.600 456.640 ;
+        RECT 1.445 437.600 1497.600 455.240 ;
+        RECT 1.445 436.200 1497.200 437.600 ;
+        RECT 1.445 413.120 1497.600 436.200 ;
+        RECT 2.800 411.720 1497.600 413.120 ;
+        RECT 1.445 396.120 1497.600 411.720 ;
+        RECT 1.445 394.720 1497.200 396.120 ;
+        RECT 1.445 369.600 1497.600 394.720 ;
+        RECT 2.800 368.200 1497.600 369.600 ;
+        RECT 1.445 354.640 1497.600 368.200 ;
+        RECT 1.445 353.240 1497.200 354.640 ;
+        RECT 1.445 326.080 1497.600 353.240 ;
+        RECT 2.800 324.680 1497.600 326.080 ;
+        RECT 1.445 312.480 1497.600 324.680 ;
+        RECT 1.445 311.080 1497.200 312.480 ;
+        RECT 1.445 282.560 1497.600 311.080 ;
+        RECT 2.800 281.160 1497.600 282.560 ;
+        RECT 1.445 271.000 1497.600 281.160 ;
+        RECT 1.445 269.600 1497.200 271.000 ;
+        RECT 1.445 239.040 1497.600 269.600 ;
+        RECT 2.800 237.640 1497.600 239.040 ;
+        RECT 1.445 229.520 1497.600 237.640 ;
+        RECT 1.445 228.120 1497.200 229.520 ;
+        RECT 1.445 195.520 1497.600 228.120 ;
+        RECT 2.800 194.120 1497.600 195.520 ;
+        RECT 1.445 188.040 1497.600 194.120 ;
+        RECT 1.445 186.640 1497.200 188.040 ;
+        RECT 1.445 152.000 1497.600 186.640 ;
+        RECT 2.800 150.600 1497.600 152.000 ;
+        RECT 1.445 145.880 1497.600 150.600 ;
+        RECT 1.445 144.480 1497.200 145.880 ;
+        RECT 1.445 108.480 1497.600 144.480 ;
+        RECT 2.800 107.080 1497.600 108.480 ;
+        RECT 1.445 104.400 1497.600 107.080 ;
+        RECT 1.445 103.000 1497.200 104.400 ;
+        RECT 1.445 64.960 1497.600 103.000 ;
+        RECT 2.800 63.560 1497.600 64.960 ;
+        RECT 1.445 62.920 1497.600 63.560 ;
+        RECT 1.445 61.520 1497.200 62.920 ;
+        RECT 1.445 22.120 1497.600 61.520 ;
+        RECT 2.800 21.440 1497.600 22.120 ;
+        RECT 2.800 20.720 1497.200 21.440 ;
+        RECT 1.445 20.040 1497.200 20.720 ;
+        RECT 1.445 10.715 1497.600 20.040 ;
+      LAYER met4 ;
+        RECT 81.255 10.640 97.440 1988.560 ;
+        RECT 99.840 10.640 1481.840 1988.560 ;
+  END
+END fwpayload
+END LIBRARY
+
diff --git a/mag/fwpayload.mag.gz b/mag/fwpayload.mag.gz
new file mode 100644
index 0000000..68120dc
--- /dev/null
+++ b/mag/fwpayload.mag.gz
Binary files differ
diff --git a/spi/lvs/fwpayload.spice b/spi/lvs/fwpayload.spice
new file mode 100644
index 0000000..efa2361
--- /dev/null
+++ b/spi/lvs/fwpayload.spice
@@ -0,0 +1,298486 @@
+* NGSPICE file created from fwpayload.ext - technology: sky130A
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_12 abstract view
+.subckt sky130_fd_sc_hd__decap_12 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_8 abstract view
+.subckt sky130_fd_sc_hd__decap_8 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__fill_1 abstract view
+.subckt sky130_fd_sc_hd__fill_1 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_4 abstract view
+.subckt sky130_fd_sc_hd__decap_4 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nand2_2 abstract view
+.subckt sky130_fd_sc_hd__nand2_2 A B VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_3 abstract view
+.subckt sky130_fd_sc_hd__decap_3 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nor2_2 abstract view
+.subckt sky130_fd_sc_hd__nor2_2 A B VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__decap_6 abstract view
+.subckt sky130_fd_sc_hd__decap_6 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__dfxtp_4 abstract view
+.subckt sky130_fd_sc_hd__dfxtp_4 CLK D VGND VNB VPB VPWR Q
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nor3_2 abstract view
+.subckt sky130_fd_sc_hd__nor3_2 A B C VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__buf_1 abstract view
+.subckt sky130_fd_sc_hd__buf_1 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__fill_2 abstract view
+.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nand3_2 abstract view
+.subckt sky130_fd_sc_hd__nand3_2 A B C VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__tapvpwrvgnd_1 abstract view
+.subckt sky130_fd_sc_hd__tapvpwrvgnd_1 VGND VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or2_2 abstract view
+.subckt sky130_fd_sc_hd__or2_2 A B VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_1 abstract view
+.subckt sky130_fd_sc_hd__clkbuf_1 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or3_2 abstract view
+.subckt sky130_fd_sc_hd__or3_2 A B C VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__inv_8 abstract view
+.subckt sky130_fd_sc_hd__inv_8 A VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__and2_2 abstract view
+.subckt sky130_fd_sc_hd__and2_2 A B VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__diode_2 abstract view
+.subckt sky130_fd_sc_hd__diode_2 DIODE VGND VNB VPB VPWR
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__and3_2 abstract view
+.subckt sky130_fd_sc_hd__and3_2 A B C VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__o22a_4 abstract view
+.subckt sky130_fd_sc_hd__o22a_4 A1 A2 B1 B2 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__conb_1 abstract view
+.subckt sky130_fd_sc_hd__conb_1 VGND VNB VPB VPWR HI LO
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or4_2 abstract view
+.subckt sky130_fd_sc_hd__or4_2 A B C D VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__and4_2 abstract view
+.subckt sky130_fd_sc_hd__and4_2 A B C D VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nor4_2 abstract view
+.subckt sky130_fd_sc_hd__nor4_2 A B C D VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__dfrtp_4 abstract view
+.subckt sky130_fd_sc_hd__dfrtp_4 CLK D RESET_B VGND VNB VPB VPWR Q
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__dfstp_4 abstract view
+.subckt sky130_fd_sc_hd__dfstp_4 CLK D SET_B VGND VNB VPB VPWR Q
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or2_4 abstract view
+.subckt sky130_fd_sc_hd__or2_4 A B VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__a32oi_4 abstract view
+.subckt sky130_fd_sc_hd__a32oi_4 A1 A2 A3 B1 B2 VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__xor2_2 abstract view
+.subckt sky130_fd_sc_hd__xor2_2 A B VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__buf_2 abstract view
+.subckt sky130_fd_sc_hd__buf_2 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__o21a_4 abstract view
+.subckt sky130_fd_sc_hd__o21a_4 A1 A2 B1 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__a211o_4 abstract view
+.subckt sky130_fd_sc_hd__a211o_4 A1 A2 B1 C1 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nor2_4 abstract view
+.subckt sky130_fd_sc_hd__nor2_4 A B VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__a21o_4 abstract view
+.subckt sky130_fd_sc_hd__a21o_4 A1 A2 B1 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__clkbuf_16 abstract view
+.subckt sky130_fd_sc_hd__clkbuf_16 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__a32o_4 abstract view
+.subckt sky130_fd_sc_hd__a32o_4 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nand2_4 abstract view
+.subckt sky130_fd_sc_hd__nand2_4 A B VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__buf_6 abstract view
+.subckt sky130_fd_sc_hd__buf_6 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or4_4 abstract view
+.subckt sky130_fd_sc_hd__or4_4 A B C D VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__a21oi_4 abstract view
+.subckt sky130_fd_sc_hd__a21oi_4 A1 A2 B1 VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__and3_4 abstract view
+.subckt sky130_fd_sc_hd__and3_4 A B C VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__xnor2_2 abstract view
+.subckt sky130_fd_sc_hd__xnor2_2 A B VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__or3_4 abstract view
+.subckt sky130_fd_sc_hd__or3_4 A B C VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nand4_2 abstract view
+.subckt sky130_fd_sc_hd__nand4_2 A B C D VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__nor3_4 abstract view
+.subckt sky130_fd_sc_hd__nor3_4 A B C VGND VNB VPB VPWR Y
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_hd__o21ai_4 abstract view
+.subckt sky130_fd_sc_hd__o21ai_4 A1 A2 B1 VGND VNB VPB VPWR Y
+.ends
+
+.subckt fwpayload io_in[0] io_in[10] io_in[11] io_in[12] io_in[13] io_in[14] io_in[15]
++ io_in[16] io_in[17] io_in[18] io_in[19] io_in[1] io_in[20] io_in[21] io_in[22] io_in[23]
++ io_in[24] io_in[25] io_in[26] io_in[27] io_in[28] io_in[29] io_in[2] io_in[30] io_in[31]
++ io_in[32] io_in[33] io_in[34] io_in[35] io_in[36] io_in[37] io_in[3] io_in[4] io_in[5]
++ io_in[6] io_in[7] io_in[8] io_in[9] io_oeb[0] io_oeb[10] io_oeb[11] io_oeb[12] io_oeb[13]
++ io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18] io_oeb[19] io_oeb[1] io_oeb[20]
++ io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25] io_oeb[26] io_oeb[27] io_oeb[28]
++ io_oeb[29] io_oeb[2] io_oeb[30] io_oeb[31] io_oeb[32] io_oeb[33] io_oeb[34] io_oeb[35]
++ io_oeb[36] io_oeb[37] io_oeb[3] io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8]
++ io_oeb[9] io_out[0] io_out[10] io_out[11] io_out[12] io_out[13] io_out[14] io_out[15]
++ io_out[16] io_out[17] io_out[18] io_out[19] io_out[1] io_out[20] io_out[21] io_out[22]
++ io_out[23] io_out[24] io_out[25] io_out[26] io_out[27] io_out[28] io_out[29] io_out[2]
++ io_out[30] io_out[31] io_out[32] io_out[33] io_out[34] io_out[35] io_out[36] io_out[37]
++ io_out[3] io_out[4] io_out[5] io_out[6] io_out[7] io_out[8] io_out[9] la_data_in[0]
++ la_data_in[100] la_data_in[101] la_data_in[102] la_data_in[103] la_data_in[104]
++ la_data_in[105] la_data_in[106] la_data_in[107] la_data_in[108] la_data_in[109]
++ la_data_in[10] la_data_in[110] la_data_in[111] la_data_in[112] la_data_in[113] la_data_in[114]
++ la_data_in[115] la_data_in[116] la_data_in[117] la_data_in[118] la_data_in[119]
++ la_data_in[11] la_data_in[120] la_data_in[121] la_data_in[122] la_data_in[123] la_data_in[124]
++ la_data_in[125] la_data_in[126] la_data_in[127] la_data_in[12] la_data_in[13] la_data_in[14]
++ la_data_in[15] la_data_in[16] la_data_in[17] la_data_in[18] la_data_in[19] la_data_in[1]
++ la_data_in[20] la_data_in[21] la_data_in[22] la_data_in[23] la_data_in[24] la_data_in[25]
++ la_data_in[26] la_data_in[27] la_data_in[28] la_data_in[29] la_data_in[2] la_data_in[30]
++ la_data_in[31] la_data_in[32] la_data_in[33] la_data_in[34] la_data_in[35] la_data_in[36]
++ la_data_in[37] la_data_in[38] la_data_in[39] la_data_in[3] la_data_in[40] la_data_in[41]
++ la_data_in[42] la_data_in[43] la_data_in[44] la_data_in[45] la_data_in[46] la_data_in[47]
++ la_data_in[48] la_data_in[49] la_data_in[4] la_data_in[50] la_data_in[51] la_data_in[52]
++ la_data_in[53] la_data_in[54] la_data_in[55] la_data_in[56] la_data_in[57] la_data_in[58]
++ la_data_in[59] la_data_in[5] la_data_in[60] la_data_in[61] la_data_in[62] la_data_in[63]
++ la_data_in[64] la_data_in[65] la_data_in[66] la_data_in[67] la_data_in[68] la_data_in[69]
++ la_data_in[6] la_data_in[70] la_data_in[71] la_data_in[72] la_data_in[73] la_data_in[74]
++ la_data_in[75] la_data_in[76] la_data_in[77] la_data_in[78] la_data_in[79] la_data_in[7]
++ la_data_in[80] la_data_in[81] la_data_in[82] la_data_in[83] la_data_in[84] la_data_in[85]
++ la_data_in[86] la_data_in[87] la_data_in[88] la_data_in[89] la_data_in[8] la_data_in[90]
++ la_data_in[91] la_data_in[92] la_data_in[93] la_data_in[94] la_data_in[95] la_data_in[96]
++ la_data_in[97] la_data_in[98] la_data_in[99] la_data_in[9] la_data_out[0] la_data_out[100]
++ la_data_out[101] la_data_out[102] la_data_out[103] la_data_out[104] la_data_out[105]
++ la_data_out[106] la_data_out[107] la_data_out[108] la_data_out[109] la_data_out[10]
++ la_data_out[110] la_data_out[111] la_data_out[112] la_data_out[113] la_data_out[114]
++ la_data_out[115] la_data_out[116] la_data_out[117] la_data_out[118] la_data_out[119]
++ la_data_out[11] la_data_out[120] la_data_out[121] la_data_out[122] la_data_out[123]
++ la_data_out[124] la_data_out[125] la_data_out[126] la_data_out[127] la_data_out[12]
++ la_data_out[13] la_data_out[14] la_data_out[15] la_data_out[16] la_data_out[17]
++ la_data_out[18] la_data_out[19] la_data_out[1] la_data_out[20] la_data_out[21] la_data_out[22]
++ la_data_out[23] la_data_out[24] la_data_out[25] la_data_out[26] la_data_out[27]
++ la_data_out[28] la_data_out[29] la_data_out[2] la_data_out[30] la_data_out[31] la_data_out[32]
++ la_data_out[33] la_data_out[34] la_data_out[35] la_data_out[36] la_data_out[37]
++ la_data_out[38] la_data_out[39] la_data_out[3] la_data_out[40] la_data_out[41] la_data_out[42]
++ la_data_out[43] la_data_out[44] la_data_out[45] la_data_out[46] la_data_out[47]
++ la_data_out[48] la_data_out[49] la_data_out[4] la_data_out[50] la_data_out[51] la_data_out[52]
++ la_data_out[53] la_data_out[54] la_data_out[55] la_data_out[56] la_data_out[57]
++ la_data_out[58] la_data_out[59] la_data_out[5] la_data_out[60] la_data_out[61] la_data_out[62]
++ la_data_out[63] la_data_out[64] la_data_out[65] la_data_out[66] la_data_out[67]
++ la_data_out[68] la_data_out[69] la_data_out[6] la_data_out[70] la_data_out[71] la_data_out[72]
++ la_data_out[73] la_data_out[74] la_data_out[75] la_data_out[76] la_data_out[77]
++ la_data_out[78] la_data_out[79] la_data_out[7] la_data_out[80] la_data_out[81] la_data_out[82]
++ la_data_out[83] la_data_out[84] la_data_out[85] la_data_out[86] la_data_out[87]
++ la_data_out[88] la_data_out[89] la_data_out[8] la_data_out[90] la_data_out[91] la_data_out[92]
++ la_data_out[93] la_data_out[94] la_data_out[95] la_data_out[96] la_data_out[97]
++ la_data_out[98] la_data_out[99] la_data_out[9] la_oen[0] la_oen[100] la_oen[101]
++ la_oen[102] la_oen[103] la_oen[104] la_oen[105] la_oen[106] la_oen[107] la_oen[108]
++ la_oen[109] la_oen[10] la_oen[110] la_oen[111] la_oen[112] la_oen[113] la_oen[114]
++ la_oen[115] la_oen[116] la_oen[117] la_oen[118] la_oen[119] la_oen[11] la_oen[120]
++ la_oen[121] la_oen[122] la_oen[123] la_oen[124] la_oen[125] la_oen[126] la_oen[127]
++ la_oen[12] la_oen[13] la_oen[14] la_oen[15] la_oen[16] la_oen[17] la_oen[18] la_oen[19]
++ la_oen[1] la_oen[20] la_oen[21] la_oen[22] la_oen[23] la_oen[24] la_oen[25] la_oen[26]
++ la_oen[27] la_oen[28] la_oen[29] la_oen[2] la_oen[30] la_oen[31] la_oen[32] la_oen[33]
++ la_oen[34] la_oen[35] la_oen[36] la_oen[37] la_oen[38] la_oen[39] la_oen[3] la_oen[40]
++ la_oen[41] la_oen[42] la_oen[43] la_oen[44] la_oen[45] la_oen[46] la_oen[47] la_oen[48]
++ la_oen[49] la_oen[4] la_oen[50] la_oen[51] la_oen[52] la_oen[53] la_oen[54] la_oen[55]
++ la_oen[56] la_oen[57] la_oen[58] la_oen[59] la_oen[5] la_oen[60] la_oen[61] la_oen[62]
++ la_oen[63] la_oen[64] la_oen[65] la_oen[66] la_oen[67] la_oen[68] la_oen[69] la_oen[6]
++ la_oen[70] la_oen[71] la_oen[72] la_oen[73] la_oen[74] la_oen[75] la_oen[76] la_oen[77]
++ la_oen[78] la_oen[79] la_oen[7] la_oen[80] la_oen[81] la_oen[82] la_oen[83] la_oen[84]
++ la_oen[85] la_oen[86] la_oen[87] la_oen[88] la_oen[89] la_oen[8] la_oen[90] la_oen[91]
++ la_oen[92] la_oen[93] la_oen[94] la_oen[95] la_oen[96] la_oen[97] la_oen[98] la_oen[99]
++ la_oen[9] vccd1 vccd2 vdda1 vdda2 vssa1 vssa2 vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o
++ wbs_adr_i[0] wbs_adr_i[10] wbs_adr_i[11] wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14]
++ wbs_adr_i[15] wbs_adr_i[16] wbs_adr_i[17] wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1]
++ wbs_adr_i[20] wbs_adr_i[21] wbs_adr_i[22] wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25]
++ wbs_adr_i[26] wbs_adr_i[27] wbs_adr_i[28] wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30]
++ wbs_adr_i[31] wbs_adr_i[3] wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8]
++ wbs_adr_i[9] wbs_cyc_i wbs_dat_i[0] wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13]
++ wbs_dat_i[14] wbs_dat_i[15] wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19]
++ wbs_dat_i[1] wbs_dat_i[20] wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24]
++ wbs_dat_i[25] wbs_dat_i[26] wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2]
++ wbs_dat_i[30] wbs_dat_i[31] wbs_dat_i[3] wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6]
++ wbs_dat_i[7] wbs_dat_i[8] wbs_dat_i[9] wbs_dat_o[0] wbs_dat_o[10] wbs_dat_o[11]
++ wbs_dat_o[12] wbs_dat_o[13] wbs_dat_o[14] wbs_dat_o[15] wbs_dat_o[16] wbs_dat_o[17]
++ wbs_dat_o[18] wbs_dat_o[19] wbs_dat_o[1] wbs_dat_o[20] wbs_dat_o[21] wbs_dat_o[22]
++ wbs_dat_o[23] wbs_dat_o[24] wbs_dat_o[25] wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28]
++ wbs_dat_o[29] wbs_dat_o[2] wbs_dat_o[30] wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4]
++ wbs_dat_o[5] wbs_dat_o[6] wbs_dat_o[7] wbs_dat_o[8] wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1]
++ wbs_sel_i[2] wbs_sel_i[3] wbs_stb_i wbs_we_i VPWR VGND
+XFILLER_449_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_79_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39511_ _39511_/A _39510_/Y VGND VGND VPWR VPWR _39511_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_149_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_210_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_605_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48709_ _48709_/A _48708_/X VGND VGND VPWR VPWR _48709_/Y sky130_fd_sc_hd__nand2_2
+X_67543_ _80546_/Q _67718_/B VGND VGND VPWR VPWR _67543_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_3_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79529_ _79119_/CLK _42085_/Y VGND VGND VPWR VPWR _70213_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_368_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_524_2809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64755_ _64561_/A _64755_/B _64755_/C VGND VGND VPWR VPWR _64756_/C sky130_fd_sc_hd__nor3_2
+XFILLER_411_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_42_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_490_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61967_ _61344_/A _61961_/Y _61966_/Y VGND VGND VPWR VPWR _61967_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_626_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49689_ _49705_/B VGND VGND VPWR VPWR _49702_/B sky130_fd_sc_hd__buf_1
+XFILLER_724_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_97_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_94_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_485_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_97_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51720_ _51720_/A VGND VGND VPWR VPWR _51809_/A sky130_fd_sc_hd__buf_1
+X_39442_ _39442_/A _39438_/B _80125_/Q VGND VGND VPWR VPWR _39442_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_427_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63706_ _78370_/Q _63837_/B VGND VGND VPWR VPWR _63707_/C sky130_fd_sc_hd__nor2_2
+XFILLER_27_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_23_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60918_ _60918_/A _60452_/B VGND VGND VPWR VPWR _60919_/C sky130_fd_sc_hd__nor2_2
+XFILLER_227_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_3_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67474_ _68297_/A VGND VGND VPWR VPWR _67475_/B sky130_fd_sc_hd__buf_1
+XFILLER_184_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_471_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64686_ _65015_/A _64684_/Y _64685_/Y VGND VGND VPWR VPWR _64686_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_223_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_446_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_265_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61898_ _61898_/A _61276_/B VGND VGND VPWR VPWR _61898_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_282_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_266_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69213_ _58701_/A _64527_/B VGND VGND VPWR VPWR _69213_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_698_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66425_ _68196_/A VGND VGND VPWR VPWR _66425_/X sky130_fd_sc_hd__buf_1
+XFILLER_364_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39373_ _39373_/A _39373_/B VGND VGND VPWR VPWR _80143_/D sky130_fd_sc_hd__nand2_2
+X_51651_ _51648_/Y _51651_/B VGND VGND VPWR VPWR _77756_/D sky130_fd_sc_hd__nand2_2
+XFILLER_264_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63637_ _63637_/A _63636_/Y VGND VGND VPWR VPWR _63638_/B sky130_fd_sc_hd__nor2_2
+XFILLER_405_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60849_ _77759_/Q _60375_/X VGND VGND VPWR VPWR _60851_/B sky130_fd_sc_hd__nor2_2
+XFILLER_260_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_604_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_39_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_364_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_423_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_270_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38324_ _38324_/A _38324_/B VGND VGND VPWR VPWR _80405_/D sky130_fd_sc_hd__nand2_2
+X_50602_ _50658_/A _50602_/B VGND VGND VPWR VPWR _50602_/X sky130_fd_sc_hd__or2_2
+XFILLER_561_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81422_ _81454_/CLK _72473_/Y VGND VGND VPWR VPWR _69480_/A sky130_fd_sc_hd__dfxtp_4
+X_69144_ _69144_/A _68514_/X VGND VGND VPWR VPWR _69144_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_74_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_639_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66356_ _57253_/A _67009_/B VGND VGND VPWR VPWR _66356_/Y sky130_fd_sc_hd__nor2_2
+X_54370_ _60313_/A _54388_/B VGND VGND VPWR VPWR _54374_/A sky130_fd_sc_hd__nand2_2
+XFILLER_475_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_399_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_659_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51582_ _60701_/A _51586_/B VGND VGND VPWR VPWR _51585_/A sky130_fd_sc_hd__nand2_2
+X_63568_ _63568_/A _62954_/B VGND VGND VPWR VPWR _63568_/Y sky130_fd_sc_hd__nor2_2
+XPHY_2419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_225_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_440_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_449_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_162_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_195_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53321_ _53158_/A VGND VGND VPWR VPWR _53626_/A sky130_fd_sc_hd__buf_1
+XFILLER_397_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65307_ _65307_/A _64629_/X VGND VGND VPWR VPWR _65307_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_74_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38255_ _76254_/A _38239_/X VGND VGND VPWR VPWR _38255_/X sky130_fd_sc_hd__or2_2
+X_50533_ _50533_/A _50533_/B VGND VGND VPWR VPWR _78049_/D sky130_fd_sc_hd__nand2_2
+XFILLER_479_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62519_ _62519_/A _61894_/X VGND VGND VPWR VPWR _62519_/Y sky130_fd_sc_hd__nor2_2
+X_81353_ _81354_/CLK _81353_/D VGND VGND VPWR VPWR _72734_/C sky130_fd_sc_hd__dfxtp_4
+X_69075_ _69353_/A _69073_/Y _69074_/Y VGND VGND VPWR VPWR _69075_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_71_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_36_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66287_ _81370_/Q _66287_/B VGND VGND VPWR VPWR _66288_/C sky130_fd_sc_hd__nor2_2
+XFILLER_479_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_56_0_u_core.clock ANTENNA_560/DIODE VGND VGND VPWR VPWR clkbuf_6_56_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_503_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_196_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63499_ _63499_/A _63498_/Y VGND VGND VPWR VPWR _63500_/B sky130_fd_sc_hd__nor2_2
+XFILLER_479_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_22_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_396_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_557_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_91_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56040_ _45225_/Y _55986_/B VGND VGND VPWR VPWR _56042_/B sky130_fd_sc_hd__nor2_2
+XFILLER_573_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80304_ _80304_/CLK _38736_/Y VGND VGND VPWR VPWR _38735_/C sky130_fd_sc_hd__dfxtp_4
+X_68026_ _68026_/A _68026_/B _68025_/Y VGND VGND VPWR VPWR _68026_/X sky130_fd_sc_hd__or3_2
+XFILLER_492_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_149_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_706_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53252_ _53259_/A VGND VGND VPWR VPWR _53271_/B sky130_fd_sc_hd__buf_1
+X_65238_ _65238_/A _65052_/X VGND VGND VPWR VPWR _65239_/C sky130_fd_sc_hd__nor2_2
+XFILLER_397_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_260_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_672_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_535_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50464_ _50461_/X VGND VGND VPWR VPWR _50486_/B sky130_fd_sc_hd__buf_1
+XFILLER_555_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81284_ _81285_/CLK _81284_/D VGND VGND VPWR VPWR _67923_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_358_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_206_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_555_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_371_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_13_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_473_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_456_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52203_ _77610_/Q _52195_/X VGND VGND VPWR VPWR _52203_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_203_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_570_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_473_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_3028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80235_ _80304_/CLK _80235_/D VGND VGND VPWR VPWR _80235_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53183_ _54986_/A VGND VGND VPWR VPWR _53244_/A sky130_fd_sc_hd__buf_1
+XFILLER_156_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65169_ _56673_/A _64660_/X VGND VGND VPWR VPWR _65172_/B sky130_fd_sc_hd__nor2_2
+XFILLER_148_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_273_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50395_ _50281_/A _50372_/A VGND VGND VPWR VPWR _50395_/X sky130_fd_sc_hd__or2_2
+XFILLER_175_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_434_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_108_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_293_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52134_ _52134_/A _52134_/B VGND VGND VPWR VPWR _52134_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_30_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_164_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80166_ _80163_/CLK _80166_/D VGND VGND VPWR VPWR _80166_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_121_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57991_ _38908_/C _57912_/X VGND VGND VPWR VPWR _57992_/C sky130_fd_sc_hd__nor2_2
+XFILLER_438_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69977_ _42550_/A VGND VGND VPWR VPWR _70223_/A sky130_fd_sc_hd__inv_8
+XFILLER_631_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_611_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59730_ _59893_/A _59730_/B _59729_/Y VGND VGND VPWR VPWR _59730_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_271_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_568_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_3058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56942_ _65698_/A _57012_/B VGND VGND VPWR VPWR _56943_/C sky130_fd_sc_hd__nor2_2
+X_52065_ _52065_/A _52037_/B VGND VGND VPWR VPWR _52068_/A sky130_fd_sc_hd__or2_2
+XFILLER_11_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68928_ _68928_/A _68259_/X VGND VGND VPWR VPWR _68930_/B sky130_fd_sc_hd__nor2_2
+XFILLER_668_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_176_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80097_ _80094_/CLK _39548_/Y VGND VGND VPWR VPWR _57804_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_388_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_711_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51016_ _51016_/A _51015_/X VGND VGND VPWR VPWR _51016_/Y sky130_fd_sc_hd__nand2_2
+XPHY_11139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_416_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59661_ _59661_/A _59661_/B VGND VGND VPWR VPWR _59663_/B sky130_fd_sc_hd__nor2_2
+XFILLER_369_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56873_ _56319_/A VGND VGND VPWR VPWR _56882_/A sky130_fd_sc_hd__buf_1
+XFILLER_330_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68859_ _68528_/A _68859_/B _68858_/Y VGND VGND VPWR VPWR _68859_/Y sky130_fd_sc_hd__nor3_2
+XPHY_20939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_120_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_527_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_267_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58612_ _58679_/A _58612_/B VGND VGND VPWR VPWR _58613_/C sky130_fd_sc_hd__nor2_2
+XFILLER_77_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_726_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55824_ _55826_/A VGND VGND VPWR VPWR _55829_/B sky130_fd_sc_hd__buf_1
+XFILLER_63_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_63_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59592_ _59759_/A _59589_/Y _59591_/Y VGND VGND VPWR VPWR _59600_/B sky130_fd_sc_hd__nor3_2
+X_71870_ _71870_/A _71148_/B VGND VGND VPWR VPWR _71870_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_664_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_608_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39709_ _39221_/A _39701_/X VGND VGND VPWR VPWR _39712_/A sky130_fd_sc_hd__or2_2
+XFILLER_24_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58543_ _58215_/A _58539_/Y _58543_/C VGND VGND VPWR VPWR _58544_/B sky130_fd_sc_hd__nor3_2
+X_70821_ _70820_/X VGND VGND VPWR VPWR _70831_/A sky130_fd_sc_hd__inv_8
+XFILLER_562_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_703_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55755_ _76785_/Q _55741_/B VGND VGND VPWR VPWR _55757_/A sky130_fd_sc_hd__nand2_2
+XFILLER_213_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_36_0_u_core.clock clkbuf_7_37_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_36_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_562_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_549_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40981_ _40619_/A _40984_/B VGND VGND VPWR VPWR _40981_/X sky130_fd_sc_hd__or2_2
+XPHY_29904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52967_ _52967_/A _52966_/X VGND VGND VPWR VPWR _77405_/D sky130_fd_sc_hd__nand2_2
+XPHY_5001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_527_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80999_ _80751_/CLK _74190_/Y VGND VGND VPWR VPWR _80999_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_73_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_435_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_711_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42720_ _42691_/A _42720_/B VGND VGND VPWR VPWR _42720_/X sky130_fd_sc_hd__and2_2
+XFILLER_679_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54706_ _54791_/A _54727_/B VGND VGND VPWR VPWR _54706_/X sky130_fd_sc_hd__or2_2
+XFILLER_711_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73540_ _73540_/A _73540_/B VGND VGND VPWR VPWR _73540_/Y sky130_fd_sc_hd__nand2_2
+XPHY_29937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51918_ _61599_/A _51918_/B VGND VGND VPWR VPWR _51921_/A sky130_fd_sc_hd__nand2_2
+XFILLER_369_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58474_ _56878_/A VGND VGND VPWR VPWR _58753_/A sky130_fd_sc_hd__buf_1
+XFILLER_280_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70752_ _70752_/A _70752_/B _70751_/Y VGND VGND VPWR VPWR _70753_/A sky130_fd_sc_hd__or3_2
+XFILLER_677_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55686_ _55704_/A _55685_/X VGND VGND VPWR VPWR _55686_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_246_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_625_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_172_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52898_ _77422_/Q _52898_/B VGND VGND VPWR VPWR _52900_/A sky130_fd_sc_hd__nand2_2
+XFILLER_284_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_720_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_202 _76343_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_407_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_505_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_624_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_213 _75585_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_57425_ _57425_/A _57275_/B VGND VGND VPWR VPWR _57426_/C sky130_fd_sc_hd__nor2_2
+XFILLER_423_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42651_ _42651_/A VGND VGND VPWR VPWR _66864_/A sky130_fd_sc_hd__inv_8
+XFILLER_603_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54637_ _54488_/A VGND VGND VPWR VPWR _54702_/B sky130_fd_sc_hd__buf_1
+XANTENNA_224 _75387_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_73471_ _73471_/A _73470_/X VGND VGND VPWR VPWR _81176_/D sky130_fd_sc_hd__nand2_2
+XFILLER_462_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51849_ _60511_/A _51849_/B VGND VGND VPWR VPWR _51849_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_328_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70683_ _70724_/B _76650_/Q _70683_/C VGND VGND VPWR VPWR _70684_/B sky130_fd_sc_hd__and3_2
+XFILLER_596_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_235 _76187_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_540_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_54_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_543_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_246 _38676_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_505_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75210_ _75210_/A _75213_/B VGND VGND VPWR VPWR _75210_/X sky130_fd_sc_hd__or2_2
+XFILLER_504_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41602_ _41528_/X VGND VGND VPWR VPWR _41616_/A sky130_fd_sc_hd__buf_1
+XFILLER_2_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_257 _40155_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_72422_ _72429_/A _72422_/B _66447_/A VGND VGND VPWR VPWR _72423_/B sky130_fd_sc_hd__nand3_2
+XFILLER_363_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_268 _40590_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_57_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45370_ _45370_/A VGND VGND VPWR VPWR _45371_/B sky130_fd_sc_hd__inv_8
+XPHY_36125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57356_ _57281_/A _57356_/B _57356_/C VGND VGND VPWR VPWR _57356_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_39_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76190_ _76190_/A _76189_/Y VGND VGND VPWR VPWR _80502_/D sky130_fd_sc_hd__nand2_2
+XFILLER_60_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42582_ _42606_/A _42572_/Y _42581_/Y VGND VGND VPWR VPWR _42582_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_677_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_431_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54568_ _54568_/A _54567_/X VGND VGND VPWR VPWR _76985_/D sky130_fd_sc_hd__nand2_2
+XFILLER_403_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_279 _42281_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_359_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_556_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44321_ _44321_/A VGND VGND VPWR VPWR _44322_/A sky130_fd_sc_hd__buf_1
+XPHY_3676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56307_ _56450_/A VGND VGND VPWR VPWR _57037_/A sky130_fd_sc_hd__buf_1
+X_75141_ _75102_/A VGND VGND VPWR VPWR _75145_/B sky130_fd_sc_hd__buf_1
+XFILLER_548_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41533_ _41530_/A _41517_/B _41533_/C VGND VGND VPWR VPWR _41534_/B sky130_fd_sc_hd__nand3_2
+XFILLER_208_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53519_ _53517_/Y _53518_/X VGND VGND VPWR VPWR _77264_/D sky130_fd_sc_hd__nand2_2
+XPHY_2942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72353_ _72165_/A _72463_/B VGND VGND VPWR VPWR _72353_/X sky130_fd_sc_hd__or2_2
+XPHY_3687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57287_ _57198_/A _57287_/B _57286_/Y VGND VGND VPWR VPWR _57287_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_41_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54499_ _54490_/A VGND VGND VPWR VPWR _54506_/B sky130_fd_sc_hd__buf_1
+XFILLER_341_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_121_0_u_core.clock clkbuf_7_60_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_243_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_638_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_181_0_u_core.clock clkbuf_8_90_0_u_core.clock/X VGND VGND VPWR VPWR _78823_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_47040_ _46029_/A VGND VGND VPWR VPWR _47043_/A sky130_fd_sc_hd__buf_1
+X_71304_ _76813_/Q VGND VGND VPWR VPWR _71304_/Y sky130_fd_sc_hd__inv_8
+X_59026_ _59668_/A VGND VGND VPWR VPWR _59347_/B sky130_fd_sc_hd__buf_1
+XFILLER_675_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_70_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44252_ _44168_/A VGND VGND VPWR VPWR _44267_/B sky130_fd_sc_hd__buf_1
+XFILLER_493_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56238_ _58821_/A _56238_/B _56238_/C VGND VGND VPWR VPWR _56264_/A sky130_fd_sc_hd__nor3_2
+XPHY_2986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75072_ _75088_/A _75080_/B _75072_/C VGND VGND VPWR VPWR _75073_/B sky130_fd_sc_hd__nand3_2
+XFILLER_478_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41464_ _41090_/A _41478_/B VGND VGND VPWR VPWR _41466_/A sky130_fd_sc_hd__or2_2
+XPHY_35479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72284_ _72673_/A _72279_/B VGND VGND VPWR VPWR _72284_/X sky130_fd_sc_hd__or2_2
+XFILLER_298_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_497_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_718_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_9_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43203_ _43184_/Y _43179_/A _43186_/Y _43202_/X VGND VGND VPWR VPWR _43203_/X sky130_fd_sc_hd__o22a_4
+XFILLER_6_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74023_ _74023_/A VGND VGND VPWR VPWR _76099_/A sky130_fd_sc_hd__buf_1
+X_78900_ _78899_/CLK _78900_/D VGND VGND VPWR VPWR _46356_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_204_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40415_ _40415_/A _40415_/B _68152_/A VGND VGND VPWR VPWR _40416_/B sky130_fd_sc_hd__nand3_2
+XPHY_24244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_375_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71235_ _69879_/A VGND VGND VPWR VPWR _71235_/X sky130_fd_sc_hd__buf_1
+XFILLER_154_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44183_ _72904_/A _44235_/B VGND VGND VPWR VPWR _44184_/C sky130_fd_sc_hd__nor2_2
+XFILLER_13_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56169_ _56169_/A _45146_/B VGND VGND VPWR VPWR _56174_/B sky130_fd_sc_hd__nor2_2
+Xclkbuf_9_128_0_u_core.clock clkbuf_8_64_0_u_core.clock/X VGND VGND VPWR VPWR _78800_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_24255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79880_ _80010_/CLK _40403_/Y VGND VGND VPWR VPWR _68648_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_651_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41395_ _41395_/A VGND VGND VPWR VPWR _41401_/A sky130_fd_sc_hd__buf_1
+XFILLER_671_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_155_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_504_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_344_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_560_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_319_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43134_ _43131_/X _43133_/X VGND VGND VPWR VPWR _43134_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_139_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_16_0_u_core.clock clkbuf_7_8_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_16_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_78831_ _78400_/CLK _78831_/D VGND VGND VPWR VPWR _63377_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_705_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40346_ _40342_/A _40352_/B _65499_/A VGND VGND VPWR VPWR _40347_/B sky130_fd_sc_hd__nand3_2
+XFILLER_319_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_491_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71166_ _70176_/X _71554_/B VGND VGND VPWR VPWR _71166_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_632_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48991_ _63811_/A _48987_/B VGND VGND VPWR VPWR _48991_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_237_0_u_core.clock clkbuf_7_118_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_475_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_354_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70117_ _70100_/X _70114_/X VGND VGND VPWR VPWR _70117_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_237_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_297_0_u_core.clock clkbuf_9_297_0_u_core.clock/A VGND VGND VPWR VPWR _81354_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_22853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47942_ _47855_/A _47926_/A VGND VGND VPWR VPWR _47943_/B sky130_fd_sc_hd__or2_2
+XFILLER_452_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59928_ _59271_/A _59928_/B _59927_/Y VGND VGND VPWR VPWR _59928_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_65_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43065_ _43064_/Y _43065_/B VGND VGND VPWR VPWR _43209_/A sky130_fd_sc_hd__nor2_2
+XPHY_12330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78762_ _77836_/CLK _78762_/D VGND VGND VPWR VPWR _78762_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_13075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40277_ _40277_/A _40261_/X VGND VGND VPWR VPWR _40277_/X sky130_fd_sc_hd__or2_2
+XFILLER_215_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75974_ _75458_/A _75961_/X VGND VGND VPWR VPWR _75974_/X sky130_fd_sc_hd__or2_2
+XFILLER_413_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71097_ _70896_/X _71095_/X _71097_/C VGND VGND VPWR VPWR _71097_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_155_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_48_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42016_ _42015_/Y _42016_/B VGND VGND VPWR VPWR _42017_/B sky130_fd_sc_hd__nor2_2
+XFILLER_532_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77713_ _77203_/CLK _77713_/D VGND VGND VPWR VPWR _77713_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_272_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74925_ _74915_/A _74919_/B _65039_/A VGND VGND VPWR VPWR _74926_/B sky130_fd_sc_hd__nand3_2
+X_70048_ _70045_/X _70047_/X VGND VGND VPWR VPWR _70048_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_725_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47873_ _47873_/A _47873_/B VGND VGND VPWR VPWR _47873_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_170_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59859_ _77217_/Q _59375_/B VGND VGND VPWR VPWR _59859_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_26_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78693_ _78186_/CLK _48041_/Y VGND VGND VPWR VPWR _63011_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_12385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_296_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_42_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_3068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49612_ _49610_/Y _49611_/X VGND VGND VPWR VPWR _49612_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_669_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46824_ _46699_/X _46823_/Y _46737_/B VGND VGND VPWR VPWR _78944_/D sky130_fd_sc_hd__nor3_2
+XFILLER_133_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77644_ _77660_/CLK _77644_/D VGND VGND VPWR VPWR _52067_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_268_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62870_ _50092_/A _62711_/B VGND VGND VPWR VPWR _62871_/C sky130_fd_sc_hd__nor2_2
+XPHY_11684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74856_ _74856_/A _74860_/B _68190_/A VGND VGND VPWR VPWR _74856_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_313_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_288_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_311_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_256_429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_661_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_627_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61821_ _61666_/A _61821_/B _61820_/Y VGND VGND VPWR VPWR _61821_/Y sky130_fd_sc_hd__nor3_2
+X_73807_ _73807_/A _73804_/B VGND VGND VPWR VPWR _73807_/X sky130_fd_sc_hd__or2_2
+XFILLER_438_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_231_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49543_ _49629_/A _49552_/B VGND VGND VPWR VPWR _49544_/B sky130_fd_sc_hd__or2_2
+XFILLER_608_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_133_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46755_ _46755_/A _46771_/A _46755_/C VGND VGND VPWR VPWR _46755_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_725_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_37_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77575_ _78608_/CLK _52335_/Y VGND VGND VPWR VPWR _60791_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_608_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43967_ _43967_/A _43944_/B VGND VGND VPWR VPWR _43967_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_265_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74787_ _74787_/A VGND VGND VPWR VPWR _75277_/A sky130_fd_sc_hd__buf_1
+XFILLER_467_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_725_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71999_ _71999_/A _71999_/B VGND VGND VPWR VPWR _72001_/B sky130_fd_sc_hd__nor2_2
+XFILLER_76_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_703_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_231_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79314_ _79315_/CLK _79314_/D VGND VGND VPWR VPWR _71702_/A sky130_fd_sc_hd__dfxtp_4
+X_45706_ _45682_/A _45706_/B VGND VGND VPWR VPWR _45707_/C sky130_fd_sc_hd__nor2_2
+XFILLER_621_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64540_ _69160_/A _64540_/B _64540_/C VGND VGND VPWR VPWR _64541_/C sky130_fd_sc_hd__nor3_2
+XFILLER_387_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76526_ VGND VGND VPWR VPWR _76526_/HI la_data_out[18] sky130_fd_sc_hd__conb_1
+X_42918_ _42918_/A _42918_/B VGND VGND VPWR VPWR _42918_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_0_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_79_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61752_ _61752_/A _61752_/B _61751_/Y VGND VGND VPWR VPWR _61752_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_443_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_441_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49474_ _49444_/A _49477_/B VGND VGND VPWR VPWR _49475_/B sky130_fd_sc_hd__or2_2
+X_73738_ _73724_/X _73735_/B _64740_/A VGND VGND VPWR VPWR _73739_/B sky130_fd_sc_hd__nand3_2
+XFILLER_426_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_561_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46686_ _46686_/A VGND VGND VPWR VPWR _46686_/X sky130_fd_sc_hd__buf_1
+XFILLER_111_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43898_ _43979_/B VGND VGND VPWR VPWR _43931_/B sky130_fd_sc_hd__buf_1
+XFILLER_346_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_329_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_166_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_292_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_578_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_660_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60703_ _60550_/A _60701_/Y _60702_/Y VGND VGND VPWR VPWR _60703_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_365_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48425_ _48425_/A _48425_/B VGND VGND VPWR VPWR _48428_/A sky130_fd_sc_hd__nand2_2
+XFILLER_248_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79245_ _79245_/CLK _44269_/Y VGND VGND VPWR VPWR _79245_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_149_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_428_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45637_ _42764_/A _45637_/B VGND VGND VPWR VPWR _45639_/B sky130_fd_sc_hd__nor2_2
+XFILLER_224_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64471_ _66231_/A VGND VGND VPWR VPWR _65255_/A sky130_fd_sc_hd__buf_1
+X_76457_ VGND VGND VPWR VPWR _76457_/HI io_oeb[17] sky130_fd_sc_hd__conb_1
+XFILLER_251_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61683_ _61683_/A _61216_/X VGND VGND VPWR VPWR _61683_/Y sky130_fd_sc_hd__nor2_2
+X_42849_ _41812_/Y _42847_/X _41814_/Y _42848_/X VGND VGND VPWR VPWR _42849_/X sky130_fd_sc_hd__o22a_4
+X_73669_ _73630_/A VGND VGND VPWR VPWR _73671_/A sky130_fd_sc_hd__buf_1
+XFILLER_406_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_18_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66210_ _43702_/Y _66210_/B VGND VGND VPWR VPWR _66212_/B sky130_fd_sc_hd__nor2_2
+XFILLER_127_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63422_ _49093_/A _62954_/B VGND VGND VPWR VPWR _63422_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_244_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_248_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75408_ _75406_/X _75407_/Y VGND VGND VPWR VPWR _80691_/D sky130_fd_sc_hd__nand2_2
+XFILLER_72_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_280_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_33_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48356_ _48414_/A _48353_/B VGND VGND VPWR VPWR _48357_/B sky130_fd_sc_hd__or2_2
+X_60634_ _60315_/A _60632_/Y _60634_/C VGND VGND VPWR VPWR _60635_/C sky130_fd_sc_hd__nor3_2
+X_67190_ _67013_/X _67190_/B _67190_/C VGND VGND VPWR VPWR _67191_/C sky130_fd_sc_hd__nor3_2
+XFILLER_229_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79176_ _79207_/CLK _44897_/Y VGND VGND VPWR VPWR _44894_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_94_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45568_ _71295_/A _45572_/B VGND VGND VPWR VPWR _45569_/C sky130_fd_sc_hd__nor2_2
+XPHY_37360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76388_ _38271_/A _76388_/B VGND VGND VPWR VPWR _76388_/X sky130_fd_sc_hd__or2_2
+XFILLER_33_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_366_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_656_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47307_ _49230_/A VGND VGND VPWR VPWR _47430_/A sky130_fd_sc_hd__buf_1
+XFILLER_162_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66141_ _66141_/A _65485_/B VGND VGND VPWR VPWR _66142_/C sky130_fd_sc_hd__nor2_2
+XPHY_37393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78127_ _78127_/CLK _78127_/D VGND VGND VPWR VPWR _78127_/Q sky130_fd_sc_hd__dfxtp_4
+X_44519_ _44519_/A _44517_/Y _44519_/C VGND VGND VPWR VPWR _44520_/B sky130_fd_sc_hd__nor3_2
+XFILLER_394_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63353_ _63353_/A _62884_/X VGND VGND VPWR VPWR _63355_/B sky130_fd_sc_hd__nor2_2
+XFILLER_261_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_695_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75339_ _75352_/A _75339_/B _80710_/Q VGND VGND VPWR VPWR _75340_/B sky130_fd_sc_hd__nand3_2
+XFILLER_610_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60565_ _59765_/A VGND VGND VPWR VPWR _60566_/B sky130_fd_sc_hd__buf_1
+X_48287_ _48287_/A VGND VGND VPWR VPWR _48394_/A sky130_fd_sc_hd__buf_1
+XFILLER_719_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45499_ _45475_/A _45499_/B VGND VGND VPWR VPWR _45499_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_474_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_555_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62304_ _55808_/A _61999_/X VGND VGND VPWR VPWR _62305_/C sky130_fd_sc_hd__nor2_2
+XFILLER_193_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47238_ _47238_/A _47238_/B _47238_/C _43503_/X VGND VGND VPWR VPWR _47239_/B sky130_fd_sc_hd__or4_2
+XFILLER_656_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66072_ _80537_/Q _66555_/B VGND VGND VPWR VPWR _66072_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_398_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78058_ _78067_/CLK _78058_/D VGND VGND VPWR VPWR _62521_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_177_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63284_ _62974_/A _63284_/B _63284_/C VGND VGND VPWR VPWR _63284_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_53_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60496_ _60795_/A _60493_/Y _60495_/Y VGND VGND VPWR VPWR _60496_/Y sky130_fd_sc_hd__nor3_2
+XPHY_26191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_200_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_319_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_105_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69900_ _70385_/A _69899_/X VGND VGND VPWR VPWR _69910_/A sky130_fd_sc_hd__nor2_2
+X_77009_ _78384_/CLK _54475_/Y VGND VGND VPWR VPWR _59801_/A sky130_fd_sc_hd__dfxtp_4
+X_65023_ _44875_/A _64082_/B VGND VGND VPWR VPWR _65200_/A sky130_fd_sc_hd__nor2_2
+XFILLER_379_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_654_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62235_ _78144_/Q _62235_/B VGND VGND VPWR VPWR _62236_/C sky130_fd_sc_hd__nor2_2
+XFILLER_255_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_474_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47169_ _46372_/B _47169_/B VGND VGND VPWR VPWR _47171_/B sky130_fd_sc_hd__nor2_2
+XFILLER_220_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_393_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_375_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80020_ _80147_/CLK _39865_/Y VGND VGND VPWR VPWR _39864_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_632_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69831_ _69831_/A _55885_/C VGND VGND VPWR VPWR _69831_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_218_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50180_ _50152_/A _50180_/B VGND VGND VPWR VPWR _50181_/B sky130_fd_sc_hd__or2_2
+XFILLER_696_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62166_ _78256_/Q _62012_/B VGND VGND VPWR VPWR _62168_/B sky130_fd_sc_hd__nor2_2
+XFILLER_552_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_713_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39991_ _39991_/A _39977_/B VGND VGND VPWR VPWR _39993_/A sky130_fd_sc_hd__or2_2
+XFILLER_220_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_64_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_173_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61117_ _61117_/A _60648_/B VGND VGND VPWR VPWR _61117_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_585_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_551_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38942_ _38942_/A _38941_/Y VGND VGND VPWR VPWR _80250_/D sky130_fd_sc_hd__nand2_2
+XFILLER_255_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69762_ _69761_/X VGND VGND VPWR VPWR _69793_/A sky130_fd_sc_hd__buf_1
+XFILLER_449_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_370_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_684_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62097_ _62097_/A _62096_/Y VGND VGND VPWR VPWR _62098_/B sky130_fd_sc_hd__nor2_2
+X_66974_ _66152_/A VGND VGND VPWR VPWR _67147_/A sky130_fd_sc_hd__buf_1
+XFILLER_294_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_687_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_487_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_290_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_550_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_216_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68713_ _38250_/C _68554_/B VGND VGND VPWR VPWR _68715_/B sky130_fd_sc_hd__nor2_2
+XFILLER_448_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_321_149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_271_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_511_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65925_ _65925_/A _65431_/X VGND VGND VPWR VPWR _65927_/B sky130_fd_sc_hd__nor2_2
+X_61048_ _60733_/A _61048_/B _61047_/Y VGND VGND VPWR VPWR _61048_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_302_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_86_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_112_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38873_ _38864_/X _38881_/B _38873_/C VGND VGND VPWR VPWR _38873_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_610_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69693_ _69723_/A _64098_/A VGND VGND VPWR VPWR _69694_/C sky130_fd_sc_hd__nor2_2
+XFILLER_452_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_353_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_101_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80922_ _81013_/CLK _80922_/D VGND VGND VPWR VPWR _66263_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_312_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68644_ _58357_/A _68478_/B VGND VGND VPWR VPWR _68644_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_468_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_9_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53870_ _53868_/Y _53870_/B VGND VGND VPWR VPWR _77169_/D sky130_fd_sc_hd__nand2_2
+XFILLER_680_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65856_ _66022_/A _65856_/B _65855_/Y VGND VGND VPWR VPWR _65864_/B sky130_fd_sc_hd__nor3_2
+XFILLER_653_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_60_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_665_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_214_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64807_ _64321_/X _64804_/Y _64806_/Y VGND VGND VPWR VPWR _64807_/Y sky130_fd_sc_hd__nor3_2
+X_52821_ _77444_/Q _52832_/B VGND VGND VPWR VPWR _52821_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_624_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80853_ _80766_/CLK _80853_/D VGND VGND VPWR VPWR _74790_/C sky130_fd_sc_hd__dfxtp_4
+X_68575_ _68905_/A _68575_/B _68575_/C VGND VGND VPWR VPWR _68576_/C sky130_fd_sc_hd__nor3_2
+XFILLER_481_3056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_429_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65787_ _65787_/A _65786_/Y VGND VGND VPWR VPWR _65787_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_463_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62999_ _62999_/A _62532_/B VGND VGND VPWR VPWR _62999_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_442_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_55_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_262_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55540_ _55525_/X _55540_/B VGND VGND VPWR VPWR _55541_/C sky130_fd_sc_hd__nor2_2
+XFILLER_186_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67526_ _67526_/A _67526_/B VGND VGND VPWR VPWR _67526_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_461_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52752_ _60422_/A _52752_/B VGND VGND VPWR VPWR _52752_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_249_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_509_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64738_ _67239_/A VGND VGND VPWR VPWR _64742_/A sky130_fd_sc_hd__buf_1
+XFILLER_442_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80784_ _81457_/CLK _80784_/D VGND VGND VPWR VPWR _64466_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_405_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_444_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_583_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_724_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_606_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51703_ _47821_/B _51795_/B VGND VGND VPWR VPWR _51716_/A sky130_fd_sc_hd__or2_2
+X_39425_ _39425_/A _39425_/B VGND VGND VPWR VPWR _39425_/Y sky130_fd_sc_hd__nand2_2
+XPHY_18009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_388_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67457_ _67454_/X _67455_/Y _67456_/Y VGND VGND VPWR VPWR _67457_/Y sky130_fd_sc_hd__nor3_2
+X_55471_ _55447_/A _55471_/B VGND VGND VPWR VPWR _55472_/B sky130_fd_sc_hd__nor2_2
+XFILLER_184_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64669_ _68231_/A VGND VGND VPWR VPWR _65179_/B sky130_fd_sc_hd__buf_1
+X_52683_ _61051_/A _52671_/X VGND VGND VPWR VPWR _52685_/A sky130_fd_sc_hd__nand2_2
+XFILLER_215_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_578_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_36_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_696_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_63_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57210_ _57055_/A _57206_/Y _57210_/C VGND VGND VPWR VPWR _57210_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_541_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_270_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66408_ _66566_/A _66406_/Y _66408_/C VGND VGND VPWR VPWR _66408_/Y sky130_fd_sc_hd__nor3_2
+X_54422_ _54420_/Y _54422_/B VGND VGND VPWR VPWR _54422_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_364_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39356_ _39346_/A _39366_/B _56679_/A VGND VGND VPWR VPWR _39357_/B sky130_fd_sc_hd__nand3_2
+X_51634_ _61006_/A _51619_/X VGND VGND VPWR VPWR _51636_/A sky130_fd_sc_hd__nand2_2
+XFILLER_36_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58190_ _68313_/A _58038_/B VGND VGND VPWR VPWR _58192_/B sky130_fd_sc_hd__nor2_2
+XPHY_17319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_42_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67388_ _38400_/C _67064_/B VGND VGND VPWR VPWR _67389_/C sky130_fd_sc_hd__nor2_2
+XFILLER_440_2052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_196_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38307_ _38307_/A _38296_/B VGND VGND VPWR VPWR _38309_/A sky130_fd_sc_hd__or2_2
+XFILLER_600_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_369_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57141_ _56982_/A _57140_/Y VGND VGND VPWR VPWR _57141_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_659_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69127_ _80299_/Q _68494_/B VGND VGND VPWR VPWR _69129_/B sky130_fd_sc_hd__nor2_2
+X_81405_ _81398_/CLK _81405_/D VGND VGND VPWR VPWR _72535_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_399_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54353_ _77041_/Q _54353_/B VGND VGND VPWR VPWR _54353_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_357_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66339_ _66500_/A _66339_/B _66339_/C VGND VGND VPWR VPWR _66340_/C sky130_fd_sc_hd__nor3_2
+XFILLER_211_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51565_ _51565_/A _51555_/X VGND VGND VPWR VPWR _51569_/A sky130_fd_sc_hd__nand2_2
+XPHY_1504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39287_ _39143_/A _39301_/B VGND VGND VPWR VPWR _39289_/A sky130_fd_sc_hd__or2_2
+XPHY_16629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_221_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_440_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_162_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53304_ _53304_/A _53298_/B VGND VGND VPWR VPWR _53306_/A sky130_fd_sc_hd__nand2_2
+XPHY_34019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50516_ _50514_/Y _50516_/B VGND VGND VPWR VPWR _78053_/D sky130_fd_sc_hd__nand2_2
+X_38238_ _38238_/A VGND VGND VPWR VPWR _38277_/A sky130_fd_sc_hd__buf_1
+XFILLER_320_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_518_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81336_ _81305_/CLK _72798_/Y VGND VGND VPWR VPWR _81336_/Q sky130_fd_sc_hd__dfxtp_4
+X_57072_ _79992_/Q _57072_/B VGND VGND VPWR VPWR _57073_/C sky130_fd_sc_hd__nor2_2
+XFILLER_377_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69058_ _69058_/A _69336_/B VGND VGND VPWR VPWR _69060_/B sky130_fd_sc_hd__nor2_2
+XFILLER_719_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54284_ _54284_/A VGND VGND VPWR VPWR _54289_/B sky130_fd_sc_hd__buf_1
+XFILLER_475_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51496_ _53407_/A _51468_/B VGND VGND VPWR VPWR _51522_/B sky130_fd_sc_hd__or2_2
+XPHY_1559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_453_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56023_ _56007_/X _55621_/B VGND VGND VPWR VPWR _56027_/B sky130_fd_sc_hd__nor2_2
+XFILLER_555_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68009_ _80324_/Q _67341_/B VGND VGND VPWR VPWR _68010_/C sky130_fd_sc_hd__nor2_2
+XFILLER_105_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_457_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53235_ _53207_/A _53238_/B VGND VGND VPWR VPWR _53235_/X sky130_fd_sc_hd__or2_2
+XFILLER_393_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_136_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50447_ _50414_/X _50443_/X VGND VGND VPWR VPWR _50447_/X sky130_fd_sc_hd__or2_2
+XFILLER_69_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81267_ _81513_/CLK _73091_/Y VGND VGND VPWR VPWR _65094_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_337_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_178_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_703_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40200_ _40198_/X _40199_/Y VGND VGND VPWR VPWR _79932_/D sky130_fd_sc_hd__nand2_2
+XFILLER_325_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71020_ _71007_/Y _71019_/X VGND VGND VPWR VPWR _71020_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_542_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80218_ _80315_/CLK _80218_/D VGND VGND VPWR VPWR _80218_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_516_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53166_ _53178_/A _53207_/A VGND VGND VPWR VPWR _53168_/A sky130_fd_sc_hd__or2_2
+X_41180_ _41180_/A _41168_/B VGND VGND VPWR VPWR _41182_/A sky130_fd_sc_hd__or2_2
+XPHY_32639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50378_ _78090_/Q _50371_/B VGND VGND VPWR VPWR _50381_/A sky130_fd_sc_hd__nand2_2
+XPHY_31905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81198_ _80813_/CLK _73390_/Y VGND VGND VPWR VPWR _81198_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_380_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_438_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_238_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_614_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40131_ _40236_/B VGND VGND VPWR VPWR _40143_/B sky130_fd_sc_hd__buf_1
+X_52117_ _52117_/A _52117_/B VGND VGND VPWR VPWR _52117_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_352_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80149_ _80121_/CLK _39351_/Y VGND VGND VPWR VPWR _56852_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57974_ _57974_/A _57974_/B _57974_/C VGND VGND VPWR VPWR _57974_/Y sky130_fd_sc_hd__nor3_2
+X_53097_ _53069_/A _53103_/B VGND VGND VPWR VPWR _53098_/B sky130_fd_sc_hd__or2_2
+XFILLER_297_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_163_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_340_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_238_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_20703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59713_ _59713_/A _59709_/Y _59713_/C VGND VGND VPWR VPWR _59714_/C sky130_fd_sc_hd__nor3_2
+XFILLER_430_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40062_ _40069_/A _40065_/B _79969_/Q VGND VGND VPWR VPWR _40062_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_156_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52048_ _52048_/A _52047_/X VGND VGND VPWR VPWR _52048_/X sky130_fd_sc_hd__or2_2
+XPHY_20714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56925_ _56844_/X _56922_/Y _56925_/C VGND VGND VPWR VPWR _56925_/Y sky130_fd_sc_hd__nor3_2
+XPHY_21459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_4_11_1_u_core.clock clkbuf_4_11_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_5_23_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_134_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72971_ _72971_/A _72970_/Y VGND VGND VPWR VPWR _81297_/D sky130_fd_sc_hd__nand2_2
+XFILLER_467_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_683_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_486_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_425_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_469_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_666_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74710_ _74733_/A VGND VGND VPWR VPWR _74729_/B sky130_fd_sc_hd__buf_1
+XFILLER_510_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_120_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_216_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_466_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59644_ _59803_/A _59644_/B _59643_/Y VGND VGND VPWR VPWR _59654_/B sky130_fd_sc_hd__nor3_2
+X_71922_ _71918_/X _71921_/Y VGND VGND VPWR VPWR _71922_/Y sky130_fd_sc_hd__nand2_2
+XPHY_20758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_439_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44870_ _75556_/A _44816_/X VGND VGND VPWR VPWR _44870_/X sky130_fd_sc_hd__or2_2
+X_75690_ _75680_/A _75684_/B _75690_/C VGND VGND VPWR VPWR _75690_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_486_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56856_ _80085_/Q _57006_/B VGND VGND VPWR VPWR _56856_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_656_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_447_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_616_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_643_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_4_14_0_u_core.clock clkbuf_3_7_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_4_14_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_43821_ _44186_/A VGND VGND VPWR VPWR _43879_/A sky130_fd_sc_hd__buf_1
+XFILLER_627_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55807_ _55807_/A _55807_/B VGND VGND VPWR VPWR _76771_/D sky130_fd_sc_hd__nand2_2
+XFILLER_63_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74641_ _74647_/A _74654_/B _80885_/Q VGND VGND VPWR VPWR _74641_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_115_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_510_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59575_ _77239_/Q _63879_/B VGND VGND VPWR VPWR _59577_/B sky130_fd_sc_hd__nor2_2
+XFILLER_369_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71853_ _71881_/A _71881_/B VGND VGND VPWR VPWR _71854_/B sky130_fd_sc_hd__and2_2
+XFILLER_59_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_232_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_481_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56787_ _80244_/Q _56709_/B VGND VGND VPWR VPWR _56788_/C sky130_fd_sc_hd__nor2_2
+XFILLER_720_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53999_ _53942_/A _53987_/B VGND VGND VPWR VPWR _53999_/X sky130_fd_sc_hd__or2_2
+XFILLER_366_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_347_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_425_0_u_core.clock clkbuf_9_425_0_u_core.clock/A VGND VGND VPWR VPWR _79961_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_58_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_441_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46540_ _46528_/A VGND VGND VPWR VPWR _46540_/X sky130_fd_sc_hd__buf_1
+XFILLER_150_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58526_ _80170_/Q _58526_/B VGND VGND VPWR VPWR _58529_/B sky130_fd_sc_hd__nor2_2
+XFILLER_407_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70804_ _70804_/A _70804_/B VGND VGND VPWR VPWR _70804_/X sky130_fd_sc_hd__and2_2
+XFILLER_546_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_542_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77360_ _76762_/CLK _53126_/Y VGND VGND VPWR VPWR _77360_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_4_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43752_ _43823_/A VGND VGND VPWR VPWR _43834_/A sky130_fd_sc_hd__buf_1
+XFILLER_76_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_382_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55738_ _48257_/A _48830_/B VGND VGND VPWR VPWR _55739_/B sky130_fd_sc_hd__or2_2
+XPHY_19200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74572_ _74570_/X _74571_/Y VGND VGND VPWR VPWR _74572_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_37_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_78_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_185_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40964_ _40967_/A _40975_/B _79737_/Q VGND VGND VPWR VPWR _40964_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_652_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_425_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71784_ _70644_/B _71784_/B VGND VGND VPWR VPWR _71785_/B sky130_fd_sc_hd__or2_2
+XFILLER_167_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76311_ _76275_/A VGND VGND VPWR VPWR _76312_/B sky130_fd_sc_hd__buf_1
+XFILLER_429_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42703_ _42703_/A VGND VGND VPWR VPWR _42704_/B sky130_fd_sc_hd__buf_1
+X_73523_ _73531_/A _73523_/B _69041_/A VGND VGND VPWR VPWR _73523_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70735_ _70690_/Y _70734_/Y VGND VGND VPWR VPWR _70735_/Y sky130_fd_sc_hd__nor2_2
+X_46471_ _46482_/B VGND VGND VPWR VPWR _46471_/Y sky130_fd_sc_hd__inv_8
+XFILLER_233_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58457_ _58288_/A _58457_/B _58456_/Y VGND VGND VPWR VPWR _58467_/A sky130_fd_sc_hd__nor3_2
+XFILLER_287_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_422_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77291_ _77283_/CLK _77291_/D VGND VGND VPWR VPWR _53413_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_379_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43683_ _43769_/A VGND VGND VPWR VPWR _43725_/A sky130_fd_sc_hd__inv_8
+XPHY_4130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55669_ _45394_/Y _55705_/B VGND VGND VPWR VPWR _55669_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_210_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40895_ _40895_/A VGND VGND VPWR VPWR _40900_/B sky130_fd_sc_hd__buf_1
+XFILLER_74_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_501_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48210_ _48200_/X VGND VGND VPWR VPWR _48223_/B sky130_fd_sc_hd__buf_1
+XPHY_18532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79030_ _78899_/CLK _79030_/D VGND VGND VPWR VPWR _43130_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_540_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45422_ _79447_/Q _45205_/B _43234_/A _45304_/X VGND VGND VPWR VPWR _55690_/B sky130_fd_sc_hd__o22a_4
+X_57408_ _57408_/A _57404_/Y _57408_/C VGND VGND VPWR VPWR _57408_/Y sky130_fd_sc_hd__nor3_2
+X_76242_ _76362_/A _76254_/B VGND VGND VPWR VPWR _76245_/A sky130_fd_sc_hd__or2_2
+XPHY_18543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42634_ _67198_/A _42634_/B VGND VGND VPWR VPWR _42637_/B sky130_fd_sc_hd__nor2_2
+XFILLER_226_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49190_ _78398_/Q _49193_/B VGND VGND VPWR VPWR _49192_/A sky130_fd_sc_hd__nand2_2
+X_73454_ _74948_/A VGND VGND VPWR VPWR _73466_/A sky130_fd_sc_hd__buf_1
+XFILLER_2_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_450_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_611_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_497_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58388_ _57191_/A VGND VGND VPWR VPWR _58560_/A sky130_fd_sc_hd__buf_1
+XPHY_19299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70666_ _70666_/A _70123_/B VGND VGND VPWR VPWR _70669_/A sky130_fd_sc_hd__nor2_2
+XFILLER_505_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_310_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_441_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_692_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48141_ _63892_/A _48135_/X VGND VGND VPWR VPWR _48141_/Y sky130_fd_sc_hd__nand2_2
+XPHY_3462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72405_ _72245_/A _72412_/B VGND VGND VPWR VPWR _72408_/A sky130_fd_sc_hd__or2_2
+XFILLER_423_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_403_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45353_ _45340_/Y _45353_/B _44983_/X VGND VGND VPWR VPWR _45353_/Y sky130_fd_sc_hd__nor3_2
+XPHY_35210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57339_ _80219_/Q _57410_/B VGND VGND VPWR VPWR _57342_/B sky130_fd_sc_hd__nor2_2
+XFILLER_501_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_163_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76173_ _76173_/A VGND VGND VPWR VPWR _38307_/A sky130_fd_sc_hd__buf_1
+XFILLER_579_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_575_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_196_0_u_core.clock clkbuf_7_98_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_393_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_18598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_306_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42565_ _42476_/X _42564_/X _70268_/X _42496_/X VGND VGND VPWR VPWR _42565_/X sky130_fd_sc_hd__o22a_4
+X_73385_ _73385_/A VGND VGND VPWR VPWR _73501_/B sky130_fd_sc_hd__buf_1
+XFILLER_348_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70597_ _70512_/X _70597_/B VGND VGND VPWR VPWR _70597_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_187_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44304_ _44032_/Y _44273_/B _44304_/C VGND VGND VPWR VPWR _44304_/X sky130_fd_sc_hd__and3_2
+XPHY_17886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75124_ _75120_/A _75124_/B _80767_/Q VGND VGND VPWR VPWR _75124_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_501_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41516_ _41629_/B VGND VGND VPWR VPWR _41517_/B sky130_fd_sc_hd__buf_1
+XFILLER_105_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60350_ _53765_/A _59866_/B VGND VGND VPWR VPWR _60352_/B sky130_fd_sc_hd__nor2_2
+X_72336_ _72326_/A _72331_/B _64300_/A VGND VGND VPWR VPWR _72337_/B sky130_fd_sc_hd__nand3_2
+X_48072_ _49072_/A _47699_/B VGND VGND VPWR VPWR _50033_/A sky130_fd_sc_hd__or2_2
+XPHY_2772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45284_ _45284_/A _45037_/A VGND VGND VPWR VPWR _45284_/X sky130_fd_sc_hd__or2_2
+XPHY_34520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_493_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_278_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42496_ _42589_/A VGND VGND VPWR VPWR _42496_/X sky130_fd_sc_hd__buf_1
+XFILLER_726_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_592_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47023_ _47023_/A _47023_/B VGND VGND VPWR VPWR _47027_/A sky130_fd_sc_hd__or2_2
+X_59009_ _59825_/A _59005_/Y _59008_/Y VGND VGND VPWR VPWR _59010_/C sky130_fd_sc_hd__nor3_2
+XFILLER_70_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44235_ _72932_/A _44235_/B VGND VGND VPWR VPWR _44236_/C sky130_fd_sc_hd__nor2_2
+XFILLER_538_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75055_ _72329_/A _75058_/B VGND VGND VPWR VPWR _75055_/X sky130_fd_sc_hd__or2_2
+X_79932_ _80031_/CLK _79932_/D VGND VGND VPWR VPWR _79932_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_690_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41447_ _41440_/A _41440_/B _57845_/A VGND VGND VPWR VPWR _41447_/Y sky130_fd_sc_hd__nand3_2
+XPHY_34564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60281_ _77172_/Q _60281_/B VGND VGND VPWR VPWR _60282_/C sky130_fd_sc_hd__nor2_2
+XFILLER_50_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72267_ _72663_/A _72279_/B VGND VGND VPWR VPWR _72267_/X sky130_fd_sc_hd__or2_2
+XFILLER_375_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_32_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_690_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_204_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62020_ _61541_/A _62010_/Y _62019_/Y VGND VGND VPWR VPWR _62021_/B sky130_fd_sc_hd__nor3_2
+XPHY_33852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74006_ _73991_/A VGND VGND VPWR VPWR _74007_/A sky130_fd_sc_hd__buf_1
+XFILLER_315_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71218_ _71218_/A VGND VGND VPWR VPWR _75450_/A sky130_fd_sc_hd__inv_8
+XFILLER_337_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_319_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_534_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44166_ _67358_/A _44049_/B VGND VGND VPWR VPWR _44167_/B sky130_fd_sc_hd__and2_2
+XPHY_24085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79863_ _79805_/CLK _40482_/Y VGND VGND VPWR VPWR _65844_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_83_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41378_ _41390_/A _41384_/B _56728_/A VGND VGND VPWR VPWR _41379_/B sky130_fd_sc_hd__nand3_2
+XPHY_33874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72198_ _74028_/A VGND VGND VPWR VPWR _72866_/A sky130_fd_sc_hd__buf_1
+XFILLER_471_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_432_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_315_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_633_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_291_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_534_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_721_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43117_ _46142_/A _78956_/Q _43116_/Y _46783_/A VGND VGND VPWR VPWR _43117_/X sky130_fd_sc_hd__o22a_4
+XFILLER_139_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78814_ _78800_/CLK _47562_/Y VGND VGND VPWR VPWR _47560_/A sky130_fd_sc_hd__dfxtp_4
+X_40329_ _40326_/A _40326_/B _79897_/Q VGND VGND VPWR VPWR _40329_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_252_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71149_ _78937_/Q VGND VGND VPWR VPWR _71149_/Y sky130_fd_sc_hd__inv_8
+XFILLER_666_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48974_ _48974_/A _48973_/X VGND VGND VPWR VPWR _48974_/Y sky130_fd_sc_hd__nand2_2
+X_44097_ _44066_/A _44097_/B _44097_/C VGND VGND VPWR VPWR _44098_/C sky130_fd_sc_hd__nor3_2
+XPHY_22650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79794_ _79794_/CLK _79794_/D VGND VGND VPWR VPWR _56586_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_135_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_586_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47925_ _78722_/Q _47929_/B VGND VGND VPWR VPWR _47925_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43048_ _43047_/Y _46752_/A VGND VGND VPWR VPWR _43048_/X sky130_fd_sc_hd__or2_2
+XFILLER_712_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78745_ _78746_/CLK _47840_/Y VGND VGND VPWR VPWR _47837_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_354_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63971_ _63971_/A _59345_/B VGND VGND VPWR VPWR _63972_/C sky130_fd_sc_hd__nor2_2
+XFILLER_649_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75957_ _75838_/A _75948_/X VGND VGND VPWR VPWR _75959_/A sky130_fd_sc_hd__or2_2
+XFILLER_65_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_486_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_482_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_116_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_152_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65710_ _44352_/Y _65872_/B VGND VGND VPWR VPWR _65713_/A sky130_fd_sc_hd__nor2_2
+XFILLER_709_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62922_ _62922_/A _63236_/B VGND VGND VPWR VPWR _62923_/C sky130_fd_sc_hd__nor2_2
+X_74908_ _74908_/A _74907_/Y VGND VGND VPWR VPWR _80824_/D sky130_fd_sc_hd__nand2_2
+XFILLER_311_160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_6_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_635_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47856_ _47853_/Y _47855_/X VGND VGND VPWR VPWR _78741_/D sky130_fd_sc_hd__nand2_2
+XFILLER_96_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66690_ _66528_/A _66690_/B _66690_/C VGND VGND VPWR VPWR _66691_/C sky130_fd_sc_hd__nor3_2
+XFILLER_257_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_26_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78676_ _78675_/CLK _78676_/D VGND VGND VPWR VPWR _48106_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_256_204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75888_ _75888_/A VGND VGND VPWR VPWR _75889_/B sky130_fd_sc_hd__buf_1
+XFILLER_485_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_151_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_20_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46807_ _46802_/B _46806_/Y _46803_/X VGND VGND VPWR VPWR _46807_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_606_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65641_ _64270_/A VGND VGND VPWR VPWR _65644_/A sky130_fd_sc_hd__buf_1
+X_77627_ _78127_/CLK _77627_/D VGND VGND VPWR VPWR _77627_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_238_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_580_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62853_ _49943_/A _62225_/B VGND VGND VPWR VPWR _62855_/B sky130_fd_sc_hd__nor2_2
+XFILLER_506_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74839_ _75322_/A _74835_/X VGND VGND VPWR VPWR _74839_/X sky130_fd_sc_hd__or2_2
+XFILLER_545_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47787_ _47537_/B VGND VGND VPWR VPWR _48042_/A sky130_fd_sc_hd__buf_1
+XFILLER_285_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44999_ io_out[24] _42414_/X VGND VGND VPWR VPWR _44999_/X sky130_fd_sc_hd__or2_2
+XFILLER_77_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_634_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49526_ _49974_/A VGND VGND VPWR VPWR _49641_/A sky130_fd_sc_hd__buf_1
+X_61804_ _61641_/X _61801_/Y _61803_/Y VGND VGND VPWR VPWR _61804_/Y sky130_fd_sc_hd__nor3_2
+X_68360_ _68360_/A _68360_/B VGND VGND VPWR VPWR _68360_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_348_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_365_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46738_ _46738_/A VGND VGND VPWR VPWR _46753_/B sky130_fd_sc_hd__buf_1
+XFILLER_228_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65572_ _65572_/A _65572_/B _65571_/Y VGND VGND VPWR VPWR _65572_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_485_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_599_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77558_ _77558_/CLK _77558_/D VGND VGND VPWR VPWR _60648_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_580_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62784_ _62139_/X _62772_/Y _62784_/C VGND VGND VPWR VPWR _62784_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_545_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_267_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_94_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67311_ _80608_/Q _66815_/B VGND VGND VPWR VPWR _67312_/C sky130_fd_sc_hd__nor2_2
+XFILLER_225_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_482_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64523_ _64438_/A VGND VGND VPWR VPWR _67239_/A sky130_fd_sc_hd__buf_1
+XFILLER_20_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76509_ VGND VGND VPWR VPWR _76509_/HI la_data_out[1] sky130_fd_sc_hd__conb_1
+XFILLER_602_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61735_ _61569_/A _61732_/Y _61734_/Y VGND VGND VPWR VPWR _61735_/Y sky130_fd_sc_hd__nor3_2
+X_49457_ _53279_/A _49557_/B VGND VGND VPWR VPWR _49457_/X sky130_fd_sc_hd__or2_2
+X_68291_ _67968_/X _68287_/Y _68291_/C VGND VGND VPWR VPWR _68292_/C sky130_fd_sc_hd__nor3_2
+XFILLER_365_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_545_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46669_ _41841_/Y _46662_/X VGND VGND VPWR VPWR _46671_/B sky130_fd_sc_hd__nor2_2
+XFILLER_94_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_428_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_181_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77489_ _77515_/CLK _77489_/D VGND VGND VPWR VPWR _77489_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_326_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_640_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_697_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_460_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39210_ _39210_/A _39210_/B VGND VGND VPWR VPWR _39210_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_398_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_603_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48408_ _48408_/A _48411_/B VGND VGND VPWR VPWR _48409_/B sky130_fd_sc_hd__or2_2
+X_67242_ _67242_/A _67740_/B VGND VGND VPWR VPWR _67242_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_695_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79228_ _81251_/CLK _44474_/Y VGND VGND VPWR VPWR _44471_/A sky130_fd_sc_hd__dfxtp_4
+X_64454_ _64454_/A _64453_/X VGND VGND VPWR VPWR _64458_/B sky130_fd_sc_hd__nor2_2
+XFILLER_24_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61666_ _61666_/A _61666_/B _61665_/Y VGND VGND VPWR VPWR _61667_/C sky130_fd_sc_hd__nor3_2
+X_49388_ _49444_/A _49391_/B VGND VGND VPWR VPWR _49388_/X sky130_fd_sc_hd__or2_2
+XFILLER_402_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_220_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39141_ _76111_/A VGND VGND VPWR VPWR _39143_/A sky130_fd_sc_hd__buf_1
+X_63405_ _58884_/X VGND VGND VPWR VPWR _63956_/A sky130_fd_sc_hd__buf_1
+XFILLER_90_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_222_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_342_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60617_ _59289_/X VGND VGND VPWR VPWR _60625_/A sky130_fd_sc_hd__buf_1
+XFILLER_528_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67173_ _57657_/A _67495_/B VGND VGND VPWR VPWR _67173_/Y sky130_fd_sc_hd__nor2_2
+X_48339_ _48336_/X VGND VGND VPWR VPWR _48340_/B sky130_fd_sc_hd__buf_1
+XFILLER_378_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79159_ _79160_/CLK _45408_/Y VGND VGND VPWR VPWR _69585_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_222_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_72_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64385_ _67410_/A VGND VGND VPWR VPWR _69329_/B sky130_fd_sc_hd__buf_1
+XFILLER_307_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_556_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61597_ _61597_/A VGND VGND VPWR VPWR _61919_/A sky130_fd_sc_hd__buf_1
+XFILLER_163_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_716_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_593_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66124_ _66124_/A _66123_/Y VGND VGND VPWR VPWR _66124_/Y sky130_fd_sc_hd__nor2_2
+X_39072_ _39075_/A _39075_/B _39072_/C VGND VGND VPWR VPWR _39072_/Y sky130_fd_sc_hd__nand3_2
+X_51350_ _51350_/A _51350_/B VGND VGND VPWR VPWR _77834_/D sky130_fd_sc_hd__nand2_2
+XFILLER_302_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63336_ _63023_/A _63334_/Y _63335_/Y VGND VGND VPWR VPWR _63337_/C sky130_fd_sc_hd__nor3_2
+XFILLER_53_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_527_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60548_ _60548_/A _60384_/B VGND VGND VPWR VPWR _60550_/B sky130_fd_sc_hd__nor2_2
+XFILLER_691_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50301_ _50299_/Y _50300_/X VGND VGND VPWR VPWR _78112_/D sky130_fd_sc_hd__nand2_2
+XFILLER_18_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_165_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81121_ _81060_/CLK _73682_/Y VGND VGND VPWR VPWR _73681_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_476_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66055_ _66704_/A _66055_/B _66055_/C VGND VGND VPWR VPWR _66060_/B sky130_fd_sc_hd__nor3_2
+XFILLER_552_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51281_ _51279_/X _51280_/Y VGND VGND VPWR VPWR _51281_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_458_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63267_ _63571_/A _63257_/Y _63267_/C VGND VGND VPWR VPWR _63267_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_179_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_292_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60479_ _59676_/A VGND VGND VPWR VPWR _60482_/A sky130_fd_sc_hd__buf_1
+XFILLER_476_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_435_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_200_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53020_ _53020_/A _53019_/X VGND VGND VPWR VPWR _53020_/Y sky130_fd_sc_hd__nand2_2
+X_65006_ _56594_/A _65182_/B VGND VGND VPWR VPWR _65006_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_239_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62218_ _62218_/A _62218_/B VGND VGND VPWR VPWR _62218_/Y sky130_fd_sc_hd__nor2_2
+X_50232_ _50230_/Y _50232_/B VGND VGND VPWR VPWR _78127_/D sky130_fd_sc_hd__nand2_2
+XFILLER_689_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81052_ _81081_/CLK _81052_/D VGND VGND VPWR VPWR _73942_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_229_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_714_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63198_ _63645_/A _63198_/B _63197_/Y VGND VGND VPWR VPWR _63208_/B sky130_fd_sc_hd__nor3_2
+XFILLER_615_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_362_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_31_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_470_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_524_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80003_ _79940_/CLK _80003_/D VGND VGND VPWR VPWR _67811_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_134_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_572_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69814_ _69639_/A _69806_/X _69638_/A _69638_/B VGND VGND VPWR VPWR _55885_/C sky130_fd_sc_hd__and4_2
+XFILLER_334_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50163_ _78146_/Q _50170_/B VGND VGND VPWR VPWR _50163_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_552_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62149_ _60587_/A VGND VGND VPWR VPWR _62149_/X sky130_fd_sc_hd__buf_1
+XFILLER_216_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39974_ _39964_/A _39968_/B _79992_/Q VGND VGND VPWR VPWR _39975_/B sky130_fd_sc_hd__nand3_2
+XFILLER_66_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_323_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_628_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2840 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_511_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_392_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38925_ _38544_/A _38920_/B VGND VGND VPWR VPWR _38925_/X sky130_fd_sc_hd__or2_2
+XFILLER_315_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_689_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69745_ _70724_/B VGND VGND VPWR VPWR _69745_/X sky130_fd_sc_hd__buf_1
+XPHY_8418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50094_ _50091_/A VGND VGND VPWR VPWR _50114_/B sky130_fd_sc_hd__buf_1
+X_54971_ _54971_/A _54970_/X VGND VGND VPWR VPWR _76875_/D sky130_fd_sc_hd__nand2_2
+XFILLER_9_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66957_ _67607_/A _66957_/B _66956_/Y VGND VGND VPWR VPWR _66957_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_708_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_431_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_27_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_511_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_477_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56710_ _56710_/A _56710_/B _56710_/C VGND VGND VPWR VPWR _56714_/B sky130_fd_sc_hd__nor3_2
+XFILLER_116_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65908_ _66566_/A _65906_/Y _65908_/C VGND VGND VPWR VPWR _65908_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_530_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53922_ _53919_/Y _53921_/X VGND VGND VPWR VPWR _77155_/D sky130_fd_sc_hd__nand2_2
+XFILLER_248_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38856_ _38613_/A _38850_/B VGND VGND VPWR VPWR _38858_/A sky130_fd_sc_hd__or2_2
+XFILLER_648_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57690_ _67124_/A _57445_/X VGND VGND VPWR VPWR _57692_/B sky130_fd_sc_hd__nor2_2
+XFILLER_667_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_638_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69676_ _69670_/A _69675_/Y VGND VGND VPWR VPWR _69676_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_665_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66888_ _66225_/A _66885_/Y _66888_/C VGND VGND VPWR VPWR _66892_/B sky130_fd_sc_hd__nor3_2
+XFILLER_141_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_389_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_302_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_88_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_248_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_723_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_606_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56641_ _79602_/Q _56641_/B VGND VGND VPWR VPWR _56641_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_134_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80905_ _81005_/CLK _74569_/Y VGND VGND VPWR VPWR _80905_/Q sky130_fd_sc_hd__dfxtp_4
+X_68627_ _68627_/A _68627_/B _68627_/C VGND VGND VPWR VPWR _68628_/C sky130_fd_sc_hd__nor3_2
+XFILLER_483_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53853_ _53853_/A _53837_/A VGND VGND VPWR VPWR _53853_/X sky130_fd_sc_hd__or2_2
+XPHY_39509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65839_ _56996_/A _65839_/B VGND VGND VPWR VPWR _65839_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_116_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38787_ _38787_/A _38798_/B _80291_/Q VGND VGND VPWR VPWR _38787_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_464_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_79_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1512 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_609_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52804_ _61055_/A _52804_/B VGND VGND VPWR VPWR _52804_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_261_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59360_ _59360_/A _59354_/Y _59359_/Y VGND VGND VPWR VPWR _59368_/B sky130_fd_sc_hd__nor3_2
+XFILLER_3_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_598_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80836_ _80867_/CLK _74865_/Y VGND VGND VPWR VPWR _67869_/A sky130_fd_sc_hd__dfxtp_4
+X_68558_ _68216_/A _68551_/Y _68557_/Y VGND VGND VPWR VPWR _68558_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_546_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56572_ _56495_/A _56572_/B _56571_/Y VGND VGND VPWR VPWR _56572_/X sky130_fd_sc_hd__or3_2
+XFILLER_268_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_528_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53784_ _53635_/A VGND VGND VPWR VPWR _53844_/A sky130_fd_sc_hd__buf_1
+XFILLER_74_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50996_ _49488_/A VGND VGND VPWR VPWR _50998_/A sky130_fd_sc_hd__buf_1
+XFILLER_429_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58311_ _57513_/A VGND VGND VPWR VPWR _58311_/X sky130_fd_sc_hd__buf_1
+XPHY_28318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55523_ _45105_/Y _55522_/X VGND VGND VPWR VPWR _55527_/B sky130_fd_sc_hd__nor2_2
+X_67509_ _67013_/X _67509_/B _67509_/C VGND VGND VPWR VPWR _67510_/C sky130_fd_sc_hd__nor3_2
+XFILLER_446_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52735_ _52735_/A _52734_/X VGND VGND VPWR VPWR _52735_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_271_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59291_ _58887_/A VGND VGND VPWR VPWR _59627_/A sky130_fd_sc_hd__buf_1
+XFILLER_432_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_622_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_244_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80767_ _80826_/CLK _75125_/Y VGND VGND VPWR VPWR _80767_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_544_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68489_ _80135_/Q _68001_/X VGND VGND VPWR VPWR _68491_/B sky130_fd_sc_hd__nor2_2
+XFILLER_281_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_245_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_70_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39408_ _39426_/A VGND VGND VPWR VPWR _39422_/B sky130_fd_sc_hd__buf_1
+XFILLER_446_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58242_ _56638_/X VGND VGND VPWR VPWR _58569_/A sky130_fd_sc_hd__buf_1
+X_70520_ _70519_/Y _70299_/C _70504_/A _70169_/A VGND VGND VPWR VPWR _70520_/X sky130_fd_sc_hd__o22a_4
+XFILLER_231_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55454_ _45416_/A _55465_/B VGND VGND VPWR VPWR _55454_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_598_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52666_ _52666_/A _52665_/X VGND VGND VPWR VPWR _52666_/Y sky130_fd_sc_hd__nand2_2
+X_40680_ _40417_/X _40677_/B VGND VGND VPWR VPWR _40680_/X sky130_fd_sc_hd__or2_2
+XFILLER_110_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80698_ _80863_/CLK _75383_/Y VGND VGND VPWR VPWR _80698_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_600_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_576_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54405_ _54430_/B VGND VGND VPWR VPWR _54420_/B sky130_fd_sc_hd__buf_1
+XPHY_17138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39339_ _39337_/X _39338_/Y VGND VGND VPWR VPWR _80152_/D sky130_fd_sc_hd__nand2_2
+XFILLER_407_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51617_ _51617_/A _51616_/X VGND VGND VPWR VPWR _51617_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_357_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70451_ _70451_/A _70451_/B VGND VGND VPWR VPWR _70869_/C sky130_fd_sc_hd__nor2_2
+X_58173_ _58255_/A _58171_/Y _58173_/C VGND VGND VPWR VPWR _58173_/Y sky130_fd_sc_hd__nor3_2
+XPHY_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55385_ _55396_/A _55385_/B _55384_/Y VGND VGND VPWR VPWR _55386_/B sky130_fd_sc_hd__or3_2
+XFILLER_579_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52597_ _60763_/A _52603_/B VGND VGND VPWR VPWR _52599_/A sky130_fd_sc_hd__nand2_2
+XPHY_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_546_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_240_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57124_ _57124_/A _57048_/X VGND VGND VPWR VPWR _57124_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42350_ _79005_/Q VGND VGND VPWR VPWR _46541_/A sky130_fd_sc_hd__inv_8
+XFILLER_103_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54336_ _59076_/A _54315_/B VGND VGND VPWR VPWR _54336_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_123_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73170_ _73216_/A VGND VGND VPWR VPWR _73189_/A sky130_fd_sc_hd__buf_1
+XFILLER_12_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51548_ _77782_/Q _51551_/B VGND VGND VPWR VPWR _51548_/Y sky130_fd_sc_hd__nand2_2
+XPHY_15714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70382_ _70007_/B VGND VGND VPWR VPWR _70383_/A sky130_fd_sc_hd__buf_1
+XFILLER_574_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_655_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_211_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_494_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41301_ _41299_/X _41301_/B VGND VGND VPWR VPWR _79656_/D sky130_fd_sc_hd__nand2_2
+XPHY_33104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_561_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72121_ _72118_/X _72121_/B VGND VGND VPWR VPWR _72121_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_17_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57055_ _57055_/A _57055_/B _57055_/C VGND VGND VPWR VPWR _57063_/A sky130_fd_sc_hd__nor3_2
+XPHY_33115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81319_ _81301_/CLK _81319_/D VGND VGND VPWR VPWR _58300_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_691_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54267_ _54264_/Y _54267_/B VGND VGND VPWR VPWR _77066_/D sky130_fd_sc_hd__nand2_2
+XFILLER_345_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42281_ _41669_/A _41669_/B _42280_/X _41676_/X VGND VGND VPWR VPWR _42281_/X sky130_fd_sc_hd__or4_2
+XFILLER_574_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_215_0_u_core.clock clkbuf_9_215_0_u_core.clock/A VGND VGND VPWR VPWR _79236_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_238_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51479_ _51479_/A _51491_/B VGND VGND VPWR VPWR _51479_/X sky130_fd_sc_hd__or2_2
+XFILLER_11_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_715_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_32_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44020_ _44217_/A VGND VGND VPWR VPWR _44219_/A sky130_fd_sc_hd__inv_8
+X_56006_ _55991_/A VGND VGND VPWR VPWR _56169_/A sky130_fd_sc_hd__buf_1
+XFILLER_557_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41232_ _41242_/A _41247_/B _57298_/A VGND VGND VPWR VPWR _41233_/B sky130_fd_sc_hd__nand3_2
+XPHY_33159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53218_ _77341_/Q _53218_/B VGND VGND VPWR VPWR _53220_/A sky130_fd_sc_hd__nand2_2
+XFILLER_139_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72052_ _72052_/A _72049_/Y _72051_/Y VGND VGND VPWR VPWR _72052_/X sky130_fd_sc_hd__or3_2
+XFILLER_535_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_326_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54198_ _54072_/A _54210_/B VGND VGND VPWR VPWR _54199_/B sky130_fd_sc_hd__or2_2
+XFILLER_49_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_687_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_165_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_703_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71003_ _70983_/Y _71003_/B _71003_/C VGND VGND VPWR VPWR _71003_/X sky130_fd_sc_hd__or3_2
+XFILLER_139_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41163_ _41013_/A _41270_/B VGND VGND VPWR VPWR _41165_/A sky130_fd_sc_hd__or2_2
+Xclkbuf_9_384_0_u_core.clock clkbuf_8_192_0_u_core.clock/X VGND VGND VPWR VPWR _81273_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_31724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53149_ _47303_/A VGND VGND VPWR VPWR _53149_/X sky130_fd_sc_hd__buf_1
+XPHY_32469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76860_ _76941_/CLK _76860_/D VGND VGND VPWR VPWR _55021_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_648_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_31746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_174_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_84_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40114_ _39994_/A _40114_/B VGND VGND VPWR VPWR _40116_/A sky130_fd_sc_hd__or2_2
+XFILLER_279_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75811_ _75810_/X _75811_/B _80595_/Q VGND VGND VPWR VPWR _75812_/B sky130_fd_sc_hd__nand3_2
+XFILLER_388_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_219_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45971_ _79055_/Q _45974_/B VGND VGND VPWR VPWR _45971_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_171_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41094_ _41093_/X _41089_/X VGND VGND VPWR VPWR _41094_/X sky130_fd_sc_hd__or2_2
+XFILLER_332_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57957_ _57790_/X _57957_/B _57957_/C VGND VGND VPWR VPWR _57961_/B sky130_fd_sc_hd__nor3_2
+XPHY_9653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76791_ _76791_/CLK _55719_/Y VGND VGND VPWR VPWR _76791_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_512_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_316_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_65_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47710_ _47701_/A VGND VGND VPWR VPWR _47710_/X sky130_fd_sc_hd__buf_1
+XFILLER_294_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78530_ _78530_/CLK _48687_/Y VGND VGND VPWR VPWR _63712_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_8941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40045_ _40285_/A _40041_/X VGND VGND VPWR VPWR _40045_/X sky130_fd_sc_hd__or2_2
+XPHY_9686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44922_ _44922_/A _44922_/B VGND VGND VPWR VPWR _44968_/A sky130_fd_sc_hd__or2_2
+X_56908_ _56659_/A _56908_/B _56907_/Y VGND VGND VPWR VPWR _56908_/X sky130_fd_sc_hd__or3_2
+XPHY_20544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75742_ _75737_/X _75734_/B _80614_/Q VGND VGND VPWR VPWR _75742_/Y sky130_fd_sc_hd__nand3_2
+XPHY_21289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48690_ _48690_/A _48690_/B VGND VGND VPWR VPWR _48690_/Y sky130_fd_sc_hd__nand2_2
+X_72954_ _72951_/X _72953_/Y VGND VGND VPWR VPWR _72954_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57888_ _57735_/A _57888_/B _57887_/Y VGND VGND VPWR VPWR _57888_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_427_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_43_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47641_ _47641_/A _47653_/B VGND VGND VPWR VPWR _47641_/X sky130_fd_sc_hd__or2_2
+XFILLER_646_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59627_ _59627_/A VGND VGND VPWR VPWR _59630_/A sky130_fd_sc_hd__buf_1
+XFILLER_294_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71905_ _70838_/C _70838_/B VGND VGND VPWR VPWR _71906_/B sky130_fd_sc_hd__nor2_2
+X_78461_ _78464_/CLK _78461_/D VGND VGND VPWR VPWR _48951_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_82_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44853_ _44449_/A VGND VGND VPWR VPWR _44897_/A sky130_fd_sc_hd__buf_1
+X_56839_ _56275_/A VGND VGND VPWR VPWR _56839_/X sky130_fd_sc_hd__buf_1
+XPHY_8996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75673_ _75673_/A _75672_/Y VGND VGND VPWR VPWR _80632_/D sky130_fd_sc_hd__nand2_2
+XPHY_20599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72885_ _72885_/A VGND VGND VPWR VPWR _73793_/A sky130_fd_sc_hd__buf_1
+XFILLER_93_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77412_ _77410_/CLK _77412_/D VGND VGND VPWR VPWR _52942_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_726_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_369_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43804_ _43804_/A VGND VGND VPWR VPWR _43805_/A sky130_fd_sc_hd__buf_1
+XFILLER_59_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74624_ _74621_/X _74624_/B VGND VGND VPWR VPWR _80890_/D sky130_fd_sc_hd__nand2_2
+XFILLER_581_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_483_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_483_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47572_ _47601_/A _53379_/A VGND VGND VPWR VPWR _47572_/X sky130_fd_sc_hd__or2_2
+X_71836_ _71836_/A VGND VGND VPWR VPWR _71837_/B sky130_fd_sc_hd__inv_8
+XFILLER_627_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59558_ _59722_/A _59556_/Y _59557_/Y VGND VGND VPWR VPWR _59558_/Y sky130_fd_sc_hd__nor3_2
+X_78392_ _78758_/CLK _78392_/D VGND VGND VPWR VPWR _63507_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_482_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44784_ _44796_/A _44781_/Y _44784_/C VGND VGND VPWR VPWR _79193_/D sky130_fd_sc_hd__nor3_2
+XPHY_29520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_722_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_454_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41996_ _41996_/A VGND VGND VPWR VPWR _45341_/A sky130_fd_sc_hd__buf_1
+XFILLER_130_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_235_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_327_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49311_ _49309_/Y _49311_/B VGND VGND VPWR VPWR _78365_/D sky130_fd_sc_hd__nand2_2
+XFILLER_78_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46523_ _46554_/B VGND VGND VPWR VPWR _46523_/X sky130_fd_sc_hd__buf_1
+XFILLER_542_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58509_ _58509_/A VGND VGND VPWR VPWR _58653_/A sky130_fd_sc_hd__buf_1
+XPHY_29553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77343_ _77252_/CLK _77343_/D VGND VGND VPWR VPWR _77343_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_76_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43735_ _74946_/A _43734_/X VGND VGND VPWR VPWR _43736_/C sky130_fd_sc_hd__nor2_2
+XFILLER_362_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74555_ _74553_/X _74555_/B VGND VGND VPWR VPWR _74555_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_542_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40947_ _40947_/A _40946_/Y VGND VGND VPWR VPWR _79742_/D sky130_fd_sc_hd__nand2_2
+XFILLER_245_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59489_ _76911_/Q _59326_/B VGND VGND VPWR VPWR _59490_/C sky130_fd_sc_hd__nor2_2
+XFILLER_362_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_326_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71767_ _71539_/X _71753_/X _71548_/X _42710_/B VGND VGND VPWR VPWR _71768_/A sky130_fd_sc_hd__o22a_4
+XFILLER_362_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_640_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49242_ _63495_/A _49242_/B VGND VGND VPWR VPWR _49244_/A sky130_fd_sc_hd__nand2_2
+X_61520_ _77452_/Q _61520_/B VGND VGND VPWR VPWR _61520_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_267_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73506_ _75827_/A _73626_/B VGND VGND VPWR VPWR _73506_/X sky130_fd_sc_hd__or2_2
+XPHY_28852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_185_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_575_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46454_ _43190_/Y _46448_/B VGND VGND VPWR VPWR _46454_/Y sky130_fd_sc_hd__nand2_2
+X_70718_ _69727_/B _69960_/A _69893_/A _70717_/X VGND VGND VPWR VPWR _70718_/X sky130_fd_sc_hd__o22a_4
+XFILLER_679_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77274_ _77274_/CLK _53480_/Y VGND VGND VPWR VPWR _77274_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_28863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43666_ _43666_/A _43665_/Y VGND VGND VPWR VPWR _43668_/A sky130_fd_sc_hd__or2_2
+XFILLER_206_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74486_ _74231_/A _74486_/B VGND VGND VPWR VPWR _74486_/X sky130_fd_sc_hd__or2_2
+XFILLER_360_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40878_ _40876_/X _40878_/B VGND VGND VPWR VPWR _40878_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_597_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71698_ _39193_/A VGND VGND VPWR VPWR _42955_/A sky130_fd_sc_hd__buf_1
+XPHY_19096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_3044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_304_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79013_ _79013_/CLK _79013_/D VGND VGND VPWR VPWR _46490_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45405_ _45045_/A _45517_/B VGND VGND VPWR VPWR _45405_/Y sky130_fd_sc_hd__nand2_2
+X_76225_ _76247_/A VGND VGND VPWR VPWR _76234_/B sky130_fd_sc_hd__buf_1
+XFILLER_423_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_348_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42617_ _42562_/A VGND VGND VPWR VPWR _42626_/A sky130_fd_sc_hd__buf_1
+X_61451_ _61920_/A _61451_/B _61451_/C VGND VGND VPWR VPWR _61452_/C sky130_fd_sc_hd__nor3_2
+X_49173_ _49170_/Y _49172_/X VGND VGND VPWR VPWR _78404_/D sky130_fd_sc_hd__nand2_2
+X_73437_ _73441_/A _73432_/B _73437_/C VGND VGND VPWR VPWR _73440_/A sky130_fd_sc_hd__nand3_2
+XFILLER_594_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46385_ _45382_/A _46384_/Y VGND VGND VPWR VPWR _46385_/X sky130_fd_sc_hd__or2_2
+X_70649_ _71653_/A _71684_/A _70648_/X VGND VGND VPWR VPWR _70650_/C sky130_fd_sc_hd__and3_2
+XFILLER_520_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43597_ _43591_/X _43597_/B _43596_/Y VGND VGND VPWR VPWR _79321_/D sky130_fd_sc_hd__nor3_2
+XFILLER_579_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_616_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_597_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48124_ _48063_/A _48121_/B VGND VGND VPWR VPWR _48124_/X sky130_fd_sc_hd__or2_2
+XFILLER_403_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_60402_ _59597_/A VGND VGND VPWR VPWR _60403_/B sky130_fd_sc_hd__buf_1
+XPHY_3292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45336_ _45334_/X _45335_/X VGND VGND VPWR VPWR _55641_/B sky130_fd_sc_hd__nand2_2
+XPHY_35040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64170_ _64121_/A VGND VGND VPWR VPWR _64171_/A sky130_fd_sc_hd__buf_1
+XFILLER_163_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76156_ _76156_/A _76156_/B VGND VGND VPWR VPWR _76156_/X sky130_fd_sc_hd__or2_2
+XFILLER_509_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42548_ _42548_/A _42546_/Y _42547_/X _42581_/D VGND VGND VPWR VPWR _42548_/Y sky130_fd_sc_hd__nor4_2
+X_61382_ _61382_/A _61071_/X VGND VGND VPWR VPWR _61382_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_670_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73368_ _73371_/A _73371_/B _65091_/A VGND VGND VPWR VPWR _73370_/A sky130_fd_sc_hd__nand3_2
+XFILLER_203_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_458_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_501_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_15_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63121_ _62969_/X _63116_/Y _63121_/C VGND VGND VPWR VPWR _63121_/Y sky130_fd_sc_hd__nor3_2
+X_75107_ _75105_/X _75106_/Y VGND VGND VPWR VPWR _75107_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_564_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_673_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60333_ _77060_/Q _59851_/B VGND VGND VPWR VPWR _60334_/C sky130_fd_sc_hd__nor2_2
+XFILLER_15_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48055_ _48052_/Y _48054_/X VGND VGND VPWR VPWR _78690_/D sky130_fd_sc_hd__nand2_2
+X_72319_ _72318_/X _72319_/B VGND VGND VPWR VPWR _72319_/X sky130_fd_sc_hd__or2_2
+XPHY_16982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45267_ _45267_/A _45267_/B VGND VGND VPWR VPWR _45267_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76087_ _76097_/A _76091_/B _69027_/A VGND VGND VPWR VPWR _76087_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_592_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_714_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42479_ _42477_/X _70031_/B _70064_/X _42478_/X VGND VGND VPWR VPWR _42479_/X sky130_fd_sc_hd__o22a_4
+XFILLER_631_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73299_ _73354_/A VGND VGND VPWR VPWR _73308_/B sky130_fd_sc_hd__buf_1
+XFILLER_122_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47006_ _47023_/A _47006_/B VGND VGND VPWR VPWR _47006_/X sky130_fd_sc_hd__or2_2
+XFILLER_651_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_616_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_686_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44218_ _44218_/A VGND VGND VPWR VPWR _44268_/A sky130_fd_sc_hd__buf_1
+XFILLER_317_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79915_ _80046_/CLK _40265_/Y VGND VGND VPWR VPWR _58624_/A sky130_fd_sc_hd__dfxtp_4
+X_63052_ _63052_/A _62900_/B VGND VGND VPWR VPWR _63052_/Y sky130_fd_sc_hd__nor2_2
+X_75038_ _75030_/A _75038_/B _65398_/A VGND VGND VPWR VPWR _75040_/A sky130_fd_sc_hd__nand3_2
+XFILLER_651_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60264_ _59457_/A VGND VGND VPWR VPWR _60267_/A sky130_fd_sc_hd__buf_1
+XFILLER_239_1514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_711_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45198_ _45029_/X _45198_/B _45197_/Y VGND VGND VPWR VPWR _45198_/X sky130_fd_sc_hd__or3_2
+XFILLER_612_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_390_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_372_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62003_ _61376_/A _61991_/Y _62003_/C VGND VGND VPWR VPWR _62003_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_651_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_514_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_183_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44149_ _44179_/A _44148_/X VGND VGND VPWR VPWR _79260_/D sky130_fd_sc_hd__nor2_2
+XFILLER_252_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67860_ _75630_/C _67524_/B VGND VGND VPWR VPWR _67862_/B sky130_fd_sc_hd__nor2_2
+XFILLER_520_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79846_ _80039_/CLK _79846_/D VGND VGND VPWR VPWR _68311_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_50_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60195_ _53833_/A _60353_/B VGND VGND VPWR VPWR _60197_/B sky130_fd_sc_hd__nor2_2
+XFILLER_319_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_154_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_491_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_320_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66811_ _66155_/A _66809_/Y _66810_/Y VGND VGND VPWR VPWR _66811_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_721_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_252_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48957_ _48957_/A VGND VGND VPWR VPWR _48958_/B sky130_fd_sc_hd__buf_1
+XFILLER_256_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67791_ _67791_/A _67621_/X VGND VGND VPWR VPWR _67793_/B sky130_fd_sc_hd__nor2_2
+XPHY_22480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79777_ _79778_/CLK _40813_/Y VGND VGND VPWR VPWR _67484_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_142_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76989_ _76947_/CLK _54552_/Y VGND VGND VPWR VPWR _76989_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_459_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_452_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38710_ _38578_/A _38709_/X VGND VGND VPWR VPWR _38710_/X sky130_fd_sc_hd__or2_2
+XFILLER_487_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_547_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69530_ _58793_/A _64283_/B VGND VGND VPWR VPWR _69530_/Y sky130_fd_sc_hd__nor2_2
+X_47908_ _47908_/A _47908_/B VGND VGND VPWR VPWR _47908_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_135_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66742_ _66417_/X _66740_/Y _66742_/C VGND VGND VPWR VPWR _66742_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_9_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78728_ _78728_/CLK _78728_/D VGND VGND VPWR VPWR _63469_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_459_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39690_ _39690_/A _39689_/Y VGND VGND VPWR VPWR _80059_/D sky130_fd_sc_hd__nand2_2
+X_63954_ _63954_/A _63414_/X VGND VGND VPWR VPWR _63954_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_83_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_647_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48888_ _47417_/A _48830_/B VGND VGND VPWR VPWR _54638_/A sky130_fd_sc_hd__or2_2
+XFILLER_111_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_586_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_681_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_135_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38641_ _38656_/A _38641_/B _38641_/C VGND VGND VPWR VPWR _38641_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_508_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62905_ _62591_/X _62903_/Y _62905_/C VGND VGND VPWR VPWR _62906_/C sky130_fd_sc_hd__nor3_2
+X_69461_ _69461_/A _69461_/B VGND VGND VPWR VPWR _69461_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_332_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_418_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_709_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47839_ _47839_/A _47834_/X VGND VGND VPWR VPWR _47839_/X sky130_fd_sc_hd__or2_2
+XFILLER_547_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66673_ _39566_/C _66352_/X VGND VGND VPWR VPWR _66673_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_26_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78659_ _76917_/CLK _78659_/D VGND VGND VPWR VPWR _78659_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_725_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63885_ _63885_/A _63885_/B VGND VGND VPWR VPWR _63886_/C sky130_fd_sc_hd__nor2_2
+XFILLER_635_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_250_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68412_ _64226_/X VGND VGND VPWR VPWR _68758_/A sky130_fd_sc_hd__buf_1
+XFILLER_113_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65624_ _65624_/A _65103_/B VGND VGND VPWR VPWR _65624_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_226_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38572_ _76178_/A VGND VGND VPWR VPWR _38573_/A sky130_fd_sc_hd__buf_1
+XFILLER_528_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50850_ _50846_/Y _50849_/X VGND VGND VPWR VPWR _77964_/D sky130_fd_sc_hd__nand2_2
+XFILLER_113_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62836_ _62836_/A _62835_/X VGND VGND VPWR VPWR _62836_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_443_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69392_ _64822_/A _69390_/Y _69391_/Y VGND VGND VPWR VPWR _69392_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_59_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_697_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_37_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_506_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49509_ _62283_/A _49509_/B VGND VGND VPWR VPWR _49513_/A sky130_fd_sc_hd__nand2_2
+XFILLER_623_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80621_ _80495_/CLK _75714_/Y VGND VGND VPWR VPWR _80621_/Q sky130_fd_sc_hd__dfxtp_4
+X_68343_ _43686_/Y _68024_/B VGND VGND VPWR VPWR _68345_/B sky130_fd_sc_hd__nor2_2
+XFILLER_506_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_0_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65555_ _43706_/Y _65381_/B VGND VGND VPWR VPWR _65557_/B sky130_fd_sc_hd__nor2_2
+X_50781_ _61832_/A _50766_/B VGND VGND VPWR VPWR _50783_/A sky130_fd_sc_hd__nand2_2
+Xclkbuf_6_49_0_u_core.clock clkbuf_5_24_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_99_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_62767_ _59333_/A VGND VGND VPWR VPWR _62767_/X sky130_fd_sc_hd__buf_1
+XFILLER_482_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_78_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_380_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_502_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52520_ _52518_/Y _52519_/X VGND VGND VPWR VPWR _52520_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_604_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64506_ _64591_/A VGND VGND VPWR VPWR _65249_/A sky130_fd_sc_hd__buf_1
+XFILLER_398_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_421_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61718_ _61550_/A _61715_/Y _61717_/Y VGND VGND VPWR VPWR _61719_/C sky130_fd_sc_hd__nor3_2
+XFILLER_165_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80552_ _80584_/CLK _80552_/D VGND VGND VPWR VPWR _68541_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_443_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68274_ _68274_/A _68274_/B _68273_/Y VGND VGND VPWR VPWR _68274_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_107_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_129_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_161_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65486_ _65486_/A _65486_/B _65485_/Y VGND VGND VPWR VPWR _65486_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_53_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62698_ _50011_/A _62856_/B VGND VGND VPWR VPWR _62698_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_404_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_168_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_280_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_361_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67225_ _80512_/Q _66725_/B VGND VGND VPWR VPWR _67225_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_398_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52451_ _77542_/Q _52451_/B VGND VGND VPWR VPWR _52453_/A sky130_fd_sc_hd__nand2_2
+XFILLER_640_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64437_ _65034_/A _64437_/B _64437_/C VGND VGND VPWR VPWR _64447_/B sky130_fd_sc_hd__nor3_2
+XFILLER_263_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61649_ _61649_/A _61179_/B VGND VGND VPWR VPWR _61650_/C sky130_fd_sc_hd__nor2_2
+X_80483_ _80408_/CLK _76271_/Y VGND VGND VPWR VPWR _80483_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_228_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_240_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39124_ _39109_/X _39105_/B _68992_/A VGND VGND VPWR VPWR _39125_/B sky130_fd_sc_hd__nand3_2
+X_51402_ _51472_/A _51435_/B VGND VGND VPWR VPWR _51403_/B sky130_fd_sc_hd__or2_2
+XFILLER_205_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55170_ _55170_/A _55169_/Y VGND VGND VPWR VPWR _55171_/C sky130_fd_sc_hd__nor2_2
+X_67156_ _79999_/Q _66649_/X VGND VGND VPWR VPWR _67158_/B sky130_fd_sc_hd__nor2_2
+XFILLER_90_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1527 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_72_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52382_ _52382_/A VGND VGND VPWR VPWR _52395_/B sky130_fd_sc_hd__buf_1
+XFILLER_339_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64368_ _64367_/X VGND VGND VPWR VPWR _69408_/B sky130_fd_sc_hd__buf_1
+XFILLER_240_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_652_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_457_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54121_ _54121_/A _54118_/B VGND VGND VPWR VPWR _54121_/Y sky130_fd_sc_hd__nand2_2
+X_66107_ _66754_/A _66107_/B _66106_/Y VGND VGND VPWR VPWR _66108_/C sky130_fd_sc_hd__nor3_2
+XFILLER_257_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63319_ _63154_/A _63319_/B _63319_/C VGND VGND VPWR VPWR _63320_/C sky130_fd_sc_hd__nor3_2
+X_39055_ _39055_/A _39054_/Y VGND VGND VPWR VPWR _39055_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_394_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51333_ _51391_/A _51333_/B VGND VGND VPWR VPWR _51333_/X sky130_fd_sc_hd__or2_2
+XFILLER_617_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67087_ _67087_/A _67254_/B VGND VGND VPWR VPWR _67087_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_366_185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64299_ _68729_/A VGND VGND VPWR VPWR _69465_/B sky130_fd_sc_hd__buf_1
+XFILLER_509_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_147_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_88_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81104_ _80809_/CLK _73743_/Y VGND VGND VPWR VPWR _81104_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_536_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_437_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54052_ _54052_/A _54037_/B VGND VGND VPWR VPWR _54054_/A sky130_fd_sc_hd__nand2_2
+XFILLER_292_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66038_ _44835_/A VGND VGND VPWR VPWR _66038_/Y sky130_fd_sc_hd__inv_8
+XFILLER_105_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_165_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51264_ _41782_/Y _51256_/X _41783_/Y _51257_/X VGND VGND VPWR VPWR _51426_/A sky130_fd_sc_hd__o22a_4
+XFILLER_159_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_308_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_257_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_394_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_634_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_530_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_147_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_82_0_u_core.clock clkbuf_7_83_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_82_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_277_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53003_ _53031_/A _53018_/B VGND VGND VPWR VPWR _53003_/X sky130_fd_sc_hd__or2_2
+XFILLER_49_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50215_ _50093_/X _50233_/B VGND VGND VPWR VPWR _50216_/B sky130_fd_sc_hd__or2_2
+XFILLER_101_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81035_ _81004_/CLK _74010_/Y VGND VGND VPWR VPWR _81035_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_180_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58860_ _58858_/Y _58862_/B _58853_/X VGND VGND VPWR VPWR _78978_/D sky130_fd_sc_hd__nor3_2
+XPHY_12918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51195_ _51167_/A _51172_/A VGND VGND VPWR VPWR _51195_/X sky130_fd_sc_hd__or2_2
+XFILLER_118_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_713_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57811_ _57575_/A _57811_/B _57811_/C VGND VGND VPWR VPWR _57816_/B sky130_fd_sc_hd__nor3_2
+XFILLER_450_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_406_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50146_ _50116_/A _50149_/B VGND VGND VPWR VPWR _50146_/X sky130_fd_sc_hd__or2_2
+XFILLER_489_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_161_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_29_0_u_core.clock clkbuf_7_29_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_59_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_216_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39957_ _39957_/A VGND VGND VPWR VPWR _39957_/X sky130_fd_sc_hd__buf_1
+X_58791_ _56271_/X _58789_/Y _58791_/C VGND VGND VPWR VPWR _58791_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_121_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67989_ _67989_/A _67653_/X VGND VGND VPWR VPWR _67989_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_318_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_686_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_192_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_88_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38908_ _38915_/A _38915_/B _38908_/C VGND VGND VPWR VPWR _38908_/Y sky130_fd_sc_hd__nand3_2
+X_57742_ _80320_/Q _57813_/B VGND VGND VPWR VPWR _57742_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_216_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69728_ _69719_/B _69720_/Y _69727_/Y VGND VGND VPWR VPWR _69728_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_322_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50077_ _50075_/Y _50076_/X VGND VGND VPWR VPWR _78169_/D sky130_fd_sc_hd__nand2_2
+X_54954_ _59576_/A _54954_/B VGND VGND VPWR VPWR _54956_/A sky130_fd_sc_hd__nand2_2
+XFILLER_7_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_3046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_27_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39888_ _75947_/A _39888_/B VGND VGND VPWR VPWR _39901_/A sky130_fd_sc_hd__or2_2
+XPHY_8259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_448_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_311_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_684_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_235_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_389_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_88_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53905_ _53905_/A _53904_/X VGND VGND VPWR VPWR _53905_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_681_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_287_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38839_ _38836_/X _38838_/Y VGND VGND VPWR VPWR _38839_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_492_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_467_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57673_ _81311_/Q _57501_/X VGND VGND VPWR VPWR _57675_/B sky130_fd_sc_hd__nor2_2
+X_69659_ _69659_/A VGND VGND VPWR VPWR _69659_/Y sky130_fd_sc_hd__inv_8
+XPHY_6813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54885_ _60246_/A _54895_/B VGND VGND VPWR VPWR _54887_/A sky130_fd_sc_hd__nand2_2
+XFILLER_75_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_102_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59412_ _59897_/A _59412_/B VGND VGND VPWR VPWR _59452_/B sky130_fd_sc_hd__nor2_2
+XFILLER_85_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_723_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_346_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_291_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_276_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56624_ _64962_/A _56702_/B VGND VGND VPWR VPWR _56624_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_283_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41850_ _41848_/Y _41813_/X _41849_/Y _41815_/X VGND VGND VPWR VPWR _41851_/B sky130_fd_sc_hd__o22a_4
+X_53836_ _77178_/Q _53840_/B VGND VGND VPWR VPWR _53839_/A sky130_fd_sc_hd__nand2_2
+XFILLER_363_2900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72670_ _72790_/A _72673_/B VGND VGND VPWR VPWR _72670_/X sky130_fd_sc_hd__or2_2
+XFILLER_348_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_311_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_726_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_680_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_448_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_653_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_704_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40801_ _40801_/A VGND VGND VPWR VPWR _40815_/B sky130_fd_sc_hd__buf_1
+XPHY_28104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59343_ _64021_/A _59343_/B _59343_/C VGND VGND VPWR VPWR _59351_/B sky130_fd_sc_hd__nor3_2
+X_71621_ _71544_/X _71621_/B VGND VGND VPWR VPWR _71621_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_480_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_461_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56555_ _56478_/A _56555_/B VGND VGND VPWR VPWR _56572_/B sky130_fd_sc_hd__nor2_2
+XFILLER_348_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80819_ _80852_/CLK _80819_/D VGND VGND VPWR VPWR _65039_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53767_ _53797_/B VGND VGND VPWR VPWR _53791_/B sky130_fd_sc_hd__buf_1
+X_41781_ _58850_/A _41754_/B VGND VGND VPWR VPWR _41786_/A sky130_fd_sc_hd__nand2_2
+XFILLER_164_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_29_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_114_0_u_core.clock clkbuf_7_57_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_229_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_28126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50979_ _50922_/A _50988_/B VGND VGND VPWR VPWR _50979_/X sky130_fd_sc_hd__or2_2
+XPHY_37915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_174_0_u_core.clock clkbuf_8_87_0_u_core.clock/X VGND VGND VPWR VPWR _78919_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_37926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_621_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55506_ _55504_/Y _55506_/B _55293_/Y VGND VGND VPWR VPWR _55506_/Y sky130_fd_sc_hd__nor3_2
+X_43520_ _55076_/A _55088_/A VGND VGND VPWR VPWR _55062_/B sky130_fd_sc_hd__or2_2
+X_74340_ _74338_/X _74339_/X _74340_/C VGND VGND VPWR VPWR _74340_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_407_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40732_ _40724_/X _40717_/X _56922_/A VGND VGND VPWR VPWR _40733_/B sky130_fd_sc_hd__nand3_2
+XPHY_28159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52718_ _52718_/A _52718_/B VGND VGND VPWR VPWR _52718_/X sky130_fd_sc_hd__or2_2
+XFILLER_227_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59274_ _59611_/A _59274_/B _59274_/C VGND VGND VPWR VPWR _59275_/B sky130_fd_sc_hd__nor3_2
+X_71552_ _71552_/A _70912_/D VGND VGND VPWR VPWR _71553_/C sky130_fd_sc_hd__nor2_2
+XPHY_37948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56486_ _41267_/C _56392_/B VGND VGND VPWR VPWR _56486_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_141_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53698_ _53698_/A _53701_/B VGND VGND VPWR VPWR _53698_/X sky130_fd_sc_hd__or2_2
+XFILLER_499_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_62_0_u_core.clock clkbuf_8_63_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_62_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_284_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58225_ _56855_/A VGND VGND VPWR VPWR _58225_/X sky130_fd_sc_hd__buf_1
+XPHY_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70503_ _70503_/A _70503_/B VGND VGND VPWR VPWR _70505_/B sky130_fd_sc_hd__nor2_2
+XFILLER_600_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43451_ _43377_/A VGND VGND VPWR VPWR _43465_/B sky130_fd_sc_hd__buf_1
+X_55437_ _55430_/X _55436_/X VGND VGND VPWR VPWR _76810_/D sky130_fd_sc_hd__nand2_2
+XFILLER_648_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74271_ _74274_/A _74167_/B _80976_/Q VGND VGND VPWR VPWR _74271_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_340_1506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40663_ _40681_/A VGND VGND VPWR VPWR _40678_/B sky130_fd_sc_hd__buf_1
+XPHY_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52649_ _52614_/X _52667_/B VGND VGND VPWR VPWR _52650_/B sky130_fd_sc_hd__or2_2
+XFILLER_231_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71483_ _76808_/Q VGND VGND VPWR VPWR _71485_/A sky130_fd_sc_hd__inv_8
+XFILLER_639_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_205_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_379_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_24_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_201_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76010_ _76010_/A _76010_/B VGND VGND VPWR VPWR _76010_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_674_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42402_ _42417_/A VGND VGND VPWR VPWR _46652_/A sky130_fd_sc_hd__inv_8
+XFILLER_142_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73222_ _74109_/A VGND VGND VPWR VPWR _75032_/A sky130_fd_sc_hd__buf_1
+XPHY_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58156_ _80261_/Q _57912_/X VGND VGND VPWR VPWR _58157_/C sky130_fd_sc_hd__nor2_2
+X_46170_ _46170_/A _46170_/B VGND VGND VPWR VPWR _46170_/Y sky130_fd_sc_hd__nor2_2
+X_70434_ _69990_/X _70433_/X VGND VGND VPWR VPWR _70495_/B sky130_fd_sc_hd__or2_2
+XPHY_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_3077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_502_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43382_ _43373_/X _43366_/X _68929_/A VGND VGND VPWR VPWR _43383_/B sky130_fd_sc_hd__nand3_2
+XFILLER_11_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_145_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55368_ _55393_/A _55368_/B VGND VGND VPWR VPWR _55369_/B sky130_fd_sc_hd__nor2_2
+XPHY_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40594_ _40576_/A VGND VGND VPWR VPWR _40594_/X sky130_fd_sc_hd__buf_1
+XFILLER_674_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45121_ _45061_/A _45120_/Y VGND VGND VPWR VPWR _45121_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57107_ _57107_/A _57029_/B VGND VGND VPWR VPWR _57107_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_346_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_201_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42333_ _42333_/A _42333_/B VGND VGND VPWR VPWR _42334_/B sky130_fd_sc_hd__and2_2
+XFILLER_103_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54319_ _54317_/Y _54318_/X VGND VGND VPWR VPWR _77051_/D sky130_fd_sc_hd__nand2_2
+XFILLER_322_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73153_ _43801_/A VGND VGND VPWR VPWR _74978_/A sky130_fd_sc_hd__buf_1
+XPHY_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_357_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58087_ _58087_/A _58087_/B VGND VGND VPWR VPWR _58088_/B sky130_fd_sc_hd__nor2_2
+XPHY_16289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70365_ _70364_/Y VGND VGND VPWR VPWR _70388_/C sky130_fd_sc_hd__buf_1
+XFILLER_494_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_723_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_392_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55299_ _55299_/A _55298_/X VGND VGND VPWR VPWR _76821_/D sky130_fd_sc_hd__nand2_2
+XFILLER_639_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_199_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_357_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_338_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72104_ _70888_/X _72103_/Y VGND VGND VPWR VPWR _72104_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_345_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45052_ _45052_/A VGND VGND VPWR VPWR _45182_/A sky130_fd_sc_hd__buf_1
+XPHY_32200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57038_ _65817_/A _57196_/B VGND VGND VPWR VPWR _57041_/B sky130_fd_sc_hd__nor2_2
+XFILLER_300_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_355_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42264_ _42264_/A VGND VGND VPWR VPWR _44916_/A sky130_fd_sc_hd__inv_8
+XFILLER_550_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73084_ _71889_/B _73090_/B VGND VGND VPWR VPWR _73084_/X sky130_fd_sc_hd__or2_2
+X_77961_ _77956_/CLK _77961_/D VGND VGND VPWR VPWR _62293_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70296_ _70284_/X _70293_/X VGND VGND VPWR VPWR _71332_/A sky130_fd_sc_hd__nor2_2
+XFILLER_535_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_279_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44003_ _44218_/A VGND VGND VPWR VPWR _44066_/A sky130_fd_sc_hd__buf_1
+XPHY_14876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79700_ _79751_/CLK _79700_/D VGND VGND VPWR VPWR _79700_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_475_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_193_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41215_ _41212_/X _41214_/Y VGND VGND VPWR VPWR _79679_/D sky130_fd_sc_hd__nand2_2
+XPHY_32244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76912_ _76911_/CLK _54838_/Y VGND VGND VPWR VPWR _76912_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72035_ _71819_/A _70796_/X VGND VGND VPWR VPWR _72036_/B sky130_fd_sc_hd__nor2_2
+XFILLER_503_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49860_ _49917_/A _49860_/B VGND VGND VPWR VPWR _49860_/X sky130_fd_sc_hd__or2_2
+XFILLER_433_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_314_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_316_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42195_ _45048_/A _42194_/X VGND VGND VPWR VPWR _42202_/B sky130_fd_sc_hd__nor2_2
+XFILLER_535_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77892_ _77891_/CLK _77892_/D VGND VGND VPWR VPWR _62887_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_49_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_316_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_530_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_302_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_45_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_3072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48811_ _48842_/A _48810_/X VGND VGND VPWR VPWR _48811_/X sky130_fd_sc_hd__or2_2
+XFILLER_703_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_113_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79631_ _79694_/CLK _79631_/D VGND VGND VPWR VPWR _64283_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_316_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41146_ _39246_/A VGND VGND VPWR VPWR _41269_/A sky130_fd_sc_hd__buf_1
+XPHY_31554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76843_ _76843_/CLK _55142_/X VGND VGND VPWR VPWR _76843_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_648_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49791_ _49789_/Y _49790_/X VGND VGND VPWR VPWR _78245_/D sky130_fd_sc_hd__nand2_2
+XFILLER_251_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58989_ _62548_/A VGND VGND VPWR VPWR _64021_/A sky130_fd_sc_hd__buf_1
+XFILLER_341_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_1345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_431_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_351_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_31598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48742_ _63705_/A _48742_/B VGND VGND VPWR VPWR _48745_/A sky130_fd_sc_hd__nand2_2
+XPHY_9472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79562_ _79565_/CLK _41769_/Y _41760_/X VGND VGND VPWR VPWR _45255_/A sky130_fd_sc_hd__dfrtp_4
+X_45954_ _45960_/A _45952_/Y _45953_/Y VGND VGND VPWR VPWR _45954_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_191_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41077_ _41059_/A _41076_/X _57701_/A VGND VGND VPWR VPWR _41078_/B sky130_fd_sc_hd__nand3_2
+XFILLER_629_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76774_ _77422_/CLK _55794_/Y VGND VGND VPWR VPWR _76774_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_9483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_42_0_u_core.clock clkbuf_8_21_0_u_core.clock/X VGND VGND VPWR VPWR _79557_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_105_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73986_ _73986_/A VGND VGND VPWR VPWR _73999_/A sky130_fd_sc_hd__buf_1
+XFILLER_316_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_583_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78513_ _78498_/CLK _48748_/Y VGND VGND VPWR VPWR _63574_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40028_ _40028_/A _40028_/B VGND VGND VPWR VPWR _40028_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_267_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44905_ _42414_/X VGND VGND VPWR VPWR _44905_/Y sky130_fd_sc_hd__inv_8
+XFILLER_684_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75725_ _75966_/A _75725_/B VGND VGND VPWR VPWR _75725_/X sky130_fd_sc_hd__or2_2
+XFILLER_466_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_456_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72937_ _73955_/A _72937_/B VGND VGND VPWR VPWR _72939_/A sky130_fd_sc_hd__or2_2
+X_60951_ _77600_/Q _61106_/B VGND VGND VPWR VPWR _60954_/B sky130_fd_sc_hd__nor2_2
+XFILLER_1_3211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48673_ _48671_/Y _48673_/B VGND VGND VPWR VPWR _78533_/D sky130_fd_sc_hd__nand2_2
+XFILLER_644_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79493_ _78988_/Q _42316_/X _42310_/X VGND VGND VPWR VPWR _79493_/Q sky130_fd_sc_hd__dfstp_4
+XFILLER_368_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45885_ _45781_/Y _45884_/Y _45885_/C VGND VGND VPWR VPWR _45886_/C sky130_fd_sc_hd__nor3_2
+XFILLER_120_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_3222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_78_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_212_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_705_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47624_ _47624_/A _47611_/X VGND VGND VPWR VPWR _47625_/B sky130_fd_sc_hd__or2_2
+XFILLER_254_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_666_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78444_ _78102_/CLK _49019_/Y VGND VGND VPWR VPWR _78444_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_542_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44836_ _44477_/X VGND VGND VPWR VPWR _44836_/X sky130_fd_sc_hd__buf_1
+XFILLER_187_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63670_ _63231_/A _63670_/B VGND VGND VPWR VPWR _63670_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_149_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75656_ _75656_/A VGND VGND VPWR VPWR _75657_/B sky130_fd_sc_hd__buf_1
+XFILLER_409_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_130_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60882_ _60094_/A VGND VGND VPWR VPWR _60883_/B sky130_fd_sc_hd__buf_1
+XFILLER_66_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72868_ _72873_/A _72873_/B _58300_/A VGND VGND VPWR VPWR _72868_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_93_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_624_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_266_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_482_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_219_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62621_ _62152_/X _62621_/B _62621_/C VGND VGND VPWR VPWR _62622_/C sky130_fd_sc_hd__nor3_2
+X_74607_ _74220_/A _74596_/B VGND VGND VPWR VPWR _74607_/X sky130_fd_sc_hd__or2_2
+XFILLER_679_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71819_ _71819_/A _70855_/Y VGND VGND VPWR VPWR _71819_/X sky130_fd_sc_hd__and2_2
+X_47555_ _47647_/A _47548_/X VGND VGND VPWR VPWR _47556_/B sky130_fd_sc_hd__or2_2
+XFILLER_627_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78375_ _78836_/CLK _78375_/D VGND VGND VPWR VPWR _49274_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_542_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44767_ _44477_/X VGND VGND VPWR VPWR _44767_/X sky130_fd_sc_hd__buf_1
+XFILLER_95_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75587_ _76068_/A _75700_/B VGND VGND VPWR VPWR _75591_/A sky130_fd_sc_hd__or2_2
+XPHY_29350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41979_ _41953_/B VGND VGND VPWR VPWR _41980_/A sky130_fd_sc_hd__buf_1
+XFILLER_223_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72799_ _72799_/A VGND VGND VPWR VPWR _72810_/B sky130_fd_sc_hd__buf_1
+XFILLER_1_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_34_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46506_ _46506_/A _69558_/B _46506_/C VGND VGND VPWR VPWR _46506_/X sky130_fd_sc_hd__and3_2
+X_77326_ _77333_/CLK _53273_/Y VGND VGND VPWR VPWR _77326_/Q sky130_fd_sc_hd__dfxtp_4
+X_65340_ _64138_/A VGND VGND VPWR VPWR _66013_/A sky130_fd_sc_hd__buf_1
+XFILLER_235_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43718_ _43718_/A _43717_/X VGND VGND VPWR VPWR _43906_/A sky130_fd_sc_hd__or2_4
+XFILLER_362_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62552_ _62864_/A _62552_/B _62552_/C VGND VGND VPWR VPWR _62556_/B sky130_fd_sc_hd__nor3_2
+XFILLER_542_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74538_ _74659_/A _74538_/B VGND VGND VPWR VPWR _74541_/A sky130_fd_sc_hd__or2_2
+XFILLER_206_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_207_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_425_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47486_ _49071_/A VGND VGND VPWR VPWR _47817_/A sky130_fd_sc_hd__buf_1
+XFILLER_56_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44698_ _44393_/B VGND VGND VPWR VPWR _44700_/A sky130_fd_sc_hd__buf_1
+XFILLER_245_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_425_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49225_ _49225_/A _48102_/A VGND VGND VPWR VPWR _54963_/A sky130_fd_sc_hd__or2_2
+XFILLER_368_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61503_ _60884_/A _61503_/B _61503_/C VGND VGND VPWR VPWR _61503_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_612_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_327_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46437_ _43171_/A _47105_/A VGND VGND VPWR VPWR _46437_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_558_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65271_ _64915_/A _65271_/B _65271_/C VGND VGND VPWR VPWR _65272_/C sky130_fd_sc_hd__nor3_2
+XFILLER_679_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77257_ _77254_/CLK _53544_/Y VGND VGND VPWR VPWR _59898_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43649_ _43646_/X _43649_/B _43649_/C VGND VGND VPWR VPWR _43649_/Y sky130_fd_sc_hd__nor3_2
+XPHY_18170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62483_ _77922_/Q _62172_/X VGND VGND VPWR VPWR _62483_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_499_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74469_ _74070_/X _74486_/B VGND VGND VPWR VPWR _74469_/X sky130_fd_sc_hd__or2_2
+XFILLER_438_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67010_ _80158_/Q _67010_/B VGND VGND VPWR VPWR _67011_/C sky130_fd_sc_hd__nor2_2
+XPHY_18192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64222_ _64222_/A _64211_/B VGND VGND VPWR VPWR _64222_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_73_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76208_ _76206_/X _76208_/B VGND VGND VPWR VPWR _76208_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_106_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61434_ _61242_/X _61433_/Y VGND VGND VPWR VPWR _61434_/Y sky130_fd_sc_hd__nor2_2
+XPHY_27992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49156_ _49214_/A _49156_/B VGND VGND VPWR VPWR _49157_/B sky130_fd_sc_hd__or2_2
+XFILLER_477_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46368_ _46368_/A _46368_/B VGND VGND VPWR VPWR _46389_/A sky130_fd_sc_hd__nand2_2
+XFILLER_653_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_538_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_376_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77188_ _77183_/CLK _53804_/Y VGND VGND VPWR VPWR _77188_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_501_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_160_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_364_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_277_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48107_ _48104_/X VGND VGND VPWR VPWR _48107_/X sky130_fd_sc_hd__buf_1
+XFILLER_309_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45319_ _45267_/A _45319_/B VGND VGND VPWR VPWR _45319_/Y sky130_fd_sc_hd__nor2_2
+X_64153_ _64153_/A VGND VGND VPWR VPWR _67063_/A sky130_fd_sc_hd__buf_1
+X_76139_ _76137_/X _76139_/B VGND VGND VPWR VPWR _80513_/D sky130_fd_sc_hd__nand2_2
+X_61365_ _60898_/A _61365_/B _61364_/Y VGND VGND VPWR VPWR _61376_/B sky130_fd_sc_hd__nor3_2
+X_49087_ _49087_/A _49099_/B VGND VGND VPWR VPWR _49087_/X sky130_fd_sc_hd__or2_2
+XFILLER_575_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46299_ _43232_/A _46286_/B VGND VGND VPWR VPWR _46299_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_89_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_726_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_129_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_391_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63104_ _63104_/A _63104_/B VGND VGND VPWR VPWR _63105_/B sky130_fd_sc_hd__nor2_2
+XFILLER_176_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_15_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48038_ _48036_/Y _48037_/X VGND VGND VPWR VPWR _78694_/D sky130_fd_sc_hd__nand2_2
+XFILLER_363_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60316_ _59838_/A _60311_/Y _60316_/C VGND VGND VPWR VPWR _60316_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_147_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68961_ _68627_/A _68961_/B _68961_/C VGND VGND VPWR VPWR _68962_/C sky130_fd_sc_hd__nor3_2
+XFILLER_391_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64084_ _64095_/A VGND VGND VPWR VPWR _64459_/A sky130_fd_sc_hd__buf_1
+XFILLER_317_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61296_ _60821_/A _61294_/Y _61295_/Y VGND VGND VPWR VPWR _61297_/C sky130_fd_sc_hd__nor3_2
+XFILLER_351_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_701_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_239_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67912_ _81028_/Q _67912_/B VGND VGND VPWR VPWR _67913_/C sky130_fd_sc_hd__nor2_2
+X_63035_ _63035_/A _63034_/Y VGND VGND VPWR VPWR _63036_/B sky130_fd_sc_hd__nor2_2
+XFILLER_293_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_137_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60247_ _60247_/A _60247_/B _60246_/Y VGND VGND VPWR VPWR _60247_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_293_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_275_2982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_344_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68892_ _68888_/X _68892_/B _68892_/C VGND VGND VPWR VPWR _68899_/B sky130_fd_sc_hd__nor3_2
+XFILLER_533_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_102_1588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_670_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50000_ _50028_/A _50000_/B VGND VGND VPWR VPWR _50000_/X sky130_fd_sc_hd__or2_2
+XFILLER_694_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_553_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39811_ _39792_/X _39816_/B _80031_/Q VGND VGND VPWR VPWR _39811_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_436_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67843_ _66197_/A VGND VGND VPWR VPWR _67843_/X sky130_fd_sc_hd__buf_1
+XFILLER_137_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79829_ _79809_/CLK _40618_/Y VGND VGND VPWR VPWR _65522_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_330_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60178_ _60178_/A _60177_/Y VGND VGND VPWR VPWR _60178_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_103_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49989_ _49986_/Y _49988_/X VGND VGND VPWR VPWR _49989_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_154_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_668_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39742_ _40254_/A _39880_/B VGND VGND VPWR VPWR _39742_/X sky130_fd_sc_hd__or2_2
+XFILLER_447_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_193_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67774_ _65294_/A VGND VGND VPWR VPWR _67774_/X sky130_fd_sc_hd__buf_1
+XFILLER_252_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_170_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_3022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_569_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_666_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64986_ _64986_/A _64985_/X VGND VGND VPWR VPWR _64989_/B sky130_fd_sc_hd__nor2_2
+XFILLER_276_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_213_1506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_386_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_135_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_484_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69513_ _58826_/A _69235_/B VGND VGND VPWR VPWR _69515_/B sky130_fd_sc_hd__nor2_2
+XFILLER_258_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66725_ _80509_/Q _66725_/B VGND VGND VPWR VPWR _66726_/C sky130_fd_sc_hd__nor2_2
+XFILLER_315_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39673_ _39175_/A _39677_/B VGND VGND VPWR VPWR _39673_/X sky130_fd_sc_hd__or2_2
+X_51951_ _51895_/A _51948_/B VGND VGND VPWR VPWR _51952_/B sky130_fd_sc_hd__or2_2
+XPHY_6109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63937_ _59260_/A _63935_/Y _63936_/Y VGND VGND VPWR VPWR _63937_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_135_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_582_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_681_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_607_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_446_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_385_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38624_ _38634_/A VGND VGND VPWR VPWR _38624_/X sky130_fd_sc_hd__buf_1
+X_50902_ _77950_/Q _50899_/B VGND VGND VPWR VPWR _50904_/A sky130_fd_sc_hd__nand2_2
+X_69444_ _69444_/A _68873_/B VGND VGND VPWR VPWR _69444_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_449_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54670_ _54667_/A VGND VGND VPWR VPWR _54694_/B sky130_fd_sc_hd__buf_1
+XFILLER_607_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66656_ _79932_/Q _66990_/B VGND VGND VPWR VPWR _66656_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_547_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_465_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51882_ _51939_/A _51882_/B VGND VGND VPWR VPWR _51882_/X sky130_fd_sc_hd__or2_2
+XFILLER_462_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63868_ _58879_/A _63835_/Y _63867_/Y VGND VGND VPWR VPWR _63934_/A sky130_fd_sc_hd__nor3_2
+XPHY_5419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_662_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_606_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_547_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53621_ _53621_/A _53630_/B VGND VGND VPWR VPWR _53624_/A sky130_fd_sc_hd__nand2_2
+XFILLER_183_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65607_ _65607_/A _65434_/B VGND VGND VPWR VPWR _65607_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_427_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_642_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38555_ _38550_/A _38541_/B _66564_/A VGND VGND VPWR VPWR _38556_/B sky130_fd_sc_hd__nand3_2
+X_50833_ _50716_/X _50826_/X VGND VGND VPWR VPWR _50833_/X sky130_fd_sc_hd__or2_2
+X_62819_ _62188_/X _62814_/Y _62818_/Y VGND VGND VPWR VPWR _62819_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_599_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_482_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69375_ _64752_/A _69373_/Y _69375_/C VGND VGND VPWR VPWR _69375_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66587_ _64913_/A VGND VGND VPWR VPWR _67255_/B sky130_fd_sc_hd__buf_1
+XFILLER_415_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_465_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_39_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63799_ _63229_/A _63791_/Y _63799_/C VGND VGND VPWR VPWR _63799_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_640_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_462_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_263_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80604_ _80259_/CLK _75779_/Y VGND VGND VPWR VPWR _80604_/Q sky130_fd_sc_hd__dfxtp_4
+X_56340_ _58281_/A VGND VGND VPWR VPWR _58737_/A sky130_fd_sc_hd__buf_1
+X_68326_ _38777_/C _67838_/B VGND VGND VPWR VPWR _68326_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_281_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_198_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_675_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53552_ _53524_/A _53549_/B VGND VGND VPWR VPWR _53552_/X sky130_fd_sc_hd__or2_2
+XFILLER_430_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65538_ _66361_/A VGND VGND VPWR VPWR _66194_/A sky130_fd_sc_hd__buf_1
+XFILLER_404_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_599_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38486_ _38484_/X _38485_/Y VGND VGND VPWR VPWR _80363_/D sky130_fd_sc_hd__nand2_2
+XFILLER_345_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50764_ _50764_/A _50764_/B VGND VGND VPWR VPWR _50764_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_183_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_580_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_109_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_341_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52503_ _52519_/B VGND VGND VPWR VPWR _52516_/B sky130_fd_sc_hd__buf_1
+XPHY_26009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_443_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56271_ _57650_/A VGND VGND VPWR VPWR _56271_/X sky130_fd_sc_hd__buf_1
+XFILLER_107_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80535_ _80535_/CLK _76039_/Y VGND VGND VPWR VPWR _65732_/A sky130_fd_sc_hd__dfxtp_4
+X_68257_ _67939_/A _68257_/B _68256_/Y VGND VGND VPWR VPWR _68337_/B sky130_fd_sc_hd__nor3_2
+XFILLER_441_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53483_ _53515_/A _53479_/B VGND VGND VPWR VPWR _53483_/X sky130_fd_sc_hd__or2_2
+X_65469_ _56896_/A _64942_/B VGND VGND VPWR VPWR _65471_/B sky130_fd_sc_hd__nor2_2
+XFILLER_577_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50695_ _54517_/A _50759_/B VGND VGND VPWR VPWR _50695_/X sky130_fd_sc_hd__or2_2
+XFILLER_0_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_697_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58010_ _56807_/X VGND VGND VPWR VPWR _58330_/B sky130_fd_sc_hd__buf_1
+XFILLER_55_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_71_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67208_ _67208_/A VGND VGND VPWR VPWR _67364_/B sky130_fd_sc_hd__buf_1
+X_55222_ _55096_/B _55222_/B _55123_/A VGND VGND VPWR VPWR _55224_/A sky130_fd_sc_hd__nor3_2
+XFILLER_55_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52434_ _52431_/Y _52434_/B VGND VGND VPWR VPWR _52434_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_142_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_717_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68188_ _67705_/A _68188_/B _68187_/Y VGND VGND VPWR VPWR _68188_/Y sky130_fd_sc_hd__nor3_2
+X_80466_ _80518_/CLK _80466_/D VGND VGND VPWR VPWR _80466_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_194_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_33_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_187_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_459_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39107_ _38472_/A VGND VGND VPWR VPWR _39108_/A sky130_fd_sc_hd__buf_1
+XFILLER_339_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_279_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_120_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55153_ _55149_/X VGND VGND VPWR VPWR _55153_/Y sky130_fd_sc_hd__inv_8
+XFILLER_636_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67139_ _66634_/A _67139_/B _67138_/Y VGND VGND VPWR VPWR _67139_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_339_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52365_ _52363_/Y _52364_/X VGND VGND VPWR VPWR _77567_/D sky130_fd_sc_hd__nand2_2
+XFILLER_495_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_459_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_302_0_u_core.clock clkbuf_9_303_0_u_core.clock/A VGND VGND VPWR VPWR _81425_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_354_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80397_ _80370_/CLK _38353_/Y VGND VGND VPWR VPWR _69309_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_548_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_276_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_107_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_193_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_456_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54104_ _54100_/Y _54103_/X VGND VGND VPWR VPWR _77109_/D sky130_fd_sc_hd__nand2_2
+XFILLER_339_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_292_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51316_ _77843_/Q _51311_/X VGND VGND VPWR VPWR _51318_/A sky130_fd_sc_hd__nand2_2
+XFILLER_716_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39038_ _39045_/A _39038_/B _80224_/Q VGND VGND VPWR VPWR _39039_/B sky130_fd_sc_hd__nand3_2
+X_70150_ _70150_/A VGND VGND VPWR VPWR _70505_/A sky130_fd_sc_hd__buf_1
+XPHY_14139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_124_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_434_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59961_ _54826_/A _59811_/B VGND VGND VPWR VPWR _59962_/C sky130_fd_sc_hd__nor2_2
+X_55084_ _42186_/A _55084_/B VGND VGND VPWR VPWR _55085_/B sky130_fd_sc_hd__nor2_2
+XPHY_13405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52296_ _60947_/A _52281_/X VGND VGND VPWR VPWR _52296_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54035_ _60362_/A _54046_/B VGND VGND VPWR VPWR _54038_/A sky130_fd_sc_hd__nand2_2
+X_58912_ _60209_/A VGND VGND VPWR VPWR _58912_/X sky130_fd_sc_hd__buf_1
+XFILLER_292_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_471_0_u_core.clock clkbuf_9_471_0_u_core.clock/A VGND VGND VPWR VPWR _80863_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_4_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51247_ _51273_/A _51247_/B VGND VGND VPWR VPWR _51249_/A sky130_fd_sc_hd__or2_2
+XPHY_12704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70081_ _70081_/A _70080_/X VGND VGND VPWR VPWR _70081_/X sky130_fd_sc_hd__or2_2
+XPHY_13449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_292_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59892_ _59892_/A _59892_/B VGND VGND VPWR VPWR _59892_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_46_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_235_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41000_ _73625_/A _40881_/B VGND VGND VPWR VPWR _41000_/X sky130_fd_sc_hd__or2_2
+XFILLER_270_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_257_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81018_ _80923_/CLK _81018_/D VGND VGND VPWR VPWR _66266_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_417_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_469_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58843_ _56535_/A _58841_/Y _58843_/C VGND VGND VPWR VPWR _58844_/C sky130_fd_sc_hd__nor3_2
+XFILLER_669_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_657_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51178_ _51178_/A _51170_/X VGND VGND VPWR VPWR _51178_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_497_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_106_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_118_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_418_0_u_core.clock clkbuf_9_419_0_u_core.clock/A VGND VGND VPWR VPWR _80010_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_489_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_81_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_647_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_489_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_171_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50129_ _50152_/B VGND VGND VPWR VPWR _50148_/B sky130_fd_sc_hd__buf_1
+X_73840_ _73839_/X _73828_/X _65755_/A VGND VGND VPWR VPWR _73840_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_489_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_484_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_643_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_567_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_350_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58774_ _58774_/A _56355_/B VGND VGND VPWR VPWR _58774_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_510_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55986_ _44988_/Y _55986_/B VGND VGND VPWR VPWR _55988_/B sky130_fd_sc_hd__nor2_2
+XFILLER_170_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_484_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_608_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_682_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57725_ _57725_/A _57724_/Y VGND VGND VPWR VPWR _57726_/B sky130_fd_sc_hd__nor2_2
+XFILLER_346_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42951_ _42933_/A VGND VGND VPWR VPWR _42955_/B sky130_fd_sc_hd__buf_1
+XFILLER_409_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54937_ _60381_/A _54948_/B VGND VGND VPWR VPWR _54940_/A sky130_fd_sc_hd__nand2_2
+XFILLER_626_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73771_ _73748_/X VGND VGND VPWR VPWR _73771_/X sky130_fd_sc_hd__buf_1
+XFILLER_467_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70983_ _70982_/Y _70275_/Y VGND VGND VPWR VPWR _70983_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_209_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75510_ _75506_/X _75509_/Y VGND VGND VPWR VPWR _80670_/D sky130_fd_sc_hd__nand2_2
+XFILLER_480_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_249_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_264_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41902_ _41902_/A VGND VGND VPWR VPWR _46695_/A sky130_fd_sc_hd__buf_1
+XFILLER_166_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72722_ _72720_/X _72721_/Y VGND VGND VPWR VPWR _81356_/D sky130_fd_sc_hd__nand2_2
+XFILLER_425_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_465_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57656_ _56348_/A VGND VGND VPWR VPWR _57656_/X sky130_fd_sc_hd__buf_1
+X_45670_ _44965_/X _45669_/X VGND VGND VPWR VPWR _79109_/D sky130_fd_sc_hd__nor2_2
+XPHY_39125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76490_ VGND VGND VPWR VPWR _76490_/HI io_out[12] sky130_fd_sc_hd__conb_1
+XFILLER_385_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54868_ _54952_/A _54874_/B VGND VGND VPWR VPWR _54869_/B sky130_fd_sc_hd__or2_2
+X_42882_ _42904_/A VGND VGND VPWR VPWR _42888_/B sky130_fd_sc_hd__buf_1
+XFILLER_2_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_614_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_75_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_698_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_483_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_452_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_723_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_346_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56607_ _38848_/C _56772_/B VGND VGND VPWR VPWR _56607_/Y sky130_fd_sc_hd__nor2_2
+X_44621_ _44621_/A _44620_/Y VGND VGND VPWR VPWR _44622_/B sky130_fd_sc_hd__nor2_2
+XPHY_6676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75441_ _75453_/A VGND VGND VPWR VPWR _75517_/A sky130_fd_sc_hd__buf_1
+XFILLER_480_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41833_ _79076_/Q VGND VGND VPWR VPWR _41833_/Y sky130_fd_sc_hd__inv_8
+XPHY_38424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53819_ _53819_/A _53819_/B VGND VGND VPWR VPWR _53819_/X sky130_fd_sc_hd__or2_2
+XPHY_5942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_189_0_u_core.clock clkbuf_7_94_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_379_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_72653_ _72656_/A _72664_/B _66942_/A VGND VGND VPWR VPWR _72654_/B sky130_fd_sc_hd__nand3_2
+XFILLER_263_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_461_3076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57587_ _57587_/A _57430_/B VGND VGND VPWR VPWR _57588_/C sky130_fd_sc_hd__nor2_2
+XFILLER_90_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54799_ _54796_/Y _54798_/X VGND VGND VPWR VPWR _76922_/D sky130_fd_sc_hd__nand2_2
+XFILLER_440_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_16_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_659_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_95_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47340_ _47522_/A VGND VGND VPWR VPWR _49246_/A sky130_fd_sc_hd__buf_1
+X_59326_ _76910_/Q _59326_/B VGND VGND VPWR VPWR _59327_/C sky130_fd_sc_hd__nor2_2
+XFILLER_57_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71604_ _71471_/A _71604_/B _71603_/Y VGND VGND VPWR VPWR _71604_/X sky130_fd_sc_hd__or3_2
+XFILLER_166_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78160_ _78708_/CLK _50113_/Y VGND VGND VPWR VPWR _78160_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_426_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_362_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_148_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44552_ _44571_/A _44550_/Y _44552_/C VGND VGND VPWR VPWR _44553_/C sky130_fd_sc_hd__nor3_2
+XPHY_5986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56538_ _56538_/A _56538_/B VGND VGND VPWR VPWR _56539_/C sky130_fd_sc_hd__nor2_2
+XFILLER_281_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_72_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75372_ _75372_/A VGND VGND VPWR VPWR _75373_/B sky130_fd_sc_hd__buf_1
+XFILLER_461_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41764_ _41764_/A _41727_/B VGND VGND VPWR VPWR _41764_/X sky130_fd_sc_hd__or2_2
+XPHY_37734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72584_ _72584_/A _72584_/B _81391_/Q VGND VGND VPWR VPWR _72584_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_363_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_21_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_634_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_363_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_186_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_598_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_576_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77111_ _76876_/CLK _54094_/Y VGND VGND VPWR VPWR _59556_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43503_ _41963_/C _41949_/Y VGND VGND VPWR VPWR _43503_/X sky130_fd_sc_hd__or2_2
+XFILLER_246_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74323_ _74320_/A _74320_/B _74323_/C VGND VGND VPWR VPWR _74324_/B sky130_fd_sc_hd__nand3_2
+XPHY_37767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40715_ _40713_/X _40715_/B VGND VGND VPWR VPWR _79803_/D sky130_fd_sc_hd__nand2_2
+XFILLER_199_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59257_ _59767_/A _59257_/B _59256_/Y VGND VGND VPWR VPWR _59257_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_378_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_34_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47271_ _47269_/X _47270_/X _42286_/B VGND VGND VPWR VPWR _47271_/X sky130_fd_sc_hd__or3_2
+XFILLER_160_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71535_ _73181_/A VGND VGND VPWR VPWR _42937_/A sky130_fd_sc_hd__buf_1
+XFILLER_246_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78091_ _78092_/CLK _50377_/Y VGND VGND VPWR VPWR _78091_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_129_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44483_ _44482_/X VGND VGND VPWR VPWR _44483_/X sky130_fd_sc_hd__buf_1
+XPHY_27255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56469_ _56469_/A _56469_/B _56468_/Y VGND VGND VPWR VPWR _56477_/A sky130_fd_sc_hd__nor3_2
+XFILLER_242_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_377_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41695_ _41665_/A _41713_/C VGND VGND VPWR VPWR _41695_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_109_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49010_ _78445_/Q _48989_/B VGND VGND VPWR VPWR _49010_/Y sky130_fd_sc_hd__nand2_2
+XPHY_27277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_398_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_709_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46222_ _46313_/B VGND VGND VPWR VPWR _46350_/A sky130_fd_sc_hd__inv_8
+X_58208_ _80230_/Q _58137_/B VGND VGND VPWR VPWR _58210_/B sky130_fd_sc_hd__nor2_2
+XFILLER_344_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77042_ _77082_/CLK _77042_/D VGND VGND VPWR VPWR _77042_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_639_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43434_ _42952_/A _43433_/X VGND VGND VPWR VPWR _43436_/A sky130_fd_sc_hd__or2_2
+XPHY_16020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74254_ _74181_/A VGND VGND VPWR VPWR _74265_/B sky130_fd_sc_hd__buf_1
+X_40646_ _40636_/A _40646_/B _69391_/A VGND VGND VPWR VPWR _40646_/Y sky130_fd_sc_hd__nand3_2
+XPHY_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59188_ _63005_/A VGND VGND VPWR VPWR _63745_/B sky130_fd_sc_hd__buf_1
+XFILLER_379_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71466_ _71491_/A _71466_/B VGND VGND VPWR VPWR _71466_/X sky130_fd_sc_hd__and2_2
+XFILLER_125_1533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_693_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73205_ _75017_/A _73219_/B VGND VGND VPWR VPWR _73206_/B sky130_fd_sc_hd__or2_2
+XFILLER_242_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46153_ _43145_/A _43149_/A VGND VGND VPWR VPWR _46153_/X sky130_fd_sc_hd__or2_2
+XFILLER_142_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58139_ _68167_/A _58138_/X VGND VGND VPWR VPWR _58139_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_199_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_70417_ _70416_/Y _70168_/A _70404_/A _70408_/B VGND VGND VPWR VPWR _70417_/X sky130_fd_sc_hd__o22a_4
+XFILLER_717_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43365_ _43362_/X VGND VGND VPWR VPWR _43478_/B sky130_fd_sc_hd__buf_1
+XFILLER_357_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74185_ _74169_/A _74185_/B _81000_/Q VGND VGND VPWR VPWR _74185_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_294_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_298_2982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_562_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40577_ _40577_/A _40563_/B _57717_/A VGND VGND VPWR VPWR _40577_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_708_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71397_ _71661_/A _71313_/Y _71396_/X VGND VGND VPWR VPWR _71397_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_635_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_12_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45104_ _45025_/X _45104_/B VGND VGND VPWR VPWR _45111_/B sky130_fd_sc_hd__nor2_2
+XFILLER_670_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61150_ _60838_/A _61148_/Y _61150_/C VGND VGND VPWR VPWR _61154_/B sky130_fd_sc_hd__nor3_2
+XFILLER_400_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42316_ _42294_/B _42315_/X _79493_/Q _42293_/A VGND VGND VPWR VPWR _42316_/X sky130_fd_sc_hd__o22a_4
+XFILLER_129_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73136_ _73136_/A _73135_/X VGND VGND VPWR VPWR _73136_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_173_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_535_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46084_ _45048_/A _43024_/B VGND VGND VPWR VPWR _46195_/A sky130_fd_sc_hd__nor2_2
+X_70348_ _70324_/X VGND VGND VPWR VPWR _70349_/A sky130_fd_sc_hd__inv_8
+XFILLER_537_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43296_ _43281_/X _43286_/B _67437_/A VGND VGND VPWR VPWR _43297_/B sky130_fd_sc_hd__nand3_2
+XFILLER_553_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78993_ _79559_/CLK _78993_/D VGND VGND VPWR VPWR _46601_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_494_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60101_ _60101_/A _60101_/B _60101_/C VGND VGND VPWR VPWR _60102_/B sky130_fd_sc_hd__nor3_2
+XFILLER_126_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49912_ _49912_/A VGND VGND VPWR VPWR _49977_/B sky130_fd_sc_hd__buf_1
+XFILLER_416_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_5 io_in[18] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_144_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45035_ _44939_/X VGND VGND VPWR VPWR _45035_/X sky130_fd_sc_hd__buf_1
+XFILLER_589_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_613_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42247_ _45237_/A _42255_/B VGND VGND VPWR VPWR _42253_/B sky130_fd_sc_hd__nor2_2
+X_61081_ _60923_/A _61081_/B _61080_/Y VGND VGND VPWR VPWR _61081_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_550_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73067_ _73067_/A _73067_/B VGND VGND VPWR VPWR _73067_/Y sky130_fd_sc_hd__nand2_2
+X_77944_ _77950_/CLK _77944_/D VGND VGND VPWR VPWR _50924_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_6_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_103_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70279_ _70285_/A _69764_/X _70260_/C VGND VGND VPWR VPWR _70279_/Y sky130_fd_sc_hd__nor3_2
+XPHY_32052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_357_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_518_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_550_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_531_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_711_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60032_ _54013_/A _60519_/B VGND VGND VPWR VPWR _60036_/B sky130_fd_sc_hd__nor2_2
+XFILLER_370_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72018_ _45204_/A _71227_/A VGND VGND VPWR VPWR _72023_/A sky130_fd_sc_hd__nor2_2
+XPHY_13972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_292_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49843_ _49841_/Y _49842_/X VGND VGND VPWR VPWR _49843_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_119_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_10_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42178_ _42178_/A _42177_/Y VGND VGND VPWR VPWR _42178_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_214_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77875_ _77872_/CLK _51177_/Y VGND VGND VPWR VPWR _77875_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_171_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_180_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_531_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_687_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79614_ _79610_/CLK _79614_/D VGND VGND VPWR VPWR _57603_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_550_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_20_0_u_core.clock clkbuf_5_10_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_41_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_566_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41129_ _41126_/X _41128_/Y VGND VGND VPWR VPWR _79700_/D sky130_fd_sc_hd__nand2_2
+XFILLER_136_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64840_ _64840_/A VGND VGND VPWR VPWR _66518_/A sky130_fd_sc_hd__buf_1
+X_76826_ _76677_/CLK _76826_/D VGND VGND VPWR VPWR _76826_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_630_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_301_225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_141_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49774_ _49764_/X VGND VGND VPWR VPWR _49787_/B sky130_fd_sc_hd__buf_1
+XPHY_30650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46986_ _46969_/A _46986_/B VGND VGND VPWR VPWR _46986_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_681_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_190_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_351_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_692_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_269_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_67_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48725_ _48725_/A _48724_/X VGND VGND VPWR VPWR _48725_/Y sky130_fd_sc_hd__nand2_2
+XPHY_20160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79545_ _78988_/Q _79545_/D _41894_/X VGND VGND VPWR VPWR _44993_/A sky130_fd_sc_hd__dfstp_4
+XFILLER_80_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45937_ _41646_/A _45943_/B VGND VGND VPWR VPWR _45937_/Y sky130_fd_sc_hd__nor2_2
+XPHY_30694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64771_ _64771_/A _64771_/B VGND VGND VPWR VPWR _64771_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_136_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76757_ _78580_/CLK _76757_/D VGND VGND VPWR VPWR _45057_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_446_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61983_ _59266_/A VGND VGND VPWR VPWR _61983_/X sky130_fd_sc_hd__buf_1
+XFILLER_646_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73969_ _73969_/A _73973_/B VGND VGND VPWR VPWR _73969_/X sky130_fd_sc_hd__or2_2
+XFILLER_509_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_490_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_364_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_663_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66510_ _39689_/C _66350_/X VGND VGND VPWR VPWR _66512_/B sky130_fd_sc_hd__nor2_2
+XFILLER_62_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_660_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63722_ _59314_/A _63720_/Y _63722_/C VGND VGND VPWR VPWR _63722_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_706_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75708_ _75706_/A VGND VGND VPWR VPWR _75819_/B sky130_fd_sc_hd__buf_1
+XFILLER_446_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_94_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60934_ _77488_/Q _61246_/B VGND VGND VPWR VPWR _60934_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_288_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48656_ _48646_/X VGND VGND VPWR VPWR _48657_/B sky130_fd_sc_hd__buf_1
+XFILLER_722_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67490_ _57791_/A _67825_/B VGND VGND VPWR VPWR _67491_/C sky130_fd_sc_hd__nor2_2
+XFILLER_13_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79476_ _79472_/CLK _42571_/Y VGND VGND VPWR VPWR _69978_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_266_173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45868_ _45868_/A _45850_/B VGND VGND VPWR VPWR _45868_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_548_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_544_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76688_ _76689_/CLK _76688_/D VGND VGND VPWR VPWR _70749_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_97_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_681_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_349_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_23_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_187_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_286_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_705_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47607_ _78803_/Q _47607_/B VGND VGND VPWR VPWR _47607_/Y sky130_fd_sc_hd__nand2_2
+X_66441_ _64577_/X VGND VGND VPWR VPWR _66444_/A sky130_fd_sc_hd__buf_1
+X_78427_ _78428_/CLK _49083_/Y VGND VGND VPWR VPWR _63830_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_110_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44819_ _44676_/C _44813_/Y _44814_/X _44818_/X VGND VGND VPWR VPWR _44820_/B sky130_fd_sc_hd__o22a_4
+XFILLER_56_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63653_ _63190_/X _63653_/B _63653_/C VGND VGND VPWR VPWR _63669_/A sky130_fd_sc_hd__nor3_2
+XFILLER_149_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75639_ _75999_/A _75650_/B VGND VGND VPWR VPWR _75641_/A sky130_fd_sc_hd__or2_2
+X_60865_ _60865_/A _60865_/B _60865_/C VGND VGND VPWR VPWR _60887_/A sky130_fd_sc_hd__nor3_2
+X_48587_ _48587_/A _48587_/B VGND VGND VPWR VPWR _48587_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_165_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_384_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45799_ _45684_/X _45788_/Y _45799_/C VGND VGND VPWR VPWR _45799_/Y sky130_fd_sc_hd__nor3_2
+XPHY_39670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_147_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_267_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_143_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38340_ _38337_/X _38339_/Y VGND VGND VPWR VPWR _38340_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_409_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62604_ _62604_/A _62603_/Y VGND VGND VPWR VPWR _62605_/B sky130_fd_sc_hd__nor2_2
+XFILLER_208_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69160_ _69160_/A _69160_/B _69159_/Y VGND VGND VPWR VPWR _69160_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_470_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47538_ _47601_/A _53351_/A VGND VGND VPWR VPWR _47538_/X sky130_fd_sc_hd__or2_2
+XFILLER_384_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66372_ _66528_/A _66332_/Y _66372_/C VGND VGND VPWR VPWR _66373_/C sky130_fd_sc_hd__nor3_2
+XFILLER_364_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78358_ _78405_/CLK _49362_/Y VGND VGND VPWR VPWR _61963_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_503_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_286_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63584_ _48625_/A _59052_/B VGND VGND VPWR VPWR _63586_/B sky130_fd_sc_hd__nor2_2
+XFILLER_722_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_602_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60796_ _60650_/A _60796_/B _60795_/Y VGND VGND VPWR VPWR _60796_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_430_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68111_ _68111_/A _68268_/B VGND VGND VPWR VPWR _68113_/B sky130_fd_sc_hd__nor2_2
+XFILLER_262_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_182_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65323_ _80244_/Q _65501_/B VGND VGND VPWR VPWR _65325_/B sky130_fd_sc_hd__nor2_2
+XFILLER_307_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77309_ _76882_/CLK _77309_/D VGND VGND VPWR VPWR _77309_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38271_ _38271_/A _38271_/B VGND VGND VPWR VPWR _38271_/X sky130_fd_sc_hd__or2_2
+XFILLER_601_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62535_ _49837_/A _63001_/B VGND VGND VPWR VPWR _62538_/B sky130_fd_sc_hd__nor2_2
+XFILLER_323_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_718_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_499_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69091_ _80971_/Q _68787_/B VGND VGND VPWR VPWR _69093_/B sky130_fd_sc_hd__nor2_2
+XFILLER_698_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47469_ _47437_/A _47481_/B VGND VGND VPWR VPWR _47469_/X sky130_fd_sc_hd__or2_2
+X_78289_ _78281_/CLK _49630_/Y VGND VGND VPWR VPWR _62420_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_396_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_520_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49208_ _49087_/A _49208_/B VGND VGND VPWR VPWR _49209_/B sky130_fd_sc_hd__or2_2
+X_68042_ _67716_/A _68042_/B _68041_/Y VGND VGND VPWR VPWR _68042_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_594_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80320_ _80321_/CLK _80320_/D VGND VGND VPWR VPWR _80320_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_299_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65254_ _65589_/A _65247_/Y _65254_/C VGND VGND VPWR VPWR _65255_/C sky130_fd_sc_hd__nor3_2
+XFILLER_637_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50480_ _62057_/A _50486_/B VGND VGND VPWR VPWR _50480_/Y sky130_fd_sc_hd__nand2_2
+X_62466_ _62152_/X _62464_/Y _62466_/C VGND VGND VPWR VPWR _62467_/C sky130_fd_sc_hd__nor3_2
+XFILLER_301_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_104_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_223_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64205_ _64205_/A _64150_/X VGND VGND VPWR VPWR _64205_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_337_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_653_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61417_ _61101_/A _61406_/Y _61416_/Y VGND VGND VPWR VPWR _61417_/Y sky130_fd_sc_hd__nor3_2
+X_49139_ _49073_/A _54879_/A VGND VGND VPWR VPWR _49139_/X sky130_fd_sc_hd__or2_2
+X_80251_ _80332_/CLK _80251_/D VGND VGND VPWR VPWR _80251_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65185_ _65693_/A _65185_/B _65184_/Y VGND VGND VPWR VPWR _65195_/B sky130_fd_sc_hd__nor3_2
+XFILLER_102_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62397_ _78153_/Q _62397_/B VGND VGND VPWR VPWR _62400_/B sky130_fd_sc_hd__nor2_2
+XFILLER_699_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_590_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_175_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_536_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_254_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52150_ _52150_/A _52122_/B VGND VGND VPWR VPWR _52151_/A sky130_fd_sc_hd__or2_2
+XFILLER_160_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_715_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64136_ _75300_/C _69302_/B VGND VGND VPWR VPWR _64137_/C sky130_fd_sc_hd__nor2_2
+XFILLER_195_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61348_ _60719_/A _61348_/B _61347_/Y VGND VGND VPWR VPWR _61352_/B sky130_fd_sc_hd__nor3_2
+XFILLER_30_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80182_ _80195_/CLK _39219_/Y VGND VGND VPWR VPWR _65698_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_12_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_324_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_336_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69993_ _79456_/Q VGND VGND VPWR VPWR _70727_/A sky130_fd_sc_hd__inv_8
+XFILLER_670_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51101_ _77896_/Q _51086_/X VGND VGND VPWR VPWR _51101_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_455_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_364_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_3092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52081_ _77640_/Q _52067_/B VGND VGND VPWR VPWR _52081_/Y sky130_fd_sc_hd__nand2_2
+X_68944_ _64522_/A VGND VGND VPWR VPWR _69512_/A sky130_fd_sc_hd__buf_1
+X_64067_ _64066_/X VGND VGND VPWR VPWR _64294_/A sky130_fd_sc_hd__buf_1
+XFILLER_293_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61279_ _61259_/X _61279_/B _61278_/Y VGND VGND VPWR VPWR _61280_/B sky130_fd_sc_hd__nor3_2
+XFILLER_479_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_314_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_317_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51032_ _51055_/B VGND VGND VPWR VPWR _51054_/B sky130_fd_sc_hd__buf_1
+XFILLER_490_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63018_ _62237_/A VGND VGND VPWR VPWR _63023_/A sky130_fd_sc_hd__buf_1
+XFILLER_236_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_510_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68875_ _68547_/A _68875_/B _68874_/Y VGND VGND VPWR VPWR _68876_/C sky130_fd_sc_hd__nor3_2
+XFILLER_451_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_82_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_115_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_330_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55840_ _49243_/A _55843_/B VGND VGND VPWR VPWR _55840_/X sky130_fd_sc_hd__or2_2
+X_67826_ _67823_/X _67826_/B _67825_/Y VGND VGND VPWR VPWR _67827_/C sky130_fd_sc_hd__nor3_2
+XPHY_10609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_484_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_629_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_103_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_664_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_478_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_48_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_8_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39725_ _39725_/A _39725_/B VGND VGND VPWR VPWR _39725_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_320_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_28_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55771_ _53056_/A _55792_/B VGND VGND VPWR VPWR _55772_/B sky130_fd_sc_hd__or2_2
+XFILLER_131_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67757_ _67092_/X _67757_/B _67756_/Y VGND VGND VPWR VPWR _67757_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_63_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_24_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52983_ _52983_/A _52982_/X VGND VGND VPWR VPWR _52983_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_106_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64969_ _64969_/A _64804_/B VGND VGND VPWR VPWR _64969_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_312_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_287_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57510_ _57111_/A VGND VGND VPWR VPWR _57683_/A sky130_fd_sc_hd__buf_1
+XFILLER_312_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_446_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_660_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54722_ _54807_/A _54722_/B VGND VGND VPWR VPWR _54723_/B sky130_fd_sc_hd__or2_2
+XFILLER_273_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66708_ _67043_/A _66708_/B _66708_/C VGND VGND VPWR VPWR _66716_/B sky130_fd_sc_hd__nor3_2
+XFILLER_98_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51934_ _51934_/A _51934_/B VGND VGND VPWR VPWR _51934_/Y sky130_fd_sc_hd__nand2_2
+X_39656_ _39656_/A VGND VGND VPWR VPWR _39668_/B sky130_fd_sc_hd__buf_1
+X_58490_ _58699_/A _58490_/B _58489_/Y VGND VGND VPWR VPWR _58494_/B sky130_fd_sc_hd__nor3_2
+XFILLER_150_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_484_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_629_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67688_ _67688_/A _67687_/X VGND VGND VPWR VPWR _67688_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_566_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_385_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38607_ _72972_/A VGND VGND VPWR VPWR _39242_/A sky130_fd_sc_hd__buf_1
+X_57441_ _57288_/A _57441_/B _57440_/Y VGND VGND VPWR VPWR _57442_/B sky130_fd_sc_hd__nor3_2
+X_69427_ _75428_/C _69149_/B VGND VGND VPWR VPWR _69428_/C sky130_fd_sc_hd__nor2_2
+XFILLER_445_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_363_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54653_ _54653_/A _54652_/X VGND VGND VPWR VPWR _54653_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_261_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66639_ _57439_/A _66639_/B VGND VGND VPWR VPWR _66639_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_96_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51865_ _51853_/A VGND VGND VPWR VPWR _51882_/B sky130_fd_sc_hd__buf_1
+X_39587_ _39572_/X _39573_/X _80086_/Q VGND VGND VPWR VPWR _39588_/B sky130_fd_sc_hd__nand3_2
+XFILLER_367_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_201_0_u_core.clock clkbuf_7_100_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_403_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_265_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_183_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_479_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_406 _54252_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_74_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_261_0_u_core.clock clkbuf_9_260_0_u_core.clock/A VGND VGND VPWR VPWR _77251_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_4526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_417 _56244_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_505_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53604_ _53602_/Y _53604_/B VGND VGND VPWR VPWR _53604_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_183_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50816_ _54638_/A _50908_/B VGND VGND VPWR VPWR _50817_/A sky130_fd_sc_hd__or2_2
+XFILLER_265_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38538_ _74741_/A VGND VGND VPWR VPWR _38539_/A sky130_fd_sc_hd__buf_1
+XANTENNA_428 _59384_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_282_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57372_ _57055_/A _57372_/B _57372_/C VGND VGND VPWR VPWR _57372_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_404_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69358_ _58775_/A _64463_/B VGND VGND VPWR VPWR _69360_/B sky130_fd_sc_hd__nor2_2
+XPHY_3803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54584_ _54607_/B VGND VGND VPWR VPWR _54600_/B sky130_fd_sc_hd__buf_1
+XFILLER_306_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_525_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_439 _59029_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_387_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_595_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51796_ _51795_/X VGND VGND VPWR VPWR _51811_/B sky130_fd_sc_hd__buf_1
+XFILLER_408_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_247_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59111_ _62071_/A VGND VGND VPWR VPWR _59551_/A sky130_fd_sc_hd__buf_1
+XFILLER_14_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56323_ _57102_/A VGND VGND VPWR VPWR _56540_/B sky130_fd_sc_hd__buf_1
+X_68309_ _67822_/A _68309_/B _68308_/Y VGND VGND VPWR VPWR _68309_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_306_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_213_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_675_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53535_ _60221_/A _53542_/B VGND VGND VPWR VPWR _53537_/A sky130_fd_sc_hd__nand2_2
+XPHY_36329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_208_0_u_core.clock clkbuf_9_209_0_u_core.clock/A VGND VGND VPWR VPWR _76700_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_38469_ _38468_/X VGND VGND VPWR VPWR _38489_/B sky130_fd_sc_hd__buf_1
+X_50747_ _62184_/A _50732_/X VGND VGND VPWR VPWR _50749_/A sky130_fd_sc_hd__nand2_2
+X_69289_ _69289_/A _68687_/B VGND VGND VPWR VPWR _69291_/B sky130_fd_sc_hd__nor2_2
+XFILLER_401_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_440_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_658_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_298_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40500_ _40500_/A _40500_/B VGND VGND VPWR VPWR _79859_/D sky130_fd_sc_hd__nand2_2
+XFILLER_478_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59042_ _59042_/A VGND VGND VPWR VPWR _59677_/B sky130_fd_sc_hd__buf_1
+X_71320_ _71320_/A VGND VGND VPWR VPWR _71398_/A sky130_fd_sc_hd__buf_1
+XFILLER_90_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56254_ _56229_/A VGND VGND VPWR VPWR _56450_/A sky130_fd_sc_hd__buf_1
+X_80518_ _80518_/CLK _80518_/D VGND VGND VPWR VPWR _80518_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_25105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41480_ _41478_/X _41479_/Y VGND VGND VPWR VPWR _79608_/D sky130_fd_sc_hd__nand2_2
+X_53466_ _53478_/A VGND VGND VPWR VPWR _53476_/B sky130_fd_sc_hd__buf_1
+XFILLER_202_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_404_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_52_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50678_ _50649_/A _50677_/X VGND VGND VPWR VPWR _50679_/B sky130_fd_sc_hd__or2_2
+XFILLER_356_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81498_ _81499_/CLK _71746_/Y VGND VGND VPWR VPWR _57278_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_421_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_402_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_278_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_302_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_456_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_636_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55205_ _55205_/A _55204_/X VGND VGND VPWR VPWR _76830_/D sky130_fd_sc_hd__or2_2
+XFILLER_55_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52417_ _52330_/A _52420_/B VGND VGND VPWR VPWR _52417_/X sky130_fd_sc_hd__or2_2
+X_40431_ _39165_/A VGND VGND VPWR VPWR _40433_/A sky130_fd_sc_hd__buf_1
+Xclkbuf_9_377_0_u_core.clock clkbuf_9_377_0_u_core.clock/A VGND VGND VPWR VPWR _77190_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_491_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71251_ _70896_/X _71158_/B _71250_/X VGND VGND VPWR VPWR _71251_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_40_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_709_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80449_ _80414_/CLK _76398_/Y VGND VGND VPWR VPWR _80449_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_24415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56185_ _56179_/A _56185_/B VGND VGND VPWR VPWR _56186_/C sky130_fd_sc_hd__nor2_2
+XFILLER_544_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53397_ _53395_/Y _53396_/X VGND VGND VPWR VPWR _53397_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_278_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_591_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_104_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70202_ _70187_/X _70200_/Y VGND VGND VPWR VPWR _70202_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_11_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43150_ _45222_/A _46151_/B VGND VGND VPWR VPWR _43169_/A sky130_fd_sc_hd__or2_2
+X_55136_ _55161_/A _55136_/B _55136_/C VGND VGND VPWR VPWR _55137_/B sky130_fd_sc_hd__nor3_2
+XFILLER_656_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40362_ _40359_/A _40362_/B _64643_/A VGND VGND VPWR VPWR _40363_/B sky130_fd_sc_hd__nand3_2
+XFILLER_590_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52348_ _52311_/X _52363_/B VGND VGND VPWR VPWR _52349_/B sky130_fd_sc_hd__or2_2
+XFILLER_495_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71182_ _71163_/Y _71177_/X _69939_/X _71181_/Y VGND VGND VPWR VPWR _42528_/B sky130_fd_sc_hd__o22a_4
+XFILLER_139_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_491_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_396_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42101_ _42101_/A _42094_/B VGND VGND VPWR VPWR _42103_/A sky130_fd_sc_hd__nor2_2
+XFILLER_515_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70133_ _70133_/A _70133_/B VGND VGND VPWR VPWR _70134_/B sky130_fd_sc_hd__or2_2
+XPHY_23758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43081_ _43078_/X _43080_/X VGND VGND VPWR VPWR _43108_/A sky130_fd_sc_hd__nand2_2
+XFILLER_589_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59944_ _59630_/A _59944_/B _59943_/Y VGND VGND VPWR VPWR _59948_/B sky130_fd_sc_hd__nor3_2
+XFILLER_300_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55067_ _55063_/X _55067_/B VGND VGND VPWR VPWR _55068_/B sky130_fd_sc_hd__and2_2
+XPHY_13235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40293_ _40290_/A _40301_/B _67800_/A VGND VGND VPWR VPWR _40294_/B sky130_fd_sc_hd__nand3_2
+X_52279_ _52278_/X VGND VGND VPWR VPWR _52280_/B sky130_fd_sc_hd__buf_1
+X_75990_ _75993_/A _76002_/B _75990_/C VGND VGND VPWR VPWR _75991_/B sky130_fd_sc_hd__nand3_2
+XFILLER_512_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_237_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_194_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54018_ _53929_/X _54014_/X VGND VGND VPWR VPWR _54018_/X sky130_fd_sc_hd__or2_2
+XFILLER_374_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42032_ _44935_/A _42020_/B VGND VGND VPWR VPWR _42038_/B sky130_fd_sc_hd__nor2_2
+XPHY_13268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74941_ _73265_/A _74941_/B VGND VGND VPWR VPWR _74963_/A sky130_fd_sc_hd__or2_2
+XPHY_12534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70064_ _70037_/Y _70104_/A _70105_/A _70063_/X VGND VGND VPWR VPWR _70064_/X sky130_fd_sc_hd__o22a_4
+XPHY_13279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_631_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59875_ _54017_/A _59717_/B VGND VGND VPWR VPWR _59877_/B sky130_fd_sc_hd__nor2_2
+XPHY_11800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_253_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_159_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_268_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_250_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_317_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_682_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_122_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46840_ _69881_/A _46878_/B VGND VGND VPWR VPWR _46840_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58826_ _58826_/A _56312_/B VGND VGND VPWR VPWR _58827_/C sky130_fd_sc_hd__nor2_2
+X_77660_ _77660_/CLK _77660_/D VGND VGND VPWR VPWR _77660_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_123_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74872_ _74872_/A VGND VGND VPWR VPWR _74876_/B sky130_fd_sc_hd__buf_1
+XFILLER_7_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_270_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_430_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_647_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76611_ VGND VGND VPWR VPWR _76611_/HI la_data_out[107] sky130_fd_sc_hd__conb_1
+XFILLER_172_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_95_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73823_ _73823_/A _73823_/B VGND VGND VPWR VPWR _73823_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_153_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_137_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58757_ _58824_/A _58757_/B _58757_/C VGND VGND VPWR VPWR _58761_/B sky130_fd_sc_hd__nor3_2
+X_46771_ _46771_/A VGND VGND VPWR VPWR _46772_/C sky130_fd_sc_hd__buf_1
+XPHY_11888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77591_ _77379_/CLK _77591_/D VGND VGND VPWR VPWR _60746_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_313_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43983_ _43974_/Y _43710_/B _73106_/X VGND VGND VPWR VPWR _43983_/X sky130_fd_sc_hd__and3_2
+XPHY_7130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55969_ _55975_/A VGND VGND VPWR VPWR _56084_/A sky130_fd_sc_hd__inv_8
+XFILLER_150_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48510_ _48478_/X _48500_/X VGND VGND VPWR VPWR _48511_/B sky130_fd_sc_hd__or2_2
+XFILLER_526_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79330_ _78919_/CLK _79330_/D VGND VGND VPWR VPWR _71145_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_642_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45722_ _69957_/X VGND VGND VPWR VPWR _45724_/A sky130_fd_sc_hd__inv_8
+X_57708_ _79968_/Q _57708_/B VGND VGND VPWR VPWR _57710_/B sky130_fd_sc_hd__nor2_2
+X_76542_ VGND VGND VPWR VPWR _76542_/HI la_data_out[34] sky130_fd_sc_hd__conb_1
+XPHY_7163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_608_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42934_ _42934_/A _42948_/B VGND VGND VPWR VPWR _42934_/X sky130_fd_sc_hd__or2_2
+XFILLER_292_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49490_ _49485_/X VGND VGND VPWR VPWR _49519_/B sky130_fd_sc_hd__buf_1
+X_73754_ _73754_/A _73753_/Y VGND VGND VPWR VPWR _81102_/D sky130_fd_sc_hd__nand2_2
+XFILLER_682_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58688_ _80620_/Q _56447_/B VGND VGND VPWR VPWR _58690_/B sky130_fd_sc_hd__nor2_2
+XFILLER_311_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70966_ _71962_/A _70965_/X VGND VGND VPWR VPWR _71971_/A sky130_fd_sc_hd__and2_2
+XFILLER_79_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_706_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_263_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_426_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48441_ _48441_/A _48440_/X VGND VGND VPWR VPWR _78592_/D sky130_fd_sc_hd__nand2_2
+X_72705_ _72335_/A _72699_/B VGND VGND VPWR VPWR _72705_/X sky130_fd_sc_hd__or2_2
+XFILLER_268_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79261_ _79298_/CLK _79261_/D VGND VGND VPWR VPWR _44133_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45653_ _79110_/Q _45539_/X VGND VGND VPWR VPWR _45653_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57639_ _57639_/A _57873_/B VGND VGND VPWR VPWR _57639_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76473_ VGND VGND VPWR VPWR _76473_/HI io_oeb[33] sky130_fd_sc_hd__conb_1
+XFILLER_526_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42865_ _41995_/A VGND VGND VPWR VPWR _43219_/A sky130_fd_sc_hd__buf_1
+XFILLER_188_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73685_ _73683_/X _73685_/B VGND VGND VPWR VPWR _73685_/Y sky130_fd_sc_hd__nand2_2
+XPHY_38221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70897_ _70129_/X VGND VGND VPWR VPWR _70898_/A sky130_fd_sc_hd__inv_8
+XFILLER_92_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_614_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78212_ _78209_/CLK _49918_/Y VGND VGND VPWR VPWR _62854_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_428_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44604_ _44606_/A _44589_/B VGND VGND VPWR VPWR _44604_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75424_ _75453_/A VGND VGND VPWR VPWR _75577_/B sky130_fd_sc_hd__buf_1
+XFILLER_504_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_2_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_624_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41816_ _41812_/Y _41813_/X _41814_/Y _41815_/X VGND VGND VPWR VPWR _41817_/B sky130_fd_sc_hd__o22a_4
+X_60650_ _60650_/A _60646_/Y _60649_/Y VGND VGND VPWR VPWR _60650_/Y sky130_fd_sc_hd__nor3_2
+XPHY_38254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72636_ _72636_/A _72636_/B VGND VGND VPWR VPWR _81379_/D sky130_fd_sc_hd__nand2_2
+X_48372_ _48401_/A _48369_/B VGND VGND VPWR VPWR _48373_/B sky130_fd_sc_hd__or2_2
+XPHY_5772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79192_ _79193_/CLK _79192_/D VGND VGND VPWR VPWR _44788_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_248_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45584_ _45581_/A _45584_/B _45584_/C VGND VGND VPWR VPWR _79130_/D sky130_fd_sc_hd__nor3_2
+XPHY_38265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42796_ _42792_/Y _42796_/B _42795_/X _42777_/D VGND VGND VPWR VPWR _42797_/C sky130_fd_sc_hd__nor4_2
+XFILLER_205_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_508_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_701_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_363_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_324_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_543_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_127_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47323_ _63788_/A _47329_/B VGND VGND VPWR VPWR _47324_/B sky130_fd_sc_hd__nand2_2
+XFILLER_704_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59309_ _59640_/A _59307_/Y _59309_/C VGND VGND VPWR VPWR _59315_/B sky130_fd_sc_hd__nor3_2
+XPHY_37553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78143_ _78149_/CLK _78143_/D VGND VGND VPWR VPWR _78143_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_38298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44535_ _74755_/A _44535_/B VGND VGND VPWR VPWR _44536_/C sky130_fd_sc_hd__nor2_2
+XFILLER_324_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75355_ _75355_/A _75366_/B VGND VGND VPWR VPWR _75355_/X sky130_fd_sc_hd__or2_2
+XFILLER_166_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41747_ _45136_/A _41754_/B VGND VGND VPWR VPWR _41752_/A sky130_fd_sc_hd__nand2_2
+XPHY_37564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60581_ _60581_/A _60734_/B VGND VGND VPWR VPWR _60581_/Y sky130_fd_sc_hd__nor2_2
+X_72567_ _72810_/A _72570_/B VGND VGND VPWR VPWR _72569_/A sky130_fd_sc_hd__or2_2
+XFILLER_328_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_225_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_693_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62320_ _62169_/X _62318_/Y _62319_/Y VGND VGND VPWR VPWR _62320_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_204_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74306_ _74306_/A _74305_/Y VGND VGND VPWR VPWR _80968_/D sky130_fd_sc_hd__nand2_2
+XFILLER_400_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47254_ _42276_/A VGND VGND VPWR VPWR _47276_/B sky130_fd_sc_hd__buf_1
+XFILLER_220_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71518_ _71512_/X _71518_/B _71515_/Y _71517_/Y VGND VGND VPWR VPWR _71518_/X sky130_fd_sc_hd__or4_2
+XFILLER_527_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78074_ _78062_/CLK _78074_/D VGND VGND VPWR VPWR _50442_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44466_ _74981_/A _44639_/A _44456_/B _44465_/Y VGND VGND VPWR VPWR _44467_/C sky130_fd_sc_hd__o22a_4
+XFILLER_177_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_26340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75286_ _75278_/X _75280_/B _80723_/Q VGND VGND VPWR VPWR _75286_/Y sky130_fd_sc_hd__nand3_2
+XPHY_27085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_559_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41678_ _41917_/A _41677_/X VGND VGND VPWR VPWR _41678_/X sky130_fd_sc_hd__or2_2
+XPHY_36874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72498_ _72489_/X _72491_/X _72498_/C VGND VGND VPWR VPWR _72499_/B sky130_fd_sc_hd__nand3_2
+XFILLER_359_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_176_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_537_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46205_ _46085_/A _46205_/B VGND VGND VPWR VPWR _46205_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_70_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77025_ _77029_/CLK _54419_/Y VGND VGND VPWR VPWR _77025_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43417_ _43413_/A _43406_/B _67439_/A VGND VGND VPWR VPWR _43417_/Y sky130_fd_sc_hd__nand3_2
+X_74237_ _74237_/A _74237_/B VGND VGND VPWR VPWR _80986_/D sky130_fd_sc_hd__nand2_2
+X_62251_ _62251_/A _62251_/B _62250_/Y VGND VGND VPWR VPWR _62252_/C sky130_fd_sc_hd__nor3_2
+XFILLER_393_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40629_ _40576_/A VGND VGND VPWR VPWR _40636_/A sky130_fd_sc_hd__buf_1
+XFILLER_619_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71449_ _70452_/X VGND VGND VPWR VPWR _71491_/A sky130_fd_sc_hd__buf_1
+X_47185_ _47112_/B VGND VGND VPWR VPWR _47186_/B sky130_fd_sc_hd__inv_8
+XFILLER_207_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_591_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44397_ _44278_/A _44396_/Y VGND VGND VPWR VPWR _44397_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_305_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_188_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_26395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61202_ _59266_/A VGND VGND VPWR VPWR _61203_/B sky130_fd_sc_hd__buf_1
+XPHY_25672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_297_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46136_ _44949_/A _46712_/A VGND VGND VPWR VPWR _46136_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_591_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43348_ _43346_/X _43348_/B VGND VGND VPWR VPWR _43348_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_671_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_158_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62182_ _62182_/A _61711_/X VGND VGND VPWR VPWR _62183_/C sky130_fd_sc_hd__nor2_2
+X_74168_ _74168_/A VGND VGND VPWR VPWR _74169_/A sky130_fd_sc_hd__buf_1
+XFILLER_86_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_16_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61133_ _77697_/Q _60663_/B VGND VGND VPWR VPWR _61135_/B sky130_fd_sc_hd__nor2_2
+XFILLER_199_1497 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_712_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73119_ _74950_/A _73253_/B VGND VGND VPWR VPWR _73120_/B sky130_fd_sc_hd__or2_2
+XFILLER_670_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46067_ _42546_/A _46066_/Y VGND VGND VPWR VPWR _46068_/B sky130_fd_sc_hd__nor2_2
+XFILLER_515_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43279_ _43277_/X _43278_/Y VGND VGND VPWR VPWR _79392_/D sky130_fd_sc_hd__nand2_2
+XPHY_14470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74099_ _74099_/A _74099_/B VGND VGND VPWR VPWR _81018_/D sky130_fd_sc_hd__nand2_2
+X_66990_ _79934_/Q _66990_/B VGND VGND VPWR VPWR _66990_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_361_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_275_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78976_ _79100_/CLK _78976_/D _46692_/X VGND VGND VPWR VPWR _58859_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_689_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_173_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_47_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_687_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_550_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45018_ _44903_/A _45018_/B _45017_/Y VGND VGND VPWR VPWR _45018_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_126_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61064_ _61064_/A _61378_/B VGND VGND VPWR VPWR _61067_/B sky130_fd_sc_hd__nor2_2
+X_65941_ _66115_/A _65941_/B _65940_/Y VGND VGND VPWR VPWR _65941_/Y sky130_fd_sc_hd__nor3_2
+X_77927_ _77950_/CLK _77927_/D VGND VGND VPWR VPWR _61998_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_452_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_177_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_487_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_154_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_665_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60015_ _53659_/A _59540_/B VGND VGND VPWR VPWR _60015_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_299_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49826_ _49939_/A _49805_/A VGND VGND VPWR VPWR _49827_/B sky130_fd_sc_hd__or2_2
+XFILLER_433_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68660_ _67837_/A VGND VGND VPWR VPWR _68669_/A sky130_fd_sc_hd__buf_1
+XFILLER_649_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_550_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65872_ _44364_/A _65872_/B VGND VGND VPWR VPWR _65875_/A sky130_fd_sc_hd__nor2_2
+XFILLER_67_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77858_ _77840_/CLK _77858_/D VGND VGND VPWR VPWR _77858_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_609_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_151_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_115_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_171_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_589_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67611_ _67611_/A _68268_/B VGND VGND VPWR VPWR _67611_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_531_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_626_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_504_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64823_ _65339_/A _64823_/B _64822_/Y VGND VGND VPWR VPWR _64823_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_302_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_98_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76809_ _79174_/CLK _55449_/Y VGND VGND VPWR VPWR _71441_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_664_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49757_ _78254_/Q _49760_/B VGND VGND VPWR VPWR _49757_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_563_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68591_ _68758_/A _68591_/B _68591_/C VGND VGND VPWR VPWR _68591_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_312_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46969_ _46969_/A _46969_/B VGND VGND VPWR VPWR _78926_/D sky130_fd_sc_hd__nor2_2
+XFILLER_228_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77789_ _77295_/CLK _77789_/D VGND VGND VPWR VPWR _60551_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_23_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_190_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_488_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_351_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_661_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_626_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_449_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39510_ _39505_/A _39505_/B _69121_/A VGND VGND VPWR VPWR _39510_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_228_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_255_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48708_ _48708_/A _48723_/B VGND VGND VPWR VPWR _48708_/X sky130_fd_sc_hd__or2_2
+X_67542_ _66719_/A VGND VGND VPWR VPWR _67718_/B sky130_fd_sc_hd__buf_1
+XFILLER_626_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79528_ _79119_/CLK _42089_/Y VGND VGND VPWR VPWR _79528_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_112_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_509_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64754_ _74144_/C _64560_/B VGND VGND VPWR VPWR _64755_/C sky130_fd_sc_hd__nor2_2
+XFILLER_528_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49688_ _49688_/A _49680_/X VGND VGND VPWR VPWR _49691_/A sky130_fd_sc_hd__nand2_2
+XFILLER_80_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61966_ _61813_/A _61963_/Y _61966_/C VGND VGND VPWR VPWR _61966_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_605_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_509_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_247_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39441_ _39423_/A VGND VGND VPWR VPWR _39442_/A sky130_fd_sc_hd__buf_1
+XFILLER_7_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_444_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63705_ _63705_/A _63705_/B VGND VGND VPWR VPWR _63705_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_633_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60917_ _77752_/Q _61075_/B VGND VGND VPWR VPWR _60919_/B sky130_fd_sc_hd__nor2_2
+XFILLER_286_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48639_ _48727_/A _48627_/B VGND VGND VPWR VPWR _48639_/X sky130_fd_sc_hd__or2_2
+XFILLER_659_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67473_ _80001_/Q _67473_/B VGND VGND VPWR VPWR _67473_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_188_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79459_ _76842_/CLK _79459_/D VGND VGND VPWR VPWR _69998_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_607_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64685_ _80208_/Q _64410_/X VGND VGND VPWR VPWR _64685_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_443_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_404_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61897_ _61897_/A _61428_/B VGND VGND VPWR VPWR _61897_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_299_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69212_ _69212_/A _69212_/B _69212_/C VGND VGND VPWR VPWR _69278_/B sky130_fd_sc_hd__nor3_2
+XFILLER_269_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_208_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66424_ _81051_/Q _66423_/X VGND VGND VPWR VPWR _66424_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_349_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39372_ _39365_/X _39262_/B _39372_/C VGND VGND VPWR VPWR _39373_/B sky130_fd_sc_hd__nand3_2
+XFILLER_427_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51650_ _51557_/X _51668_/B VGND VGND VPWR VPWR _51651_/B sky130_fd_sc_hd__or2_2
+XFILLER_58_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63636_ _63636_/A _63636_/B _63635_/Y VGND VGND VPWR VPWR _63636_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_381_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_93_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60848_ _60058_/A VGND VGND VPWR VPWR _60855_/A sky130_fd_sc_hd__buf_1
+XFILLER_678_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_270_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_369_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_149_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_694_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_242_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_624_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_423_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_169_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38323_ _38323_/A _38322_/X _65415_/A VGND VGND VPWR VPWR _38324_/B sky130_fd_sc_hd__nand3_2
+XFILLER_405_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50601_ _62032_/A _50607_/B VGND VGND VPWR VPWR _50601_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_479_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81421_ _81421_/CLK _81421_/D VGND VGND VPWR VPWR _69340_/A sky130_fd_sc_hd__dfxtp_4
+X_69143_ _44327_/Y _68512_/X VGND VGND VPWR VPWR _69147_/A sky130_fd_sc_hd__nor2_2
+XFILLER_39_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66355_ _66355_/A VGND VGND VPWR VPWR _67009_/B sky130_fd_sc_hd__buf_1
+XFILLER_362_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51581_ _51578_/Y _51580_/X VGND VGND VPWR VPWR _51581_/Y sky130_fd_sc_hd__nand2_2
+XPHY_2409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63567_ _63567_/A _63263_/B VGND VGND VPWR VPWR _63567_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_184_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60779_ _60317_/A _60779_/B _60778_/Y VGND VGND VPWR VPWR _60779_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_74_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_51_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_23_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_444_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_108_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_479_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_596_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53320_ _77314_/Q _53331_/B VGND VGND VPWR VPWR _53320_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_221_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65306_ _65306_/A _65129_/B VGND VGND VPWR VPWR _65306_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_210_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_71_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50532_ _50414_/X _50541_/B VGND VGND VPWR VPWR _50533_/B sky130_fd_sc_hd__or2_2
+X_38254_ _38252_/X _38253_/Y VGND VGND VPWR VPWR _80424_/D sky130_fd_sc_hd__nand2_2
+XFILLER_303_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62518_ _50442_/A _61892_/X VGND VGND VPWR VPWR _62518_/Y sky130_fd_sc_hd__nor2_2
+X_81352_ _81352_/CLK _81352_/D VGND VGND VPWR VPWR _68588_/A sky130_fd_sc_hd__dfxtp_4
+X_69074_ _69074_/A _64444_/X VGND VGND VPWR VPWR _69074_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_225_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66286_ _68989_/A VGND VGND VPWR VPWR _66287_/B sky130_fd_sc_hd__buf_1
+XFILLER_475_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63498_ _62877_/A _63490_/Y _63497_/Y VGND VGND VPWR VPWR _63498_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_299_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_715_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_75_0_u_core.clock clkbuf_7_75_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_75_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_479_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_301_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_577_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80303_ _80304_/CLK _80303_/D VGND VGND VPWR VPWR _38738_/C sky130_fd_sc_hd__dfxtp_4
+X_68025_ _68025_/A _67857_/B VGND VGND VPWR VPWR _68025_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_295_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53251_ _77332_/Q _53258_/B VGND VGND VPWR VPWR _53251_/Y sky130_fd_sc_hd__nand2_2
+X_65237_ _65237_/A _65732_/B VGND VGND VPWR VPWR _65237_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_30_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_143_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50463_ _62838_/A _50462_/X VGND VGND VPWR VPWR _50466_/A sky130_fd_sc_hd__nand2_2
+XFILLER_323_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62449_ _62427_/Y _62448_/Y VGND VGND VPWR VPWR _62450_/B sky130_fd_sc_hd__nor2_2
+X_81283_ _81255_/CLK _81283_/D VGND VGND VPWR VPWR _67759_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_573_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_573_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52202_ _52200_/Y _52202_/B VGND VGND VPWR VPWR _77611_/D sky130_fd_sc_hd__nand2_2
+XFILLER_365_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80234_ _80226_/CLK _80234_/D VGND VGND VPWR VPWR _80234_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_555_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53182_ _53182_/A VGND VGND VPWR VPWR _54986_/A sky130_fd_sc_hd__buf_1
+XFILLER_526_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65168_ _65339_/A _65168_/B _65167_/Y VGND VGND VPWR VPWR _65177_/B sky130_fd_sc_hd__nor3_2
+XFILLER_633_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50394_ _78085_/Q _50372_/X VGND VGND VPWR VPWR _50394_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_293_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_238_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_699_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_618_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_108_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52133_ _52048_/A _52142_/B VGND VGND VPWR VPWR _52134_/B sky130_fd_sc_hd__or2_2
+X_64119_ _64119_/A VGND VGND VPWR VPWR _66873_/A sky130_fd_sc_hd__buf_1
+XPHY_22309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_453_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80165_ _80163_/CLK _80165_/D VGND VGND VPWR VPWR _39292_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_536_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_353_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57990_ _75752_/C _57911_/B VGND VGND VPWR VPWR _57992_/B sky130_fd_sc_hd__nor2_2
+XFILLER_473_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65099_ _65943_/A VGND VGND VPWR VPWR _65453_/B sky130_fd_sc_hd__buf_1
+XFILLER_371_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_306_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69976_ _55127_/A VGND VGND VPWR VPWR _69976_/Y sky130_fd_sc_hd__inv_8
+XFILLER_30_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_69_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_163_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_293_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_47_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56941_ _57340_/A VGND VGND VPWR VPWR _57012_/B sky130_fd_sc_hd__buf_1
+X_52064_ _52064_/A _52063_/X VGND VGND VPWR VPWR _77645_/D sky130_fd_sc_hd__nand2_2
+XFILLER_513_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68927_ _69212_/A _68927_/B _68927_/C VGND VGND VPWR VPWR _69000_/B sky130_fd_sc_hd__nor3_2
+XPHY_21619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_306_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80096_ _80094_/CLK _39551_/Y VGND VGND VPWR VPWR _67333_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_85_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_505_0_u_core.clock clkbuf_9_505_0_u_core.clock/A VGND VGND VPWR VPWR _80436_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_134_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_100_0_u_core.clock clkbuf_6_50_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_100_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_144_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_160_0_u_core.clock clkbuf_7_80_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_321_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_51015_ _51015_/A _51019_/B VGND VGND VPWR VPWR _51015_/X sky130_fd_sc_hd__or2_2
+XFILLER_481_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59660_ _58992_/X _59658_/Y _59660_/C VGND VGND VPWR VPWR _59664_/B sky130_fd_sc_hd__nor3_2
+XPHY_20918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56872_ _56739_/X _56850_/Y _56872_/C VGND VGND VPWR VPWR _56872_/X sky130_fd_sc_hd__or3_2
+XFILLER_119_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68858_ _80746_/Q _68858_/B VGND VGND VPWR VPWR _68858_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_479_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_416_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_277_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_683_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_388_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58611_ _58603_/Y _58611_/B VGND VGND VPWR VPWR _58612_/B sky130_fd_sc_hd__nor2_2
+XFILLER_432_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67809_ _66163_/A VGND VGND VPWR VPWR _67809_/X sky130_fd_sc_hd__buf_1
+X_55823_ _49226_/A _55739_/B VGND VGND VPWR VPWR _55826_/A sky130_fd_sc_hd__or2_2
+XFILLER_115_1510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_236_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_609_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_432_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_132_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59591_ _77343_/Q _59590_/X VGND VGND VPWR VPWR _59591_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_232_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_629_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_107_0_u_core.clock clkbuf_7_53_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_215_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_486_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68789_ _68454_/A _68789_/B _68789_/C VGND VGND VPWR VPWR _68790_/C sky130_fd_sc_hd__nor3_2
+XFILLER_726_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_627_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_482_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_167_0_u_core.clock clkbuf_8_83_0_u_core.clock/X VGND VGND VPWR VPWR _76815_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_683_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_98_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_312_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39708_ _39708_/A _39708_/B VGND VGND VPWR VPWR _39708_/Y sky130_fd_sc_hd__nand2_2
+X_58542_ _58294_/A _58542_/B _58541_/Y VGND VGND VPWR VPWR _58543_/C sky130_fd_sc_hd__nor3_2
+XFILLER_41_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70820_ _69695_/C _69904_/A _69890_/X _70819_/X VGND VGND VPWR VPWR _70820_/X sky130_fd_sc_hd__o22a_4
+XFILLER_150_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55754_ _55754_/A _55754_/B VGND VGND VPWR VPWR _55754_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_232_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_100_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40980_ _40977_/X _40979_/Y VGND VGND VPWR VPWR _79733_/D sky130_fd_sc_hd__nand2_2
+XFILLER_447_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52966_ _52994_/A _52966_/B VGND VGND VPWR VPWR _52966_/X sky130_fd_sc_hd__or2_2
+XFILLER_6_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_55_0_u_core.clock clkbuf_7_27_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_55_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_24_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_642_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80998_ _81004_/CLK _74194_/Y VGND VGND VPWR VPWR _80998_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_484_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_312_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_664_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_660_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_210_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54705_ _54703_/A VGND VGND VPWR VPWR _54727_/B sky130_fd_sc_hd__buf_1
+XFILLER_267_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51917_ _51919_/A VGND VGND VPWR VPWR _51918_/B sky130_fd_sc_hd__buf_1
+XFILLER_382_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_622_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58473_ _58473_/A _58473_/B _58473_/C VGND VGND VPWR VPWR _58478_/B sky130_fd_sc_hd__nor3_2
+X_39639_ _39639_/A _39639_/B VGND VGND VPWR VPWR _39639_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70751_ _70757_/A _69761_/X _69954_/A VGND VGND VPWR VPWR _70751_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_642_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55685_ _55458_/X _55703_/B VGND VGND VPWR VPWR _55685_/X sky130_fd_sc_hd__or2_2
+XFILLER_245_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_505_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_484_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52897_ _52895_/Y _52896_/X VGND VGND VPWR VPWR _52897_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_73_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_623_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_720_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_328_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_233_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_203 _76343_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_435_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57424_ _72920_/C _57184_/B VGND VGND VPWR VPWR _57426_/B sky130_fd_sc_hd__nor2_2
+XFILLER_434_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_148_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_720_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42650_ _55177_/A _42650_/B VGND VGND VPWR VPWR _42661_/B sky130_fd_sc_hd__nor2_2
+X_54636_ _54636_/A _54635_/X VGND VGND VPWR VPWR _54636_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_677_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_214 _75585_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_73470_ _75028_/A _73467_/B VGND VGND VPWR VPWR _73470_/X sky130_fd_sc_hd__or2_2
+XFILLER_363_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51848_ _51848_/A _51847_/X VGND VGND VPWR VPWR _51848_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_382_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70682_ _70632_/C _70682_/B VGND VGND VPWR VPWR _70684_/A sky130_fd_sc_hd__nor2_2
+XANTENNA_225 _74921_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_562_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_434_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_165_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_236 _76187_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_388_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_183_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_247 _38539_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_540_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41601_ _41111_/X _41615_/B VGND VGND VPWR VPWR _41604_/A sky130_fd_sc_hd__or2_2
+XFILLER_183_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_204_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72421_ _72663_/A _72428_/B VGND VGND VPWR VPWR _72423_/A sky130_fd_sc_hd__or2_2
+XFILLER_324_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57355_ _57280_/A _57353_/Y _57355_/C VGND VGND VPWR VPWR _57356_/C sky130_fd_sc_hd__nor3_2
+XANTENNA_258 _40288_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42581_ _42581_/A _42581_/B _42581_/C _42581_/D VGND VGND VPWR VPWR _42581_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_521_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54567_ _54652_/A _54573_/B VGND VGND VPWR VPWR _54567_/X sky130_fd_sc_hd__or2_2
+XFILLER_360_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_638_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_269 _40593_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51779_ _51779_/A _51778_/X VGND VGND VPWR VPWR _77722_/D sky130_fd_sc_hd__nand2_2
+XFILLER_387_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_26_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_360_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_521_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_677_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44320_ _44393_/A VGND VGND VPWR VPWR _44321_/A sky130_fd_sc_hd__buf_1
+XPHY_2921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56306_ _57014_/A VGND VGND VPWR VPWR _56535_/A sky130_fd_sc_hd__buf_1
+XPHY_3666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75140_ _75380_/A _75137_/B VGND VGND VPWR VPWR _75140_/X sky130_fd_sc_hd__or2_2
+XFILLER_321_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53518_ _53546_/A _53521_/B VGND VGND VPWR VPWR _53518_/X sky130_fd_sc_hd__or2_2
+XFILLER_430_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41532_ _41022_/X _41527_/B VGND VGND VPWR VPWR _41534_/A sky130_fd_sc_hd__or2_2
+XFILLER_35_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72352_ _72348_/X _72351_/Y VGND VGND VPWR VPWR _81454_/D sky130_fd_sc_hd__nand2_2
+XPHY_3677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57286_ _66323_/A _57118_/B VGND VGND VPWR VPWR _57286_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_399_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54498_ _59967_/A _54505_/B VGND VGND VPWR VPWR _54498_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_158_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_243_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71303_ _70260_/A _71109_/B VGND VGND VPWR VPWR _71308_/B sky130_fd_sc_hd__nor2_2
+XFILLER_439_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59025_ _58971_/A VGND VGND VPWR VPWR _59668_/A sky130_fd_sc_hd__buf_1
+XFILLER_204_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44251_ _44251_/A _44250_/X VGND VGND VPWR VPWR _44251_/Y sky130_fd_sc_hd__nor2_2
+XPHY_2976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56237_ _58824_/A _56232_/Y _56236_/Y VGND VGND VPWR VPWR _56238_/C sky130_fd_sc_hd__nor3_2
+XFILLER_656_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_75071_ _75091_/A VGND VGND VPWR VPWR _75088_/A sky130_fd_sc_hd__buf_1
+XFILLER_475_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41463_ _41407_/A VGND VGND VPWR VPWR _41478_/B sky130_fd_sc_hd__buf_1
+XFILLER_636_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53449_ _53449_/A _53448_/X VGND VGND VPWR VPWR _53449_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_400_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72283_ _74774_/A VGND VGND VPWR VPWR _72673_/A sky130_fd_sc_hd__buf_1
+XPHY_2987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43202_ _43187_/Y _43185_/A _43189_/Y _43201_/Y VGND VGND VPWR VPWR _43202_/X sky130_fd_sc_hd__o22a_4
+XFILLER_706_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_328_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74022_ _74022_/A _74021_/Y VGND VGND VPWR VPWR _74022_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40414_ _40414_/A _40423_/B VGND VGND VPWR VPWR _40414_/X sky130_fd_sc_hd__or2_2
+XFILLER_295_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71234_ _71234_/A _71355_/B VGND VGND VPWR VPWR _71234_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_35_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44182_ _44182_/A _44181_/X VGND VGND VPWR VPWR _44184_/B sky130_fd_sc_hd__nor2_2
+X_56168_ _56152_/A _56163_/Y _56168_/C VGND VGND VPWR VPWR wbs_dat_o[27] sky130_fd_sc_hd__nor3_2
+XFILLER_155_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41394_ _42883_/A _41394_/B VGND VGND VPWR VPWR _41399_/A sky130_fd_sc_hd__or2_2
+XFILLER_328_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_298_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_315_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43133_ _43133_/A _78954_/Q VGND VGND VPWR VPWR _43133_/X sky130_fd_sc_hd__or2_2
+X_55119_ _41669_/B _55158_/B VGND VGND VPWR VPWR _55124_/A sky130_fd_sc_hd__and2_2
+XPHY_13010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78830_ _78400_/CLK _78830_/D VGND VGND VPWR VPWR _78830_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_721_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40345_ _40325_/A VGND VGND VPWR VPWR _40352_/B sky130_fd_sc_hd__buf_1
+XFILLER_100_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71165_ _72029_/B VGND VGND VPWR VPWR _71554_/B sky130_fd_sc_hd__buf_1
+XFILLER_159_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48990_ _48987_/Y _48989_/X VGND VGND VPWR VPWR _48990_/Y sky130_fd_sc_hd__nand2_2
+Xclkbuf_9_35_0_u_core.clock clkbuf_8_17_0_u_core.clock/X VGND VGND VPWR VPWR _79497_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_22810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56099_ _56111_/A _55453_/B VGND VGND VPWR VPWR _56104_/B sky130_fd_sc_hd__nor2_2
+XPHY_23555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_308_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_100_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_512_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70116_ _70101_/Y _70116_/B VGND VGND VPWR VPWR _71093_/A sky130_fd_sc_hd__nor2_2
+XPHY_22843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47941_ _47941_/A _47935_/B VGND VGND VPWR VPWR _47943_/A sky130_fd_sc_hd__nand2_2
+XPHY_23588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43064_ _79543_/Q VGND VGND VPWR VPWR _43064_/Y sky130_fd_sc_hd__inv_8
+X_59927_ _59607_/A _59923_/Y _59926_/Y VGND VGND VPWR VPWR _59927_/Y sky130_fd_sc_hd__nor3_2
+XPHY_12320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_354_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78761_ _78756_/CLK _47774_/Y VGND VGND VPWR VPWR _78761_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_13065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40276_ _40274_/X _40276_/B VGND VGND VPWR VPWR _40276_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_515_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75973_ _75969_/X _75973_/B VGND VGND VPWR VPWR _75973_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71096_ _70121_/X _71094_/X VGND VGND VPWR VPWR _71097_/C sky130_fd_sc_hd__nor2_2
+XFILLER_560_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_720_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_65_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_194_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42015_ _79092_/Q VGND VGND VPWR VPWR _42015_/Y sky130_fd_sc_hd__inv_8
+XPHY_12353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77712_ _77203_/CLK _51813_/Y VGND VGND VPWR VPWR _77712_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_211_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74924_ _75406_/A _74910_/B VGND VGND VPWR VPWR _74926_/A sky130_fd_sc_hd__or2_2
+X_70047_ _69745_/X _70725_/C VGND VGND VPWR VPWR _70047_/X sky130_fd_sc_hd__or2_2
+XFILLER_501_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59858_ _77209_/Q _59374_/B VGND VGND VPWR VPWR _59858_/Y sky130_fd_sc_hd__nor2_2
+X_47872_ _47839_/A _47869_/B VGND VGND VPWR VPWR _47873_/B sky130_fd_sc_hd__or2_2
+XPHY_22898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78692_ _78699_/CLK _78692_/D VGND VGND VPWR VPWR _48045_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_11630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_532_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_268_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_1_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49611_ _49641_/A _49585_/X VGND VGND VPWR VPWR _49611_/X sky130_fd_sc_hd__or2_2
+XFILLER_567_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46823_ _46175_/B _46822_/Y VGND VGND VPWR VPWR _46823_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_646_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58809_ _38745_/C _58809_/B VGND VGND VPWR VPWR _58810_/C sky130_fd_sc_hd__nor2_2
+XFILLER_467_3060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77643_ _77660_/CLK _77643_/D VGND VGND VPWR VPWR _52071_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_190_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74855_ _74711_/A _74866_/B VGND VGND VPWR VPWR _74855_/X sky130_fd_sc_hd__or2_2
+XFILLER_313_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59789_ _59630_/A _59789_/B _59788_/Y VGND VGND VPWR VPWR _59789_/Y sky130_fd_sc_hd__nor3_2
+XPHY_10940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_484_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_215_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_231_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49542_ _78313_/Q _49530_/X VGND VGND VPWR VPWR _49542_/Y sky130_fd_sc_hd__nand2_2
+X_61820_ _61665_/A _61820_/B _61820_/C VGND VGND VPWR VPWR _61820_/Y sky130_fd_sc_hd__nor3_2
+X_73806_ _73804_/X _73806_/B VGND VGND VPWR VPWR _73806_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_647_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46754_ _46754_/A _46721_/Y VGND VGND VPWR VPWR _46755_/C sky130_fd_sc_hd__nor2_2
+XPHY_10973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77574_ _78545_/CLK _52339_/Y VGND VGND VPWR VPWR _60645_/A sky130_fd_sc_hd__dfxtp_4
+X_43966_ _43959_/A _43960_/Y _43966_/C VGND VGND VPWR VPWR _79275_/D sky130_fd_sc_hd__nor3_2
+X_74786_ _74786_/A _74786_/B VGND VGND VPWR VPWR _74786_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_584_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_324_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71998_ _72007_/A VGND VGND VPWR VPWR _71999_/B sky130_fd_sc_hd__inv_8
+XFILLER_92_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_361_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79313_ _78919_/CLK _43627_/Y VGND VGND VPWR VPWR _79313_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45705_ _45674_/X _45705_/B VGND VGND VPWR VPWR _45706_/B sky130_fd_sc_hd__nor2_2
+XFILLER_643_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76525_ VGND VGND VPWR VPWR _76525_/HI la_data_out[17] sky130_fd_sc_hd__conb_1
+XFILLER_615_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_76_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42917_ _42920_/A _42920_/B _68285_/A VGND VGND VPWR VPWR _42918_/B sky130_fd_sc_hd__nand3_2
+XFILLER_185_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61751_ _61751_/A _62218_/B VGND VGND VPWR VPWR _61751_/Y sky130_fd_sc_hd__nor2_2
+X_73737_ _73979_/A _73744_/B VGND VGND VPWR VPWR _73739_/A sky130_fd_sc_hd__or2_2
+X_49473_ _62279_/A _49458_/X VGND VGND VPWR VPWR _49475_/A sky130_fd_sc_hd__nand2_2
+XFILLER_231_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70949_ _70945_/Y _70948_/Y VGND VGND VPWR VPWR _70950_/B sky130_fd_sc_hd__nor2_2
+X_46685_ _46685_/A VGND VGND VPWR VPWR _46686_/A sky130_fd_sc_hd__buf_1
+XFILLER_237_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_621_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43897_ _43897_/A _43897_/B _43897_/C VGND VGND VPWR VPWR _43897_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_381_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60702_ _77782_/Q _60385_/B VGND VGND VPWR VPWR _60702_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48424_ _48423_/X VGND VGND VPWR VPWR _48425_/B sky130_fd_sc_hd__buf_1
+XFILLER_398_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79244_ _79285_/CLK _44278_/Y VGND VGND VPWR VPWR _79244_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_57_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45636_ _45642_/A _45636_/B _45635_/Y VGND VGND VPWR VPWR _79116_/D sky130_fd_sc_hd__nor3_2
+XFILLER_146_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64470_ _64470_/A VGND VGND VPWR VPWR _66231_/A sky130_fd_sc_hd__buf_1
+X_76456_ VGND VGND VPWR VPWR _76456_/HI io_oeb[16] sky130_fd_sc_hd__conb_1
+XFILLER_291_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42848_ _41980_/A VGND VGND VPWR VPWR _42848_/X sky130_fd_sc_hd__buf_1
+X_61682_ _61215_/A _61680_/Y _61681_/Y VGND VGND VPWR VPWR _61682_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_17_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_91_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73668_ _73668_/A _73662_/B VGND VGND VPWR VPWR _73668_/X sky130_fd_sc_hd__or2_2
+XPHY_38051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_248_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_381_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63421_ _63421_/A _63263_/B VGND VGND VPWR VPWR _63423_/B sky130_fd_sc_hd__nor2_2
+XFILLER_226_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75407_ _75394_/A _75401_/B _75407_/C VGND VGND VPWR VPWR _75407_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_166_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_18_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48355_ _48355_/A _48340_/B VGND VGND VPWR VPWR _48357_/A sky130_fd_sc_hd__nand2_2
+X_60633_ _77542_/Q _59980_/B VGND VGND VPWR VPWR _60634_/C sky130_fd_sc_hd__nor2_2
+XFILLER_586_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72619_ _72200_/A _72608_/B VGND VGND VPWR VPWR _72619_/X sky130_fd_sc_hd__or2_2
+XPHY_19982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79175_ _79175_/CLK _44903_/Y VGND VGND VPWR VPWR _44660_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_92_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_233_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45567_ _45567_/A _45559_/X VGND VGND VPWR VPWR _45569_/B sky130_fd_sc_hd__nor2_2
+XPHY_38095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76387_ _76384_/X _76386_/Y VGND VGND VPWR VPWR _80452_/D sky130_fd_sc_hd__nand2_2
+XFILLER_304_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_32_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42779_ _42724_/A VGND VGND VPWR VPWR _42788_/A sky130_fd_sc_hd__buf_1
+X_73599_ _73962_/A _73609_/B VGND VGND VPWR VPWR _73601_/A sky130_fd_sc_hd__or2_2
+XFILLER_441_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_33_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_14_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_105_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47306_ _47495_/A VGND VGND VPWR VPWR _49230_/A sky130_fd_sc_hd__buf_1
+X_66140_ _66140_/A _65970_/B VGND VGND VPWR VPWR _66140_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_593_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78126_ _78173_/CLK _50235_/Y VGND VGND VPWR VPWR _78126_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_406_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44518_ _75007_/A _44395_/B VGND VGND VPWR VPWR _44519_/C sky130_fd_sc_hd__nor2_2
+X_75338_ _75356_/A VGND VGND VPWR VPWR _75352_/A sky130_fd_sc_hd__buf_1
+X_63352_ _61009_/A VGND VGND VPWR VPWR _63906_/A sky130_fd_sc_hd__buf_1
+XFILLER_75_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_207_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_232_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60564_ _60564_/A _60406_/B VGND VGND VPWR VPWR _60567_/B sky130_fd_sc_hd__nor2_2
+XFILLER_324_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48286_ _49071_/A VGND VGND VPWR VPWR _48287_/A sky130_fd_sc_hd__buf_1
+XFILLER_261_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45498_ _69659_/A _45521_/B VGND VGND VPWR VPWR _45503_/B sky130_fd_sc_hd__nor2_2
+XPHY_36660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_53_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_349_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62303_ _62303_/A _61997_/X VGND VGND VPWR VPWR _62303_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_109_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_359_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_338_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47237_ _42190_/X _42826_/X _46226_/X VGND VGND VPWR VPWR _47238_/C sky130_fd_sc_hd__nand3_2
+X_66071_ _66716_/A _66071_/B _66070_/Y VGND VGND VPWR VPWR _66091_/A sky130_fd_sc_hd__nor3_2
+XFILLER_566_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_18_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_349_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78057_ _78067_/CLK _50504_/Y VGND VGND VPWR VPWR _50502_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_582_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44449_ _44449_/A VGND VGND VPWR VPWR _44520_/A sky130_fd_sc_hd__buf_1
+XFILLER_179_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_3053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63283_ _63283_/A _63582_/B VGND VGND VPWR VPWR _63284_/C sky130_fd_sc_hd__nor2_2
+XFILLER_676_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75269_ _75269_/A _75269_/B VGND VGND VPWR VPWR _80728_/D sky130_fd_sc_hd__nand2_2
+XFILLER_398_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_349_73 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60495_ _60495_/A _60648_/B VGND VGND VPWR VPWR _60495_/Y sky130_fd_sc_hd__nor2_2
+XPHY_26181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_725_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65022_ _65022_/A _64855_/Y _65022_/C _65021_/Y VGND VGND VPWR VPWR _76688_/D sky130_fd_sc_hd__or4_2
+X_77008_ _78384_/CLK _54478_/Y VGND VGND VPWR VPWR _77008_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_650_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_121_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_158_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62234_ _78136_/Q _62081_/B VGND VGND VPWR VPWR _62236_/B sky130_fd_sc_hd__nor2_2
+XPHY_35992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_496_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47168_ _47117_/X VGND VGND VPWR VPWR _47169_/B sky130_fd_sc_hd__inv_8
+XFILLER_591_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_537_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_9_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_591_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_294_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_517_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46119_ _46119_/A VGND VGND VPWR VPWR _46119_/X sky130_fd_sc_hd__buf_1
+X_69830_ _76681_/Q VGND VGND VPWR VPWR _69831_/A sky130_fd_sc_hd__inv_8
+XFILLER_192_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_346_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_572_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62165_ _61843_/X _62165_/B _62164_/Y VGND VGND VPWR VPWR _62176_/B sky130_fd_sc_hd__nor3_2
+XFILLER_335_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39990_ _39988_/X _39989_/Y VGND VGND VPWR VPWR _79988_/D sky130_fd_sc_hd__nand2_2
+X_47099_ _47135_/A VGND VGND VPWR VPWR _47167_/B sky130_fd_sc_hd__buf_1
+XFILLER_632_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_66_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_259_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_145_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61116_ _61116_/A _60793_/B VGND VGND VPWR VPWR _61116_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_589_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38941_ _38947_/A _38947_/B _80250_/Q VGND VGND VPWR VPWR _38941_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_353_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69761_ _70793_/B VGND VGND VPWR VPWR _69761_/X sky130_fd_sc_hd__buf_1
+XFILLER_712_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62096_ _62253_/A _62096_/B _62096_/C VGND VGND VPWR VPWR _62096_/Y sky130_fd_sc_hd__nor3_2
+X_66973_ _66473_/X _66969_/Y _66973_/C VGND VGND VPWR VPWR _66982_/B sky130_fd_sc_hd__nor3_2
+XFILLER_275_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78959_ _78067_/CLK _46778_/Y VGND VGND VPWR VPWR _78959_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_689_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_151_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_138_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68712_ _68551_/A _68709_/Y _68712_/C VGND VGND VPWR VPWR _68712_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_487_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_370_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65924_ _67570_/A VGND VGND VPWR VPWR _66103_/A sky130_fd_sc_hd__buf_1
+X_61047_ _61047_/A _60421_/X VGND VGND VPWR VPWR _61047_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_25_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38872_ _38478_/A _38979_/B VGND VGND VPWR VPWR _38874_/A sky130_fd_sc_hd__or2_2
+XFILLER_487_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_476_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69692_ _69687_/X _69691_/X VGND VGND VPWR VPWR _64098_/A sky130_fd_sc_hd__nand2_2
+XFILLER_314_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_638_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_610_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_141_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_99_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49809_ _49809_/A VGND VGND VPWR VPWR _49842_/A sky130_fd_sc_hd__buf_1
+XFILLER_64_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80921_ _80887_/CLK _80921_/D VGND VGND VPWR VPWR _66102_/A sky130_fd_sc_hd__dfxtp_4
+X_68643_ _68643_/A _68307_/B VGND VGND VPWR VPWR _68645_/B sky130_fd_sc_hd__nor2_2
+XFILLER_101_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65855_ _66515_/A _65853_/Y _65855_/C VGND VGND VPWR VPWR _65855_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_626_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_429_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_468_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_80_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_287_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52820_ _52829_/A VGND VGND VPWR VPWR _52832_/B sky130_fd_sc_hd__buf_1
+X_64806_ _56550_/A _64806_/B VGND VGND VPWR VPWR _64806_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_268_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80852_ _80852_/CLK _80852_/D VGND VGND VPWR VPWR _65230_/A sky130_fd_sc_hd__dfxtp_4
+X_68574_ _68574_/A _68574_/B VGND VGND VPWR VPWR _68575_/C sky130_fd_sc_hd__nor2_2
+XFILLER_381_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_266_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_683_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65786_ _65786_/A _65785_/Y VGND VGND VPWR VPWR _65786_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_41_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_110_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62998_ _47941_/A _62531_/B VGND VGND VPWR VPWR _62998_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_387_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_3068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_524_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67525_ _66702_/A VGND VGND VPWR VPWR _67526_/B sky130_fd_sc_hd__buf_1
+XFILLER_312_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52751_ _52749_/Y _52750_/X VGND VGND VPWR VPWR _77462_/D sky130_fd_sc_hd__nand2_2
+XFILLER_266_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_522_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64737_ _67238_/A VGND VGND VPWR VPWR _64757_/A sky130_fd_sc_hd__buf_1
+XFILLER_446_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61949_ _61949_/A _62111_/B VGND VGND VPWR VPWR _61949_/Y sky130_fd_sc_hd__nor2_2
+X_80783_ _81468_/CLK _75059_/Y VGND VGND VPWR VPWR _64155_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_283_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_407_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_382_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_509_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_228_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_348_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39424_ _39431_/A _39431_/B _80130_/Q VGND VGND VPWR VPWR _39425_/B sky130_fd_sc_hd__nand3_2
+X_51702_ _51700_/Y _51701_/X VGND VGND VPWR VPWR _51702_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_270_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_407_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_505_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55470_ _55470_/A _55703_/A VGND VGND VPWR VPWR _55471_/B sky130_fd_sc_hd__nor2_2
+XFILLER_724_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67456_ _67456_/A _66800_/X VGND VGND VPWR VPWR _67456_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_77_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52682_ _52679_/Y _52682_/B VGND VGND VPWR VPWR _77482_/D sky130_fd_sc_hd__nand2_2
+XFILLER_633_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64668_ _65177_/A _64659_/Y _64667_/Y VGND VGND VPWR VPWR _64668_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_243_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_164_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_180_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54421_ _54477_/A _54424_/B VGND VGND VPWR VPWR _54422_/B sky130_fd_sc_hd__or2_2
+X_66407_ _38421_/C _66565_/B VGND VGND VPWR VPWR _66408_/C sky130_fd_sc_hd__nor2_2
+XFILLER_544_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_462_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_423_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51633_ _51631_/Y _51632_/X VGND VGND VPWR VPWR _77761_/D sky130_fd_sc_hd__nand2_2
+XFILLER_58_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63619_ _63326_/A _63619_/B _63619_/C VGND VGND VPWR VPWR _63620_/C sky130_fd_sc_hd__nor3_2
+X_39355_ _39231_/A _39340_/X VGND VGND VPWR VPWR _39357_/A sky130_fd_sc_hd__or2_2
+XPHY_17309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_82_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67387_ _67387_/A _67726_/B VGND VGND VPWR VPWR _67387_/Y sky130_fd_sc_hd__nor2_2
+XPHY_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_1437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64599_ _66027_/A VGND VGND VPWR VPWR _64599_/X sky130_fd_sc_hd__buf_1
+XFILLER_266_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38306_ _38302_/X _38305_/Y VGND VGND VPWR VPWR _38306_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_479_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_243_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81404_ _81435_/CLK _72540_/Y VGND VGND VPWR VPWR _66600_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_208_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57140_ _57131_/Y _57140_/B VGND VGND VPWR VPWR _57140_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_672_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69126_ _69404_/A _69122_/Y _69126_/C VGND VGND VPWR VPWR _69134_/B sky130_fd_sc_hd__nor3_2
+XPHY_2228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54352_ _54349_/Y _54352_/B VGND VGND VPWR VPWR _77042_/D sky130_fd_sc_hd__nand2_2
+XFILLER_342_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66338_ _79962_/Q _66499_/B VGND VGND VPWR VPWR _66339_/C sky130_fd_sc_hd__nor2_2
+XFILLER_659_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39286_ _39304_/A VGND VGND VPWR VPWR _39301_/B sky130_fd_sc_hd__buf_1
+X_51564_ _51561_/Y _51563_/X VGND VGND VPWR VPWR _77779_/D sky130_fd_sc_hd__nand2_2
+XFILLER_51_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_600_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_50_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53303_ _53303_/A _53303_/B VGND VGND VPWR VPWR _77318_/D sky130_fd_sc_hd__nand2_2
+XFILLER_221_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_707_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_464_0_u_core.clock clkbuf_9_465_0_u_core.clock/A VGND VGND VPWR VPWR _80852_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_38237_ _38235_/X _38236_/Y VGND VGND VPWR VPWR _38237_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_357_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50515_ _50430_/X _50489_/X VGND VGND VPWR VPWR _50516_/B sky130_fd_sc_hd__or2_2
+XFILLER_476_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57071_ _39843_/C _56745_/X VGND VGND VPWR VPWR _57071_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_211_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81335_ _81305_/CLK _81335_/D VGND VGND VPWR VPWR _72801_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_396_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69057_ _69335_/A _69057_/B _69057_/C VGND VGND VPWR VPWR _69061_/B sky130_fd_sc_hd__nor3_2
+XFILLER_259_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54283_ _48518_/X _54190_/X VGND VGND VPWR VPWR _54284_/A sky130_fd_sc_hd__or2_2
+XPHY_15918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66269_ _65593_/X _66269_/B _66269_/C VGND VGND VPWR VPWR _66291_/A sky130_fd_sc_hd__nor3_2
+XFILLER_342_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_479_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_3104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51495_ _51495_/A _51494_/X VGND VGND VPWR VPWR _51495_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_196_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_691_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_527_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_225_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56022_ _56005_/X _56022_/B _56022_/C VGND VGND VPWR VPWR wbs_dat_o[5] sky130_fd_sc_hd__nor3_2
+XFILLER_637_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_590_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68008_ _80292_/Q _67838_/B VGND VGND VPWR VPWR _68008_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_574_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_535_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53234_ _59913_/A _53237_/B VGND VGND VPWR VPWR _53236_/A sky130_fd_sc_hd__nand2_2
+XFILLER_372_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_713_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_577_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50446_ _50446_/A _50435_/B VGND VGND VPWR VPWR _50446_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_178_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81266_ _81263_/CLK _81266_/D VGND VGND VPWR VPWR _64923_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_397_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_121_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80217_ _80315_/CLK _80217_/D VGND VGND VPWR VPWR _39064_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_100_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_178_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53165_ _54977_/A VGND VGND VPWR VPWR _53207_/A sky130_fd_sc_hd__buf_1
+XFILLER_156_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50377_ _50377_/A _50377_/B VGND VGND VPWR VPWR _50377_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_238_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_178_1345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81197_ _80813_/CLK _73393_/Y VGND VGND VPWR VPWR _73391_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_124_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_191_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40130_ _40140_/A VGND VGND VPWR VPWR _40236_/B sky130_fd_sc_hd__buf_1
+XFILLER_371_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52116_ _52060_/A _52113_/B VGND VGND VPWR VPWR _52117_/B sky130_fd_sc_hd__or2_2
+XFILLER_336_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_88_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80148_ _80147_/CLK _80148_/D VGND VGND VPWR VPWR _65357_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57973_ _67842_/A _57810_/B VGND VGND VPWR VPWR _57974_/C sky130_fd_sc_hd__nor2_2
+XFILLER_340_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_117_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53096_ _77368_/Q _53081_/X VGND VGND VPWR VPWR _53096_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69959_ _69639_/A _69602_/A VGND VGND VPWR VPWR _69960_/B sky130_fd_sc_hd__nor2_2
+XFILLER_650_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59712_ _59390_/A _59710_/Y _59711_/Y VGND VGND VPWR VPWR _59713_/C sky130_fd_sc_hd__nor3_2
+XFILLER_533_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40061_ _39799_/X _40060_/X VGND VGND VPWR VPWR _40063_/A sky130_fd_sc_hd__or2_2
+XPHY_9846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52047_ _52047_/A VGND VGND VPWR VPWR _52047_/X sky130_fd_sc_hd__buf_1
+XPHY_20704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56924_ _56924_/A _56997_/B VGND VGND VPWR VPWR _56925_/C sky130_fd_sc_hd__nor2_2
+XFILLER_533_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_495_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_47_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72970_ _73511_/A _72957_/B _81297_/Q VGND VGND VPWR VPWR _72970_/Y sky130_fd_sc_hd__nand3_2
+XPHY_9857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80079_ _80109_/CLK _39612_/Y VGND VGND VPWR VPWR _39611_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_20715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_668_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_514_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_369_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_628_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59643_ _59643_/A _59641_/Y _59642_/Y VGND VGND VPWR VPWR _59643_/Y sky130_fd_sc_hd__nor3_2
+X_71921_ _71919_/X _71921_/B _56781_/A VGND VGND VPWR VPWR _71921_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_254_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_28_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_467_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56855_ _56855_/A VGND VGND VPWR VPWR _57006_/B sky130_fd_sc_hd__buf_1
+XFILLER_314_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_388_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_549_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_644_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_60_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_644_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43820_ _42186_/A VGND VGND VPWR VPWR _44186_/A sky130_fd_sc_hd__buf_1
+XFILLER_408_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55806_ _51152_/A _55818_/B VGND VGND VPWR VPWR _55807_/B sky130_fd_sc_hd__or2_2
+X_74640_ _74567_/A VGND VGND VPWR VPWR _74654_/B sky130_fd_sc_hd__buf_1
+XFILLER_386_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_670_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71852_ _70839_/C _70734_/Y VGND VGND VPWR VPWR _71881_/B sky130_fd_sc_hd__or2_2
+XFILLER_77_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59574_ _64005_/A _59571_/Y _59574_/C VGND VGND VPWR VPWR _59578_/B sky130_fd_sc_hd__nor3_2
+XFILLER_366_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56786_ _80596_/Q _56707_/B VGND VGND VPWR VPWR _56788_/B sky130_fd_sc_hd__nor2_2
+XFILLER_482_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_369_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53998_ _77134_/Q _53980_/B VGND VGND VPWR VPWR _53998_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_115_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_43_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_720_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70803_ _70803_/A _70803_/B _70046_/A VGND VGND VPWR VPWR _70804_/B sky130_fd_sc_hd__or3_2
+XFILLER_584_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58525_ _58525_/A VGND VGND VPWR VPWR _58526_/B sky130_fd_sc_hd__buf_1
+XFILLER_435_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43751_ _43754_/A _43784_/B VGND VGND VPWR VPWR _43758_/B sky130_fd_sc_hd__nor2_2
+XFILLER_274_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_92_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55737_ _55737_/A _55736_/X VGND VGND VPWR VPWR _55737_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_562_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74571_ _74563_/A _74568_/B _80904_/Q VGND VGND VPWR VPWR _74571_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_18_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_115_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40963_ _40601_/A _40963_/B VGND VGND VPWR VPWR _40965_/A sky130_fd_sc_hd__or2_2
+XPHY_29724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52949_ _61235_/A _52941_/X VGND VGND VPWR VPWR _52952_/A sky130_fd_sc_hd__nand2_2
+XFILLER_429_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71783_ _71729_/A _70860_/B VGND VGND VPWR VPWR _71784_/B sky130_fd_sc_hd__nor2_2
+XFILLER_189_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_150_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_382_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76310_ _76310_/A _76309_/Y VGND VGND VPWR VPWR _80472_/D sky130_fd_sc_hd__nand2_2
+XPHY_19223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42702_ _42702_/A _42702_/B _42701_/Y VGND VGND VPWR VPWR _42702_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_248_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73522_ _73643_/A _73522_/B VGND VGND VPWR VPWR _73524_/A sky130_fd_sc_hd__or2_2
+XFILLER_422_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46470_ _46470_/A VGND VGND VPWR VPWR _46482_/B sky130_fd_sc_hd__buf_1
+X_58456_ _58134_/A _58453_/Y _58455_/Y VGND VGND VPWR VPWR _58456_/Y sky130_fd_sc_hd__nor3_2
+X_70734_ _71904_/A _70733_/Y VGND VGND VPWR VPWR _70734_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_699_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77290_ _77283_/CLK _53419_/Y VGND VGND VPWR VPWR _77290_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_284_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_98_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43682_ _69144_/A _69005_/A VGND VGND VPWR VPWR _44038_/C sky130_fd_sc_hd__or2_2
+XPHY_4120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55668_ _55704_/A _55668_/B VGND VGND VPWR VPWR _55681_/A sky130_fd_sc_hd__nor2_2
+XFILLER_234_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40894_ _40882_/A VGND VGND VPWR VPWR _40895_/A sky130_fd_sc_hd__buf_1
+XPHY_29779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_243_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57407_ _57658_/A _57407_/B _57406_/Y VGND VGND VPWR VPWR _57408_/C sky130_fd_sc_hd__nor3_2
+X_45421_ _42799_/A _45523_/B VGND VGND VPWR VPWR _45421_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_720_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76241_ _76241_/A _76240_/Y VGND VGND VPWR VPWR _80491_/D sky130_fd_sc_hd__nand2_2
+XPHY_4153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54619_ _54619_/A VGND VGND VPWR VPWR _54623_/B sky130_fd_sc_hd__buf_1
+XPHY_19278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42633_ _42633_/A VGND VGND VPWR VPWR _42634_/B sky130_fd_sc_hd__buf_1
+XFILLER_568_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73453_ _73451_/Y _73453_/B VGND VGND VPWR VPWR _81181_/D sky130_fd_sc_hd__nand2_2
+XFILLER_262_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58387_ _58307_/A _58387_/B _58386_/Y VGND VGND VPWR VPWR _58397_/A sky130_fd_sc_hd__nor3_2
+XFILLER_226_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70665_ _70665_/A VGND VGND VPWR VPWR _70666_/A sky130_fd_sc_hd__inv_8
+XFILLER_505_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_96_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55599_ _55599_/A _45257_/B VGND VGND VPWR VPWR _55599_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_458_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_325_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_109_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_667_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_310_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_76_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48140_ _48140_/A _48140_/B VGND VGND VPWR VPWR _78668_/D sky130_fd_sc_hd__nand2_2
+XPHY_3452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72404_ _72404_/A _72404_/B VGND VGND VPWR VPWR _81440_/D sky130_fd_sc_hd__nand2_2
+XPHY_18577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_261_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45352_ _45045_/A _45352_/B VGND VGND VPWR VPWR _45353_/B sky130_fd_sc_hd__nor2_2
+XFILLER_701_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57338_ _56527_/A VGND VGND VPWR VPWR _57410_/B sky130_fd_sc_hd__buf_1
+XFILLER_423_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76172_ _76169_/X _76171_/Y VGND VGND VPWR VPWR _76172_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_549_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_159_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42564_ _42493_/X _70268_/X _70273_/X _42494_/X VGND VGND VPWR VPWR _42564_/X sky130_fd_sc_hd__o22a_4
+XFILLER_261_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73384_ _73384_/A _72709_/A VGND VGND VPWR VPWR _73385_/A sky130_fd_sc_hd__or2_2
+XFILLER_144_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70596_ _70582_/A _70433_/X VGND VGND VPWR VPWR _70597_/B sky130_fd_sc_hd__nand2_2
+XFILLER_477_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44303_ _44303_/A VGND VGND VPWR VPWR _44304_/C sky130_fd_sc_hd__inv_8
+XPHY_3496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75123_ _75102_/A VGND VGND VPWR VPWR _75124_/B sky130_fd_sc_hd__buf_1
+XFILLER_325_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41515_ _41512_/X VGND VGND VPWR VPWR _41629_/B sky130_fd_sc_hd__buf_1
+XFILLER_35_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48071_ _47758_/B VGND VGND VPWR VPWR _49072_/A sky130_fd_sc_hd__buf_1
+XFILLER_35_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72335_ _72335_/A _72319_/B VGND VGND VPWR VPWR _72335_/X sky130_fd_sc_hd__or2_2
+XFILLER_375_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_655_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57269_ _57180_/A _57269_/B _57269_/C VGND VGND VPWR VPWR _57270_/B sky130_fd_sc_hd__nor3_2
+X_45283_ _46646_/A _41721_/A VGND VGND VPWR VPWR _45283_/X sky130_fd_sc_hd__or2_2
+XPHY_35255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42495_ _42493_/X _70113_/X _70111_/X _42494_/X VGND VGND VPWR VPWR _42495_/X sky130_fd_sc_hd__o22a_4
+XFILLER_395_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_538_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59008_ _76989_/Q _59008_/B VGND VGND VPWR VPWR _59008_/Y sky130_fd_sc_hd__nor2_2
+X_47022_ _47004_/X _71809_/B _71752_/B _47021_/X VGND VGND VPWR VPWR _47023_/B sky130_fd_sc_hd__o22a_4
+XFILLER_638_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44234_ _44234_/A _44234_/B VGND VGND VPWR VPWR _44234_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_100_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75054_ _75051_/A _75054_/B _64466_/A VGND VGND VPWR VPWR _75056_/A sky130_fd_sc_hd__nand3_2
+XPHY_24020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79931_ _80031_/CLK _79931_/D VGND VGND VPWR VPWR _40202_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_376_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41446_ _41065_/X _41446_/B VGND VGND VPWR VPWR _41446_/X sky130_fd_sc_hd__or2_2
+XFILLER_725_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60280_ _61846_/A VGND VGND VPWR VPWR _60281_/B sky130_fd_sc_hd__buf_1
+XPHY_35299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72266_ _72922_/A VGND VGND VPWR VPWR _72663_/A sky130_fd_sc_hd__buf_1
+XPHY_24031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_552_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_376_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74005_ _74683_/A VGND VGND VPWR VPWR _74173_/A sky130_fd_sc_hd__buf_1
+XFILLER_373_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_6_13_0_u_core.clock ANTENNA_557/DIODE VGND VGND VPWR VPWR clkbuf_7_27_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_87_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71217_ _69865_/X _71196_/X _69884_/X _42537_/B VGND VGND VPWR VPWR _71218_/A sky130_fd_sc_hd__o22a_4
+XPHY_34598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44165_ _44165_/A _44132_/X VGND VGND VPWR VPWR _44165_/Y sky130_fd_sc_hd__nor2_2
+XPHY_23330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79862_ _79805_/CLK _40486_/Y VGND VGND VPWR VPWR _65683_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_87_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41377_ _41395_/A VGND VGND VPWR VPWR _41390_/A sky130_fd_sc_hd__buf_1
+XFILLER_6_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72197_ _72195_/X _72196_/Y VGND VGND VPWR VPWR _81480_/D sky130_fd_sc_hd__nand2_2
+XFILLER_239_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_3070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43116_ _43116_/A VGND VGND VPWR VPWR _43116_/Y sky130_fd_sc_hd__inv_8
+X_78813_ _78800_/CLK _78813_/D VGND VGND VPWR VPWR _47563_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_33897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40328_ _39970_/A _40331_/B VGND VGND VPWR VPWR _40328_/X sky130_fd_sc_hd__or2_2
+XFILLER_115_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_48_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71148_ _55351_/A _71148_/B VGND VGND VPWR VPWR _71148_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_170_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48973_ _49060_/A _48967_/B VGND VGND VPWR VPWR _48973_/X sky130_fd_sc_hd__or2_2
+X_44096_ _74011_/A _44095_/X VGND VGND VPWR VPWR _44097_/C sky130_fd_sc_hd__nor2_2
+XFILLER_272_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_100_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_100_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79793_ _79794_/CLK _79793_/D VGND VGND VPWR VPWR _64825_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_688_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_374_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_458_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_5_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47924_ _47922_/Y _47924_/B VGND VGND VPWR VPWR _78723_/D sky130_fd_sc_hd__nand2_2
+X_43047_ _79498_/Q VGND VGND VPWR VPWR _43047_/Y sky130_fd_sc_hd__inv_8
+XFILLER_610_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78744_ _78746_/CLK _47844_/Y VGND VGND VPWR VPWR _78744_/Q sky130_fd_sc_hd__dfxtp_4
+X_40259_ _40257_/X _40259_/B VGND VGND VPWR VPWR _40259_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63970_ _63970_/A _59344_/B VGND VGND VPWR VPWR _63972_/B sky130_fd_sc_hd__nor2_2
+XFILLER_135_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75956_ _75956_/A _75956_/B VGND VGND VPWR VPWR _80557_/D sky130_fd_sc_hd__nand2_2
+XFILLER_312_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71079_ _70882_/X VGND VGND VPWR VPWR _71080_/A sky130_fd_sc_hd__inv_8
+XFILLER_687_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_194_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_111_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_430_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62921_ _48951_/A _63389_/B VGND VGND VPWR VPWR _62923_/B sky130_fd_sc_hd__nor2_2
+XPHY_12194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74907_ _74901_/A _74901_/B _65886_/A VGND VGND VPWR VPWR _74907_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_272_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47855_ _47855_/A _47825_/A VGND VGND VPWR VPWR _47855_/X sky130_fd_sc_hd__or2_2
+XPHY_21983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_582_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78675_ _78675_/CLK _78675_/D VGND VGND VPWR VPWR _48110_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_313_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_75887_ _75887_/A VGND VGND VPWR VPWR _75889_/A sky130_fd_sc_hd__buf_1
+XPHY_21994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46806_ _46152_/B _46806_/B VGND VGND VPWR VPWR _46806_/Y sky130_fd_sc_hd__nor2_2
+XPHY_11493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65640_ _64949_/A _65640_/B _65639_/Y VGND VGND VPWR VPWR _65640_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_646_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77626_ _77634_/CLK _52134_/Y VGND VGND VPWR VPWR _77626_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_348_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74838_ _74838_/A _74838_/B VGND VGND VPWR VPWR _74838_/Y sky130_fd_sc_hd__nand2_2
+X_62852_ _62852_/A VGND VGND VPWR VPWR _62859_/A sky130_fd_sc_hd__buf_1
+XFILLER_289_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_708_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47786_ _47786_/A _47786_/B VGND VGND VPWR VPWR _47786_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_545_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_338 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44998_ _45020_/A _44997_/X VGND VGND VPWR VPWR _44998_/X sky130_fd_sc_hd__or2_2
+XFILLER_238_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_111_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49525_ _49525_/A VGND VGND VPWR VPWR _49974_/A sky130_fd_sc_hd__buf_1
+X_61803_ _61803_/A _62114_/B VGND VGND VPWR VPWR _61803_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_627_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46737_ _46730_/Y _46737_/B _46737_/C VGND VGND VPWR VPWR _78972_/D sky130_fd_sc_hd__nor3_2
+X_65571_ _65571_/A _65043_/B VGND VGND VPWR VPWR _65571_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_447_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77557_ _77558_/CLK _77557_/D VGND VGND VPWR VPWR _60495_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_661_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43949_ _43949_/A _43949_/B _43956_/C VGND VGND VPWR VPWR _43949_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_365_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62783_ _62773_/X _62777_/Y _62783_/C VGND VGND VPWR VPWR _62784_/C sky130_fd_sc_hd__nor3_2
+XFILLER_204_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74769_ _76173_/A VGND VGND VPWR VPWR _75384_/A sky130_fd_sc_hd__buf_1
+XFILLER_414_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_365_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_265_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_98_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67310_ _38918_/C _67310_/B VGND VGND VPWR VPWR _67312_/B sky130_fd_sc_hd__nor2_2
+XFILLER_265_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_4_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64522_ _64522_/A VGND VGND VPWR VPWR _64541_/A sky130_fd_sc_hd__buf_1
+XFILLER_627_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_2715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76508_ VGND VGND VPWR VPWR _76508_/HI la_data_out[0] sky130_fd_sc_hd__conb_1
+XFILLER_94_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61734_ _78109_/Q _61734_/B VGND VGND VPWR VPWR _61734_/Y sky130_fd_sc_hd__nor2_2
+X_49456_ _51141_/B VGND VGND VPWR VPWR _49557_/B sky130_fd_sc_hd__buf_1
+XFILLER_25_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68290_ _67974_/A _68290_/B _68290_/C VGND VGND VPWR VPWR _68291_/C sky130_fd_sc_hd__nor3_2
+XFILLER_615_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46668_ io_out[25] _46659_/Y VGND VGND VPWR VPWR _46668_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_414_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77488_ _77474_/CLK _52660_/Y VGND VGND VPWR VPWR _77488_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_107_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_209_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_587_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_621_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48407_ _63557_/A _48400_/B VGND VGND VPWR VPWR _48409_/A sky130_fd_sc_hd__nand2_2
+XFILLER_326_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_697_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67241_ _73684_/C _67240_/X VGND VGND VPWR VPWR _67243_/B sky130_fd_sc_hd__nor2_2
+X_79227_ _81273_/CLK _79227_/D VGND VGND VPWR VPWR _44476_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_146_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_346_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45619_ _45619_/A _45615_/B VGND VGND VPWR VPWR _45621_/B sky130_fd_sc_hd__nor2_2
+X_76439_ _76320_/A _76433_/B VGND VGND VPWR VPWR _76439_/X sky130_fd_sc_hd__or2_2
+X_64453_ _66217_/A VGND VGND VPWR VPWR _64453_/X sky130_fd_sc_hd__buf_1
+XFILLER_304_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_91_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_381_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49387_ _78352_/Q _49372_/X VGND VGND VPWR VPWR _49387_/Y sky130_fd_sc_hd__nand2_2
+X_61665_ _61665_/A _61665_/B _61664_/Y VGND VGND VPWR VPWR _61665_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_52_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46599_ _46563_/A _46599_/B _46599_/C VGND VGND VPWR VPWR _46599_/X sky130_fd_sc_hd__or3_2
+XFILLER_244_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_402_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_220_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_307_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39140_ _39138_/X _39140_/B VGND VGND VPWR VPWR _39140_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_244_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63404_ _62920_/X _63396_/Y _63404_/C VGND VGND VPWR VPWR _63426_/A sky130_fd_sc_hd__nor3_2
+XFILLER_36_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60616_ _60616_/A _60616_/B VGND VGND VPWR VPWR _60654_/B sky130_fd_sc_hd__nor2_2
+XFILLER_304_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48338_ _63986_/A _48352_/B VGND VGND VPWR VPWR _48338_/Y sky130_fd_sc_hd__nand2_2
+X_67172_ _67172_/A VGND VGND VPWR VPWR _67495_/B sky130_fd_sc_hd__buf_1
+X_79158_ _79163_/CLK _45438_/Y VGND VGND VPWR VPWR _69578_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64384_ _64312_/X VGND VGND VPWR VPWR _67410_/A sky130_fd_sc_hd__buf_1
+XFILLER_381_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_367_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_611_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61596_ _61761_/A _61596_/B _61596_/C VGND VGND VPWR VPWR _61596_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_441_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_528_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_367_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66123_ _65952_/A _66115_/Y _66123_/C VGND VGND VPWR VPWR _66123_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_21_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78109_ _78092_/CLK _50310_/Y VGND VGND VPWR VPWR _78109_/Q sky130_fd_sc_hd__dfxtp_4
+X_39071_ _39071_/A VGND VGND VPWR VPWR _39075_/A sky130_fd_sc_hd__buf_1
+X_63335_ _63335_/A _63022_/B VGND VGND VPWR VPWR _63335_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_304_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_378_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60547_ _63159_/A VGND VGND VPWR VPWR _60550_/A sky130_fd_sc_hd__buf_1
+XFILLER_320_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_257_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48269_ _48182_/A _48281_/B VGND VGND VPWR VPWR _48270_/B sky130_fd_sc_hd__or2_2
+XFILLER_198_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79089_ _79095_/CLK _79089_/D VGND VGND VPWR VPWR _45842_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_166_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50300_ _50266_/A _50293_/X VGND VGND VPWR VPWR _50300_/X sky130_fd_sc_hd__or2_2
+XFILLER_193_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_81120_ _81156_/CLK _73685_/Y VGND VGND VPWR VPWR _73684_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_320_1345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_381_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66054_ _66054_/A _66538_/B VGND VGND VPWR VPWR _66055_/C sky130_fd_sc_hd__nor2_2
+XFILLER_552_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51280_ _60560_/A _51280_/B VGND VGND VPWR VPWR _51280_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_192_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63266_ _63832_/A _63266_/B _63265_/Y VGND VGND VPWR VPWR _63267_/C sky130_fd_sc_hd__nor3_2
+XFILLER_555_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60478_ _59675_/A VGND VGND VPWR VPWR _60498_/A sky130_fd_sc_hd__buf_1
+XFILLER_66_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_508_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_381_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_725_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65005_ _67498_/A VGND VGND VPWR VPWR _65692_/A sky130_fd_sc_hd__buf_1
+X_50231_ _50116_/A _50222_/B VGND VGND VPWR VPWR _50232_/B sky130_fd_sc_hd__or2_2
+XFILLER_140_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62217_ _49900_/A _62064_/B VGND VGND VPWR VPWR _62219_/B sky130_fd_sc_hd__nor2_2
+XFILLER_335_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81051_ _81083_/CLK _73946_/Y VGND VGND VPWR VPWR _81051_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_697_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63197_ _62574_/A _63197_/B _63196_/Y VGND VGND VPWR VPWR _63197_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_146_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80002_ _79940_/CLK _39938_/Y VGND VGND VPWR VPWR _57870_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_615_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_290_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_307_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69813_ _69575_/Y _69756_/X VGND VGND VPWR VPWR _69813_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_710_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50162_ _50162_/A _50162_/B VGND VGND VPWR VPWR _50162_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_376_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62148_ _50924_/A _62147_/X VGND VGND VPWR VPWR _62151_/B sky130_fd_sc_hd__nor2_2
+XFILLER_31_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39973_ _40331_/A _39957_/X VGND VGND VPWR VPWR _39975_/A sky130_fd_sc_hd__or2_2
+XPHY_9109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38924_ _38920_/X _38923_/Y VGND VGND VPWR VPWR _38924_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_337_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69744_ _69743_/X VGND VGND VPWR VPWR _70724_/B sky130_fd_sc_hd__buf_1
+XFILLER_322_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50093_ _49795_/A VGND VGND VPWR VPWR _50093_/X sky130_fd_sc_hd__buf_1
+X_54970_ _54970_/A _54985_/B VGND VGND VPWR VPWR _54970_/X sky130_fd_sc_hd__or2_2
+XFILLER_632_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62079_ _61921_/A _62079_/B _62079_/C VGND VGND VPWR VPWR _62097_/A sky130_fd_sc_hd__nor3_2
+X_66956_ _57603_/A _66461_/B VGND VGND VPWR VPWR _66956_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_9_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_9_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_288_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_160_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53921_ _53921_/A _53940_/B VGND VGND VPWR VPWR _53921_/X sky130_fd_sc_hd__or2_2
+XFILLER_216_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65907_ _80376_/Q _65907_/B VGND VGND VPWR VPWR _65908_/C sky130_fd_sc_hd__nor2_2
+XFILLER_25_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38855_ _38855_/A _38854_/Y VGND VGND VPWR VPWR _80272_/D sky130_fd_sc_hd__nand2_2
+XFILLER_663_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69675_ _76725_/Q _69675_/B VGND VGND VPWR VPWR _69675_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_288_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66887_ _80862_/Q _67213_/B VGND VGND VPWR VPWR _66888_/C sky130_fd_sc_hd__nor2_2
+XFILLER_448_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_254_0_u_core.clock clkbuf_9_255_0_u_core.clock/A VGND VGND VPWR VPWR _79809_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_233_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_628_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80904_ _81005_/CLK _74572_/Y VGND VGND VPWR VPWR _80904_/Q sky130_fd_sc_hd__dfxtp_4
+X_68626_ _80616_/Q _68461_/X VGND VGND VPWR VPWR _68627_/C sky130_fd_sc_hd__nor2_2
+X_56640_ _58348_/A VGND VGND VPWR VPWR _56641_/B sky130_fd_sc_hd__buf_1
+XFILLER_275_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_59_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53852_ _77173_/Q _53831_/B VGND VGND VPWR VPWR _53854_/A sky130_fd_sc_hd__nand2_2
+XFILLER_626_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65838_ _56997_/A _65518_/X VGND VGND VPWR VPWR _65840_/B sky130_fd_sc_hd__nor2_2
+XFILLER_151_1540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_268_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38786_ _38666_/A _38789_/B VGND VGND VPWR VPWR _38786_/X sky130_fd_sc_hd__or2_2
+XFILLER_75_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_645_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_468_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52803_ _52800_/Y _52803_/B VGND VGND VPWR VPWR _77450_/D sky130_fd_sc_hd__nand2_2
+XFILLER_227_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56571_ _56364_/X _56570_/Y VGND VGND VPWR VPWR _56571_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_25_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80835_ _80867_/CLK _74868_/Y VGND VGND VPWR VPWR _80835_/Q sky130_fd_sc_hd__dfxtp_4
+X_68557_ _68557_/A _68557_/B _68556_/Y VGND VGND VPWR VPWR _68557_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_186_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53783_ _59707_/A _53783_/B VGND VGND VPWR VPWR _53783_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_465_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_114_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65769_ _64570_/X VGND VGND VPWR VPWR _65770_/B sky130_fd_sc_hd__buf_1
+XFILLER_261_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50995_ _77924_/Q _50994_/X VGND VGND VPWR VPWR _50999_/A sky130_fd_sc_hd__nand2_2
+XFILLER_3_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_446_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_417_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58310_ _75738_/C _58389_/B VGND VGND VPWR VPWR _58313_/B sky130_fd_sc_hd__nor2_2
+XFILLER_284_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_404_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55522_ _55705_/B VGND VGND VPWR VPWR _55522_/X sky130_fd_sc_hd__buf_1
+X_67508_ _66853_/A _67506_/Y _67508_/C VGND VGND VPWR VPWR _67509_/C sky130_fd_sc_hd__nor3_2
+XFILLER_83_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_621_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52734_ _52614_/X _52752_/B VGND VGND VPWR VPWR _52734_/X sky130_fd_sc_hd__or2_2
+XFILLER_329_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_271_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59290_ _59289_/X VGND VGND VPWR VPWR _59468_/A sky130_fd_sc_hd__buf_1
+XFILLER_55_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80766_ _80766_/CLK _75128_/Y VGND VGND VPWR VPWR _75127_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68488_ _68488_/A _68486_/Y _68487_/Y VGND VGND VPWR VPWR _68488_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_129_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_243_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39407_ _39404_/X _39407_/B VGND VGND VPWR VPWR _80135_/D sky130_fd_sc_hd__nand2_2
+XFILLER_460_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_83_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58241_ _58220_/X _58241_/B VGND VGND VPWR VPWR _58262_/B sky130_fd_sc_hd__nor2_2
+XFILLER_329_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55453_ _55440_/A _55453_/B VGND VGND VPWR VPWR _55458_/B sky130_fd_sc_hd__nor2_2
+XFILLER_167_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67439_ _67439_/A _68104_/B VGND VGND VPWR VPWR _67440_/C sky130_fd_sc_hd__nor2_2
+XFILLER_70_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52665_ _52750_/A _52665_/B VGND VGND VPWR VPWR _52665_/X sky130_fd_sc_hd__or2_2
+XFILLER_639_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_93_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80697_ _80734_/CLK _80697_/D VGND VGND VPWR VPWR _80697_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_620_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1283 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54404_ _54404_/A _54340_/B VGND VGND VPWR VPWR _54430_/B sky130_fd_sc_hd__or2_2
+XPHY_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39338_ _39327_/X _39331_/X _57085_/A VGND VGND VPWR VPWR _39338_/Y sky130_fd_sc_hd__nand3_2
+X_51616_ _51588_/A _51616_/B VGND VGND VPWR VPWR _51616_/X sky130_fd_sc_hd__or2_2
+XFILLER_58_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_576_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58172_ _41311_/C _58331_/B VGND VGND VPWR VPWR _58173_/C sky130_fd_sc_hd__nor2_2
+X_70450_ _70449_/X VGND VGND VPWR VPWR _70451_/B sky130_fd_sc_hd__inv_8
+XFILLER_142_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55384_ _55444_/A _55384_/B VGND VGND VPWR VPWR _55384_/Y sky130_fd_sc_hd__nor2_2
+XPHY_16405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_145_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52596_ _52596_/A _52595_/X VGND VGND VPWR VPWR _77504_/D sky130_fd_sc_hd__nand2_2
+XFILLER_262_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_369_172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_586_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57123_ _65960_/A _57046_/X VGND VGND VPWR VPWR _57123_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69109_ _40024_/C _69109_/B VGND VGND VPWR VPWR _69110_/C sky130_fd_sc_hd__nor2_2
+XFILLER_557_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54335_ _54335_/A _54335_/B VGND VGND VPWR VPWR _77046_/D sky130_fd_sc_hd__nand2_2
+XFILLER_546_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_244_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_573_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39269_ _39267_/X _39268_/Y VGND VGND VPWR VPWR _80171_/D sky130_fd_sc_hd__nand2_2
+X_51547_ _51545_/Y _51546_/X VGND VGND VPWR VPWR _77783_/D sky130_fd_sc_hd__nand2_2
+XPHY_15704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70381_ _70381_/A _70381_/B VGND VGND VPWR VPWR _70384_/B sky130_fd_sc_hd__nor2_2
+XFILLER_600_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_418_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_141_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_401_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_303_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41300_ _41284_/A _41297_/B _58412_/A VGND VGND VPWR VPWR _41301_/B sky130_fd_sc_hd__nand3_2
+XFILLER_158_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_655_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_652_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72120_ _69861_/X _69566_/B _56333_/A VGND VGND VPWR VPWR _72121_/B sky130_fd_sc_hd__nand3_2
+XFILLER_278_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57054_ _57051_/X _57052_/Y _57054_/C VGND VGND VPWR VPWR _57055_/C sky130_fd_sc_hd__nor3_2
+XFILLER_184_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81318_ _81301_/CLK _72874_/Y VGND VGND VPWR VPWR _81318_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_377_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_570_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42280_ _41917_/A _55130_/A _41668_/A VGND VGND VPWR VPWR _42280_/X sky130_fd_sc_hd__or3_2
+XFILLER_707_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54266_ _54231_/X _54266_/B VGND VGND VPWR VPWR _54267_/B sky130_fd_sc_hd__or2_2
+XFILLER_373_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51478_ _51478_/A VGND VGND VPWR VPWR _51491_/B sky130_fd_sc_hd__buf_1
+XFILLER_17_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_186 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_672_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_338_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_23_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56005_ _56004_/X VGND VGND VPWR VPWR _56005_/X sky130_fd_sc_hd__buf_1
+XFILLER_292_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_165_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41231_ _41176_/X VGND VGND VPWR VPWR _41247_/B sky130_fd_sc_hd__buf_1
+XPHY_32404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53217_ _53215_/Y _53217_/B VGND VGND VPWR VPWR _53217_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_715_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_394_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72051_ _72051_/A _69875_/X VGND VGND VPWR VPWR _72051_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_325_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_32_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50429_ _61738_/A _50429_/B VGND VGND VPWR VPWR _50432_/A sky130_fd_sc_hd__nand2_2
+XFILLER_722_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_320_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81249_ _81273_/CLK _73179_/Y VGND VGND VPWR VPWR _81249_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_672_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54197_ _54197_/A _54207_/B VGND VGND VPWR VPWR _54199_/A sky130_fd_sc_hd__nand2_2
+XFILLER_238_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_551_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_516_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_353_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71002_ _70906_/X _71001_/X VGND VGND VPWR VPWR _71003_/C sky130_fd_sc_hd__nor2_2
+XFILLER_101_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_535_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_238_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41162_ _41160_/X _41161_/Y VGND VGND VPWR VPWR _79693_/D sky130_fd_sc_hd__nand2_2
+XFILLER_156_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53148_ _49363_/A VGND VGND VPWR VPWR _53148_/X sky130_fd_sc_hd__buf_1
+XPHY_32459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_688_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_313_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40113_ _40113_/A _40113_/B VGND VGND VPWR VPWR _79955_/D sky130_fd_sc_hd__nand2_2
+XFILLER_611_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75810_ _75887_/A VGND VGND VPWR VPWR _75810_/X sky130_fd_sc_hd__buf_1
+XFILLER_26_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45970_ _45873_/X VGND VGND VPWR VPWR _45974_/B sky130_fd_sc_hd__buf_1
+X_41093_ _39193_/A VGND VGND VPWR VPWR _41093_/X sky130_fd_sc_hd__buf_1
+XFILLER_453_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53079_ _53079_/A _53078_/X VGND VGND VPWR VPWR _53079_/Y sky130_fd_sc_hd__nand2_2
+X_57956_ _67824_/A _57874_/B VGND VGND VPWR VPWR _57957_/C sky130_fd_sc_hd__nor2_2
+XFILLER_117_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76790_ _76791_/CLK _55737_/Y VGND VGND VPWR VPWR _72050_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_9643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_333_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_133_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_468_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_109 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44921_ _55093_/C _44921_/B VGND VGND VPWR VPWR _44922_/B sky130_fd_sc_hd__nor2_2
+X_40044_ _40044_/A _40043_/Y VGND VGND VPWR VPWR _79974_/D sky130_fd_sc_hd__nand2_2
+X_56907_ _56982_/A _56906_/Y VGND VGND VPWR VPWR _56907_/Y sky130_fd_sc_hd__nor2_2
+XPHY_9676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75741_ _75468_/A _75754_/B VGND VGND VPWR VPWR _75743_/A sky130_fd_sc_hd__or2_2
+XPHY_21279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72953_ _72957_/A _72957_/B _81301_/Q VGND VGND VPWR VPWR _72953_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_322_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57887_ _67662_/A _57656_/X VGND VGND VPWR VPWR _57887_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_455_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_685_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_467_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_709_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47640_ _47656_/B VGND VGND VPWR VPWR _47653_/B sky130_fd_sc_hd__buf_1
+X_71904_ _71904_/A _71904_/B _71904_/C VGND VGND VPWR VPWR _71904_/Y sky130_fd_sc_hd__nor3_2
+X_59626_ _59626_/A VGND VGND VPWR VPWR _59636_/A sky130_fd_sc_hd__buf_1
+X_78460_ _78451_/CLK _48961_/Y VGND VGND VPWR VPWR _63943_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_66_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44852_ _44891_/A _44849_/Y _44852_/C VGND VGND VPWR VPWR _79183_/D sky130_fd_sc_hd__nor3_2
+XPHY_8986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56838_ _65523_/A _56837_/X VGND VGND VPWR VPWR _56838_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_169_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75672_ _75662_/A _75665_/X _80632_/Q VGND VGND VPWR VPWR _75672_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_388_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_120_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72884_ _72884_/A _72883_/Y VGND VGND VPWR VPWR _81316_/D sky130_fd_sc_hd__nand2_2
+XPHY_8997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77411_ _77410_/CLK _52948_/Y VGND VGND VPWR VPWR _77411_/Q sky130_fd_sc_hd__dfxtp_4
+X_43803_ _43696_/Y _43803_/B VGND VGND VPWR VPWR _43804_/A sky130_fd_sc_hd__or2_2
+XFILLER_19_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74623_ _74615_/X _74622_/X _74623_/C VGND VGND VPWR VPWR _74624_/B sky130_fd_sc_hd__nand3_2
+XFILLER_267_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_219_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47571_ _47820_/A _47600_/B VGND VGND VPWR VPWR _53379_/A sky130_fd_sc_hd__or2_2
+X_59557_ _54052_/A _59721_/B VGND VGND VPWR VPWR _59557_/Y sky130_fd_sc_hd__nor2_2
+X_71835_ _71835_/A _71233_/A VGND VGND VPWR VPWR _71835_/Y sky130_fd_sc_hd__nor2_2
+X_78391_ _78758_/CLK _49218_/Y VGND VGND VPWR VPWR _49216_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_643_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56769_ _65366_/A _56862_/B VGND VGND VPWR VPWR _56770_/C sky130_fd_sc_hd__nor2_2
+X_44783_ _74996_/A _44767_/X _44775_/Y _44782_/Y VGND VGND VPWR VPWR _44784_/C sky130_fd_sc_hd__o22a_4
+XFILLER_171_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41995_ _41995_/A VGND VGND VPWR VPWR _42030_/A sky130_fd_sc_hd__buf_1
+XFILLER_115_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_95_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49310_ _49252_/A _49294_/A VGND VGND VPWR VPWR _49311_/B sky130_fd_sc_hd__or2_2
+XFILLER_207_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_429_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_605_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58508_ _58430_/A _58508_/B _58508_/C VGND VGND VPWR VPWR _58513_/B sky130_fd_sc_hd__nor3_2
+X_46522_ _46551_/B VGND VGND VPWR VPWR _46554_/B sky130_fd_sc_hd__inv_8
+XFILLER_130_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77342_ _77251_/CLK _53217_/Y VGND VGND VPWR VPWR _77342_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_235_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43734_ _43979_/B VGND VGND VPWR VPWR _43734_/X sky130_fd_sc_hd__buf_1
+X_74554_ _74554_/A _74554_/B _80908_/Q VGND VGND VPWR VPWR _74555_/B sky130_fd_sc_hd__nand3_2
+XPHY_19020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40946_ _40936_/A _40943_/B _57618_/A VGND VGND VPWR VPWR _40946_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_707_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59488_ _59488_/A _59325_/B VGND VGND VPWR VPWR _59488_/Y sky130_fd_sc_hd__nor2_2
+XPHY_19031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71766_ _71549_/X _71755_/Y _71757_/Y _71765_/X VGND VGND VPWR VPWR _42710_/B sky130_fd_sc_hd__o22a_4
+XFILLER_210_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_524_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_245_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_703_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_569_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_343_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49241_ _49239_/Y _49240_/X VGND VGND VPWR VPWR _49241_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_679_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73505_ _74543_/B VGND VGND VPWR VPWR _73626_/B sky130_fd_sc_hd__buf_1
+XFILLER_406_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_147_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46453_ _43199_/A _46453_/B VGND VGND VPWR VPWR _46453_/Y sky130_fd_sc_hd__nor2_2
+X_58439_ _58439_/A _58439_/B VGND VGND VPWR VPWR _58440_/C sky130_fd_sc_hd__nor2_2
+XFILLER_46_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70717_ _70716_/X VGND VGND VPWR VPWR _70717_/X sky130_fd_sc_hd__buf_1
+XFILLER_692_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77273_ _77274_/CLK _77273_/D VGND VGND VPWR VPWR _77273_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_612_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43665_ _73106_/X VGND VGND VPWR VPWR _43665_/Y sky130_fd_sc_hd__inv_8
+XFILLER_73_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_262_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74485_ _74485_/A _74485_/B VGND VGND VPWR VPWR _74485_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40877_ _40889_/A _40760_/B _56261_/A VGND VGND VPWR VPWR _40878_/B sky130_fd_sc_hd__nand3_2
+XPHY_28864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_575_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71697_ _74089_/A VGND VGND VPWR VPWR _39193_/A sky130_fd_sc_hd__buf_1
+XFILLER_597_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79012_ _78988_/Q _46507_/X _46495_/X VGND VGND VPWR VPWR _79012_/Q sky130_fd_sc_hd__dfrtp_4
+XFILLER_245_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_677_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45404_ _45402_/X _45404_/B VGND VGND VPWR VPWR _45517_/B sky130_fd_sc_hd__nand2_2
+XFILLER_657_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76224_ _76224_/A _75827_/B VGND VGND VPWR VPWR _76247_/A sky130_fd_sc_hd__or2_2
+XFILLER_382_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_128_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42616_ _42606_/A _42616_/B _42615_/Y VGND VGND VPWR VPWR _42616_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_538_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61450_ _60821_/A _61448_/Y _61450_/C VGND VGND VPWR VPWR _61451_/C sky130_fd_sc_hd__nor3_2
+XFILLER_501_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73436_ _74948_/A VGND VGND VPWR VPWR _73441_/A sky130_fd_sc_hd__buf_1
+XFILLER_223_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49172_ _49114_/A _49193_/B VGND VGND VPWR VPWR _49172_/X sky130_fd_sc_hd__or2_2
+XFILLER_720_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70648_ _70648_/A _70647_/Y VGND VGND VPWR VPWR _70648_/X sky130_fd_sc_hd__or2_2
+X_46384_ _46372_/B VGND VGND VPWR VPWR _46384_/Y sky130_fd_sc_hd__inv_8
+XFILLER_203_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43596_ _42624_/B _43593_/B VGND VGND VPWR VPWR _43596_/Y sky130_fd_sc_hd__nor2_2
+XPHY_18385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_376_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_76_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_241_1619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_638_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60401_ _53146_/A _60401_/B VGND VGND VPWR VPWR _60401_/Y sky130_fd_sc_hd__nor2_2
+X_48123_ _78671_/Q _48107_/X VGND VGND VPWR VPWR _48123_/Y sky130_fd_sc_hd__nand2_2
+XPHY_17662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45335_ _45335_/A _45397_/B VGND VGND VPWR VPWR _45335_/X sky130_fd_sc_hd__or2_2
+XFILLER_72_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_54_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76155_ _76155_/A VGND VGND VPWR VPWR _76156_/A sky130_fd_sc_hd__buf_1
+XFILLER_579_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42547_ _42547_/A _42547_/B VGND VGND VPWR VPWR _42547_/X sky130_fd_sc_hd__and2_2
+XFILLER_692_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61381_ _77435_/Q _61070_/B VGND VGND VPWR VPWR _61381_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_674_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73367_ _73367_/A _73366_/X VGND VGND VPWR VPWR _73367_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_403_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70579_ _70579_/A _70317_/A VGND VGND VPWR VPWR _70583_/A sky130_fd_sc_hd__nor2_2
+XPHY_35052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_716_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63120_ _62977_/A _63117_/Y _63120_/C VGND VGND VPWR VPWR _63121_/C sky130_fd_sc_hd__nor3_2
+X_75106_ _75103_/A _75120_/B _67713_/A VGND VGND VPWR VPWR _75106_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_356_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_35_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48054_ _48025_/A _48063_/B VGND VGND VPWR VPWR _48054_/X sky130_fd_sc_hd__or2_2
+X_60332_ _60332_/A _60332_/B VGND VGND VPWR VPWR _60332_/Y sky130_fd_sc_hd__nor2_2
+XPHY_2592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72318_ _74802_/A VGND VGND VPWR VPWR _72318_/X sky130_fd_sc_hd__buf_1
+XFILLER_297_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_198_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45266_ _45383_/A _45265_/Y VGND VGND VPWR VPWR _45267_/B sky130_fd_sc_hd__nor2_2
+XPHY_34340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76086_ _76359_/A _76085_/X VGND VGND VPWR VPWR _76088_/A sky130_fd_sc_hd__or2_2
+XFILLER_106_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_557_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42478_ _42587_/A VGND VGND VPWR VPWR _42478_/X sky130_fd_sc_hd__buf_1
+XFILLER_694_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_673_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73298_ _73295_/A _73295_/B _68240_/A VGND VGND VPWR VPWR _73301_/A sky130_fd_sc_hd__nand3_2
+XFILLER_31_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47005_ _47004_/X _71752_/B _71680_/B _46979_/X VGND VGND VPWR VPWR _47006_/B sky130_fd_sc_hd__o22a_4
+XFILLER_475_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44217_ _44217_/A _44264_/B VGND VGND VPWR VPWR _44223_/B sky130_fd_sc_hd__nor2_2
+XFILLER_274_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_7_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63051_ _62409_/X _63051_/B _63050_/Y VGND VGND VPWR VPWR _63068_/A sky130_fd_sc_hd__nor3_2
+X_75037_ _75019_/A VGND VGND VPWR VPWR _75038_/B sky130_fd_sc_hd__buf_1
+XFILLER_518_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79914_ _80046_/CLK _40268_/Y VGND VGND VPWR VPWR _58557_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_679_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60263_ _59937_/A _60263_/B _60262_/Y VGND VGND VPWR VPWR _60268_/B sky130_fd_sc_hd__nor3_2
+X_41429_ _41427_/X _41429_/B VGND VGND VPWR VPWR _41429_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72249_ _76149_/A VGND VGND VPWR VPWR _74746_/A sky130_fd_sc_hd__buf_1
+XFILLER_155_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_316_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_139_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45197_ _45429_/A _45197_/B VGND VGND VPWR VPWR _45197_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_196_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_592_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_256_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62002_ _62002_/A _62002_/B _62002_/C VGND VGND VPWR VPWR _62003_/C sky130_fd_sc_hd__nor3_2
+XFILLER_690_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44148_ _44123_/X _44143_/Y _44142_/A _44147_/X VGND VGND VPWR VPWR _44148_/X sky130_fd_sc_hd__o22a_4
+XFILLER_217_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79845_ _80039_/CLK _40560_/Y VGND VGND VPWR VPWR _68151_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_304_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60194_ _59547_/A _60194_/B _60194_/C VGND VGND VPWR VPWR _60198_/B sky130_fd_sc_hd__nor3_2
+XFILLER_332_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_586_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66810_ _57516_/A _66640_/B VGND VGND VPWR VPWR _66810_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_354_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_651_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_143_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_48_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48956_ _49043_/A _54702_/A VGND VGND VPWR VPWR _48957_/A sky130_fd_sc_hd__or2_2
+XFILLER_686_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44079_ _74001_/A _44168_/A VGND VGND VPWR VPWR _44082_/B sky130_fd_sc_hd__nor2_2
+XFILLER_291_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67790_ _67790_/A _67782_/Y _67789_/Y VGND VGND VPWR VPWR _67790_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_413_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79776_ _79778_/CLK _40816_/Y VGND VGND VPWR VPWR _57722_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_43_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_354_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76988_ _76993_/CLK _76988_/D VGND VGND VPWR VPWR _76988_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_252_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_26_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_135_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_629_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47907_ _47847_/A _47910_/B VGND VGND VPWR VPWR _47908_/B sky130_fd_sc_hd__or2_2
+X_66741_ _73575_/C _66253_/X VGND VGND VPWR VPWR _66742_/C sky130_fd_sc_hd__nor2_2
+XFILLER_22_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78727_ _78717_/CLK _47908_/Y VGND VGND VPWR VPWR _63313_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_419_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_645_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_97_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75939_ _75937_/X _75939_/B VGND VGND VPWR VPWR _75939_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_410_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63953_ _78444_/Q _63412_/X VGND VGND VPWR VPWR _63953_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_430_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_410_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_350_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48887_ _48887_/A _48886_/X VGND VGND VPWR VPWR _48887_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_649_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_459_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_111_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_474_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_367_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_61_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38640_ _38659_/A VGND VGND VPWR VPWR _38656_/A sky130_fd_sc_hd__buf_1
+XFILLER_634_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62904_ _51059_/A _62745_/X VGND VGND VPWR VPWR _62905_/C sky130_fd_sc_hd__nor2_2
+X_69460_ _68888_/X _69460_/B _69460_/C VGND VGND VPWR VPWR _69464_/B sky130_fd_sc_hd__nor3_2
+XFILLER_482_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47838_ _47838_/A VGND VGND VPWR VPWR _47839_/A sky130_fd_sc_hd__buf_1
+XFILLER_61_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66672_ _80060_/Q _66350_/X VGND VGND VPWR VPWR _66674_/B sky130_fd_sc_hd__nor2_2
+XFILLER_410_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78658_ _76917_/CLK _78658_/D VGND VGND VPWR VPWR _48180_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_57_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_662_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63884_ _63884_/A _63884_/B VGND VGND VPWR VPWR _63884_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_97_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_681_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_332_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_6_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_709_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_627_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68411_ _68916_/A _68407_/Y _68410_/Y VGND VGND VPWR VPWR _68411_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_635_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65623_ _81398_/Q _65453_/B VGND VGND VPWR VPWR _65623_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_4_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77609_ _77065_/CLK _52209_/Y VGND VGND VPWR VPWR _77609_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_111_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38571_ _38567_/X _38571_/B VGND VGND VPWR VPWR _80345_/D sky130_fd_sc_hd__nand2_2
+X_62835_ _59426_/A VGND VGND VPWR VPWR _62835_/X sky130_fd_sc_hd__buf_1
+X_69391_ _69391_/A _64560_/B VGND VGND VPWR VPWR _69391_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_269_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47769_ _47759_/X VGND VGND VPWR VPWR _47776_/B sky130_fd_sc_hd__buf_1
+XFILLER_113_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78589_ _78589_/CLK _78589_/D VGND VGND VPWR VPWR _48448_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_0_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_461_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_383_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80620_ _80495_/CLK _75719_/Y VGND VGND VPWR VPWR _80620_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_399_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49508_ _49508_/A _49508_/B VGND VGND VPWR VPWR _78321_/D sky130_fd_sc_hd__nand2_2
+XFILLER_627_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68342_ _44442_/A _67855_/B VGND VGND VPWR VPWR _68345_/A sky130_fd_sc_hd__nor2_2
+XFILLER_523_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65554_ _44353_/Y _65872_/B VGND VGND VPWR VPWR _65557_/A sky130_fd_sc_hd__nor2_2
+XFILLER_65_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_560_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50780_ _50780_/A _50780_/B VGND VGND VPWR VPWR _50780_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_506_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62766_ _62292_/X _62766_/B _62765_/Y VGND VGND VPWR VPWR _62772_/B sky130_fd_sc_hd__nor3_2
+XFILLER_267_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_506_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_7_68_0_u_core.clock clkbuf_7_69_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_68_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_252_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_65_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_253_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_447_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_442_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64505_ _65248_/A VGND VGND VPWR VPWR _65065_/A sky130_fd_sc_hd__buf_1
+XFILLER_306_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49439_ _49439_/A _49438_/X VGND VGND VPWR VPWR _78338_/D sky130_fd_sc_hd__nand2_2
+X_61717_ _77997_/Q _61717_/B VGND VGND VPWR VPWR _61717_/Y sky130_fd_sc_hd__nor2_2
+X_80551_ _80535_/CLK _75979_/Y VGND VGND VPWR VPWR _80551_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_380_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_68273_ _68611_/A _68271_/Y _68273_/C VGND VGND VPWR VPWR _68273_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_52_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65485_ _56899_/A _65485_/B VGND VGND VPWR VPWR _65485_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_240_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_428_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_604_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62697_ _62697_/A _62697_/B _62696_/Y VGND VGND VPWR VPWR _62697_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_523_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_165_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67224_ _80480_/Q _67224_/B VGND VGND VPWR VPWR _67224_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_424_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64436_ _80656_/Q _64704_/B VGND VGND VPWR VPWR _64437_/C sky130_fd_sc_hd__nor2_2
+X_52450_ _52450_/A _52450_/B VGND VGND VPWR VPWR _52450_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_499_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_441_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61648_ _51312_/A _61177_/B VGND VGND VPWR VPWR _61648_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_37_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80482_ _80408_/CLK _76274_/Y VGND VGND VPWR VPWR _80482_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_178_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39123_ _39123_/A _39138_/B VGND VGND VPWR VPWR _39123_/X sky130_fd_sc_hd__or2_2
+X_51401_ _51438_/B VGND VGND VPWR VPWR _51435_/B sky130_fd_sc_hd__buf_1
+XFILLER_673_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67155_ _66333_/A VGND VGND VPWR VPWR _67323_/A sky130_fd_sc_hd__buf_1
+XFILLER_244_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_18_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52381_ _77562_/Q _52373_/X VGND VGND VPWR VPWR _52384_/A sky130_fd_sc_hd__nand2_2
+XFILLER_529_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64367_ _64334_/A VGND VGND VPWR VPWR _64367_/X sky130_fd_sc_hd__buf_1
+XFILLER_304_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61579_ _61560_/Y _61579_/B VGND VGND VPWR VPWR _61579_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_107_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_206_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_556_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54120_ _54118_/Y _54120_/B VGND VGND VPWR VPWR _54120_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_279_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66106_ _65766_/A _66104_/Y _66105_/Y VGND VGND VPWR VPWR _66106_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_355_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_558_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39054_ _39054_/A _39045_/B _80220_/Q VGND VGND VPWR VPWR _39054_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_454_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51332_ _60709_/A _51335_/B VGND VGND VPWR VPWR _51334_/A sky130_fd_sc_hd__nand2_2
+X_63318_ _78743_/Q _63873_/B VGND VGND VPWR VPWR _63319_/C sky130_fd_sc_hd__nor2_2
+XFILLER_378_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_378_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67086_ _67406_/A _67086_/B _67086_/C VGND VGND VPWR VPWR _67090_/B sky130_fd_sc_hd__nor3_2
+XFILLER_630_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64298_ _64298_/A VGND VGND VPWR VPWR _68729_/A sky130_fd_sc_hd__buf_1
+XFILLER_320_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_53_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_712_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_153_0_u_core.clock clkbuf_7_76_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_307_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_124_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81103_ _80751_/CLK _73746_/Y VGND VGND VPWR VPWR _81103_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_119_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_710_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54051_ _54051_/A _54051_/B VGND VGND VPWR VPWR _77120_/D sky130_fd_sc_hd__nand2_2
+XFILLER_395_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66037_ _65870_/Y _65871_/Y _66037_/C _66036_/Y VGND VGND VPWR VPWR _76694_/D sky130_fd_sc_hd__or4_2
+XFILLER_31_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51263_ _51261_/X _51263_/B VGND VGND VPWR VPWR _51263_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_570_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63249_ _62920_/X _63249_/B _63249_/C VGND VGND VPWR VPWR _63249_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_222_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53002_ _53002_/A _52997_/X VGND VGND VPWR VPWR _53004_/A sky130_fd_sc_hd__nand2_2
+XFILLER_175_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_634_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50214_ _50221_/A VGND VGND VPWR VPWR _50233_/B sky130_fd_sc_hd__buf_1
+XFILLER_270_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_235_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81034_ _81004_/CLK _74016_/Y VGND VGND VPWR VPWR _81034_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_650_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51194_ _77869_/Q _51194_/B VGND VGND VPWR VPWR _51196_/A sky130_fd_sc_hd__nand2_2
+XFILLER_153_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_27_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_118_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57810_ _57810_/A _57810_/B VGND VGND VPWR VPWR _57811_/C sky130_fd_sc_hd__nor2_2
+XFILLER_274_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_69_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_134_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50145_ _62088_/A _50148_/B VGND VGND VPWR VPWR _50145_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_118_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_700_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_192_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39956_ _39954_/X _39955_/Y VGND VGND VPWR VPWR _39956_/Y sky130_fd_sc_hd__nand2_2
+X_58790_ _58790_/A _56541_/B VGND VGND VPWR VPWR _58791_/C sky130_fd_sc_hd__nor2_2
+XFILLER_685_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_350_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_48_0_u_core.clock clkbuf_8_49_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_97_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_8205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67988_ _67988_/A _68307_/B VGND VGND VPWR VPWR _67988_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_162_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_161_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38907_ _38666_/A _38907_/B VGND VGND VPWR VPWR _38909_/A sky130_fd_sc_hd__or2_2
+XFILLER_530_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57741_ _57575_/A _57738_/Y _57740_/Y VGND VGND VPWR VPWR _57741_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_252_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69727_ _69575_/Y _69727_/B VGND VGND VPWR VPWR _69727_/Y sky130_fd_sc_hd__nor2_2
+X_50076_ _49991_/A _50085_/B VGND VGND VPWR VPWR _50076_/X sky130_fd_sc_hd__or2_2
+X_54953_ _54953_/A _54952_/X VGND VGND VPWR VPWR _54953_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_666_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66939_ _66939_/A _66769_/B VGND VGND VPWR VPWR _66940_/C sky130_fd_sc_hd__nor2_2
+XFILLER_682_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39887_ _39887_/A VGND VGND VPWR VPWR _40249_/A sky130_fd_sc_hd__buf_1
+XPHY_8249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_135_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_88_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_3058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_47_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53904_ _53819_/A _53904_/B VGND VGND VPWR VPWR _53904_/X sky130_fd_sc_hd__or2_2
+XPHY_7537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38838_ _38827_/A _38837_/X _80277_/Q VGND VGND VPWR VPWR _38838_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_528_3060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57672_ _56319_/A VGND VGND VPWR VPWR _57672_/X sky130_fd_sc_hd__buf_1
+XPHY_6803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69658_ _69573_/X VGND VGND VPWR VPWR _55945_/C sky130_fd_sc_hd__buf_1
+XFILLER_248_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_565_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_389_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54884_ _54884_/A _54884_/B VGND VGND VPWR VPWR _54884_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_88_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59411_ _59411_/A _59410_/Y VGND VGND VPWR VPWR _59412_/B sky130_fd_sc_hd__nor2_2
+XFILLER_331_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56623_ _56623_/A VGND VGND VPWR VPWR _56702_/B sky130_fd_sc_hd__buf_1
+XFILLER_422_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68609_ _58412_/A _68439_/X VGND VGND VPWR VPWR _68611_/B sky130_fd_sc_hd__nor2_2
+XFILLER_217_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_468_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53835_ _53835_/A _53835_/B VGND VGND VPWR VPWR _53835_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_385_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38769_ _38767_/X _38768_/Y VGND VGND VPWR VPWR _38769_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_429_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69589_ _69589_/A _69581_/B VGND VGND VPWR VPWR _69589_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_18_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_22_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_708_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40800_ _40761_/A VGND VGND VPWR VPWR _40815_/A sky130_fd_sc_hd__buf_1
+XFILLER_507_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_1411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59342_ _59825_/A _59342_/B _59342_/C VGND VGND VPWR VPWR _59343_/C sky130_fd_sc_hd__nor3_2
+X_71620_ _71620_/A VGND VGND VPWR VPWR _71621_/B sky130_fd_sc_hd__inv_8
+XFILLER_606_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80818_ _80852_/CLK _74929_/Y VGND VGND VPWR VPWR _80818_/Q sky130_fd_sc_hd__dfxtp_4
+X_56554_ _56554_/A _56554_/B VGND VGND VPWR VPWR _56555_/B sky130_fd_sc_hd__nor2_2
+XFILLER_640_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41780_ _41780_/A VGND VGND VPWR VPWR _41780_/X sky130_fd_sc_hd__buf_1
+XFILLER_186_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53766_ _53617_/A VGND VGND VPWR VPWR _53831_/A sky130_fd_sc_hd__buf_1
+XFILLER_426_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50978_ _62303_/A _50967_/B VGND VGND VPWR VPWR _50980_/A sky130_fd_sc_hd__nand2_2
+XFILLER_99_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_444_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_429_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55505_ _55301_/X _55505_/B VGND VGND VPWR VPWR _55506_/B sky130_fd_sc_hd__nor2_2
+XFILLER_429_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40731_ _40611_/A _40741_/B VGND VGND VPWR VPWR _40733_/A sky130_fd_sc_hd__or2_2
+XFILLER_623_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52717_ _60734_/A _52717_/B VGND VGND VPWR VPWR _52717_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_509_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59273_ _59273_/A _59273_/B VGND VGND VPWR VPWR _59274_/C sky130_fd_sc_hd__nor2_2
+XFILLER_268_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71551_ _70460_/D _71551_/B VGND VGND VPWR VPWR _71551_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_55_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56485_ _56366_/X _56481_/Y _56484_/Y VGND VGND VPWR VPWR _56493_/A sky130_fd_sc_hd__nor3_2
+X_80749_ _80714_/CLK _75191_/Y VGND VGND VPWR VPWR _69290_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_129_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53697_ _77215_/Q _53700_/B VGND VGND VPWR VPWR _53697_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_407_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_81_0_u_core.clock clkbuf_9_81_0_u_core.clock/A VGND VGND VPWR VPWR _77454_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_240_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_125_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58224_ _58473_/A _58224_/B _58223_/Y VGND VGND VPWR VPWR _58230_/B sky130_fd_sc_hd__nor3_2
+X_70502_ _70502_/A VGND VGND VPWR VPWR _70503_/A sky130_fd_sc_hd__inv_8
+XFILLER_622_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43450_ _43447_/X _43450_/B VGND VGND VPWR VPWR _43450_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_19_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55436_ _55436_/A _55435_/Y VGND VGND VPWR VPWR _55436_/X sky130_fd_sc_hd__or2_2
+XFILLER_600_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74270_ _74147_/A _74161_/A VGND VGND VPWR VPWR _74272_/A sky130_fd_sc_hd__or2_2
+XFILLER_70_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40662_ _40638_/X VGND VGND VPWR VPWR _40681_/A sky130_fd_sc_hd__buf_1
+XPHY_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52648_ _77491_/Q _52643_/X VGND VGND VPWR VPWR _52650_/A sky130_fd_sc_hd__nand2_2
+XFILLER_639_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71482_ _70383_/A _71482_/B VGND VGND VPWR VPWR _71488_/B sky130_fd_sc_hd__nor2_2
+XFILLER_227_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_361_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_674_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_657_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_340_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42401_ _46695_/A VGND VGND VPWR VPWR _43010_/A sky130_fd_sc_hd__buf_1
+XFILLER_404_2997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73221_ _73235_/A _73226_/B _65773_/A VGND VGND VPWR VPWR _73225_/A sky130_fd_sc_hd__nand3_2
+XFILLER_52_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58155_ _80613_/Q _57911_/B VGND VGND VPWR VPWR _58157_/B sky130_fd_sc_hd__nor2_2
+X_70433_ _70001_/A _70020_/B VGND VGND VPWR VPWR _70433_/X sky130_fd_sc_hd__or2_2
+XFILLER_322_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43381_ _71187_/B _43378_/B VGND VGND VPWR VPWR _43383_/A sky130_fd_sc_hd__or2_2
+XFILLER_24_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55367_ _45213_/A _55312_/X VGND VGND VPWR VPWR _55368_/B sky130_fd_sc_hd__nor2_2
+XFILLER_201_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_28_0_u_core.clock clkbuf_9_29_0_u_core.clock/A VGND VGND VPWR VPWR _78338_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_142_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40593_ _40593_/A _40589_/X VGND VGND VPWR VPWR _40593_/X sky130_fd_sc_hd__or2_2
+XFILLER_717_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52579_ _52578_/X VGND VGND VPWR VPWR _52579_/X sky130_fd_sc_hd__buf_1
+XPHY_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_502_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45120_ _45120_/A _45091_/B VGND VGND VPWR VPWR _45120_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_199_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57106_ _57027_/A _57103_/Y _57105_/Y VGND VGND VPWR VPWR _57110_/B sky130_fd_sc_hd__nor3_2
+XFILLER_459_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_401_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_674_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_32_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42332_ _41887_/A _79493_/Q VGND VGND VPWR VPWR _42333_/B sky130_fd_sc_hd__nand2_2
+X_54318_ _54227_/X _54315_/B VGND VGND VPWR VPWR _54318_/X sky130_fd_sc_hd__or2_2
+XFILLER_303_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73152_ _73148_/A _73139_/B _68242_/A VGND VGND VPWR VPWR _73156_/A sky130_fd_sc_hd__nand3_2
+XFILLER_169_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_156_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_141_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_180_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70364_ _69639_/A _70364_/B VGND VGND VPWR VPWR _70364_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_519_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58086_ _58239_/A _58086_/B _58085_/Y VGND VGND VPWR VPWR _58087_/B sky130_fd_sc_hd__nor3_2
+XFILLER_357_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_346_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_297_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55298_ _55320_/A _55297_/Y VGND VGND VPWR VPWR _55298_/X sky130_fd_sc_hd__or2_2
+XFILLER_537_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_570_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72103_ _72105_/B _72100_/B VGND VGND VPWR VPWR _72103_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_138_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_562_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_455_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45051_ _45029_/X VGND VGND VPWR VPWR _45052_/A sky130_fd_sc_hd__buf_1
+XFILLER_664_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_437_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57037_ _57037_/A VGND VGND VPWR VPWR _57196_/B sky130_fd_sc_hd__buf_1
+XFILLER_373_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42263_ _42263_/A _42255_/Y _42263_/C VGND VGND VPWR VPWR _79497_/D sky130_fd_sc_hd__nor3_2
+XFILLER_123_1472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54249_ _54248_/X _54241_/B VGND VGND VPWR VPWR _54249_/X sky130_fd_sc_hd__or2_2
+XFILLER_137_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73083_ _73089_/A _73089_/B _73083_/C VGND VGND VPWR VPWR _73085_/A sky130_fd_sc_hd__nand3_2
+X_77960_ _77956_/CLK _50867_/Y VGND VGND VPWR VPWR _62140_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_652_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_561_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70295_ _70265_/X _70275_/Y VGND VGND VPWR VPWR _70295_/X sky130_fd_sc_hd__and2_2
+XFILLER_153_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_592_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_275_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44002_ _44208_/A VGND VGND VPWR VPWR _44218_/A sky130_fd_sc_hd__buf_1
+XFILLER_316_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41214_ _41209_/X _41228_/B _67133_/A VGND VGND VPWR VPWR _41214_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_715_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_689_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76911_ _76911_/CLK _54842_/Y VGND VGND VPWR VPWR _76911_/Q sky130_fd_sc_hd__dfxtp_4
+X_72034_ _72034_/A _72033_/Y VGND VGND VPWR VPWR _72034_/X sky130_fd_sc_hd__or2_2
+XFILLER_292_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_158_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_298_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42194_ _42255_/B VGND VGND VPWR VPWR _42194_/X sky130_fd_sc_hd__buf_1
+X_77891_ _77891_/CLK _51121_/Y VGND VGND VPWR VPWR _62726_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_502_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_414_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_353_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_171_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48810_ _48803_/A VGND VGND VPWR VPWR _48810_/X sky130_fd_sc_hd__buf_1
+XFILLER_611_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79630_ _79568_/CLK _41399_/Y VGND VGND VPWR VPWR _79630_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_687_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41145_ _41143_/X _41144_/Y VGND VGND VPWR VPWR _41145_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76842_ _76842_/CLK _76842_/D VGND VGND VPWR VPWR _41673_/B sky130_fd_sc_hd__dfxtp_4
+XPHY_21021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_313_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49790_ _49676_/X _49764_/X VGND VGND VPWR VPWR _49790_/X sky130_fd_sc_hd__or2_2
+XFILLER_45_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_3084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58988_ _59110_/A VGND VGND VPWR VPWR _62548_/A sky130_fd_sc_hd__buf_1
+XFILLER_316_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_140_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_31588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48741_ _48741_/A _48740_/X VGND VGND VPWR VPWR _48741_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79561_ _79565_/CLK _41779_/Y _41771_/X VGND VGND VPWR VPWR _58849_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_234_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45953_ _42658_/B _45959_/B VGND VGND VPWR VPWR _45953_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_117_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41076_ _41028_/X VGND VGND VPWR VPWR _41076_/X sky130_fd_sc_hd__buf_1
+XPHY_30854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57939_ _57705_/A _57938_/Y VGND VGND VPWR VPWR _57939_/Y sky130_fd_sc_hd__nor2_2
+XPHY_31599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76773_ _76768_/CLK _55800_/Y VGND VGND VPWR VPWR _76773_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_279_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73985_ _73744_/A _73985_/B VGND VGND VPWR VPWR _73985_/X sky130_fd_sc_hd__or2_2
+XFILLER_171_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_466_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_212_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_79_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78512_ _78530_/CLK _48751_/Y VGND VGND VPWR VPWR _63428_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40027_ _40018_/X _40011_/X _79978_/Q VGND VGND VPWR VPWR _40028_/B sky130_fd_sc_hd__nand3_2
+XPHY_8761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44904_ _44925_/A VGND VGND VPWR VPWR _55093_/C sky130_fd_sc_hd__inv_8
+XFILLER_616_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75724_ _75722_/X _75723_/Y VGND VGND VPWR VPWR _80619_/D sky130_fd_sc_hd__nand2_2
+XFILLER_456_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_583_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_564_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60950_ _60163_/A VGND VGND VPWR VPWR _61106_/B sky130_fd_sc_hd__buf_1
+XPHY_8772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48672_ _48730_/A _48646_/X VGND VGND VPWR VPWR _48673_/B sky130_fd_sc_hd__or2_2
+XPHY_30898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72936_ _74774_/A VGND VGND VPWR VPWR _73955_/A sky130_fd_sc_hd__buf_1
+XFILLER_310_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79492_ _78988_/Q _79492_/D _42318_/X VGND VGND VPWR VPWR _79492_/Q sky130_fd_sc_hd__dfstp_4
+XFILLER_188_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_706_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45884_ _70641_/Y _45694_/A VGND VGND VPWR VPWR _45884_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_581_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_568_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_78_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_120_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59609_ _59609_/A _59608_/Y VGND VGND VPWR VPWR _59610_/B sky130_fd_sc_hd__nor2_2
+X_47623_ _63204_/A _47608_/B VGND VGND VPWR VPWR _47625_/A sky130_fd_sc_hd__nand2_2
+XFILLER_663_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_327_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78443_ _78102_/CLK _49022_/Y VGND VGND VPWR VPWR _49020_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_564_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_38_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_447_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44835_ _44835_/A _44821_/X VGND VGND VPWR VPWR _44839_/B sky130_fd_sc_hd__nor2_2
+XFILLER_78_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75655_ _75653_/X _75654_/Y VGND VGND VPWR VPWR _75655_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_644_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_583_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60881_ _60881_/A _61037_/B VGND VGND VPWR VPWR _60881_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_466_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72867_ _73778_/A _72857_/B VGND VGND VPWR VPWR _72867_/X sky130_fd_sc_hd__or2_2
+XFILLER_672_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_169_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_583_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_267_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_632_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62620_ _76772_/Q _61999_/X VGND VGND VPWR VPWR _62621_/C sky130_fd_sc_hd__nor2_2
+XFILLER_267_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74606_ _74603_/X _74606_/B VGND VGND VPWR VPWR _80895_/D sky130_fd_sc_hd__nand2_2
+XFILLER_293_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_482_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47554_ _63533_/A _47544_/B VGND VGND VPWR VPWR _47556_/A sky130_fd_sc_hd__nand2_2
+XFILLER_19_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71818_ _71793_/A _71818_/B _71815_/Y _71817_/Y VGND VGND VPWR VPWR _71821_/A sky130_fd_sc_hd__nor4_2
+X_78374_ _78593_/CLK _78374_/D VGND VGND VPWR VPWR _49277_/A sky130_fd_sc_hd__dfxtp_4
+X_44766_ _44766_/A _44752_/X VGND VGND VPWR VPWR _44766_/Y sky130_fd_sc_hd__nor2_2
+XPHY_29340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75586_ _75598_/A VGND VGND VPWR VPWR _75700_/B sky130_fd_sc_hd__buf_1
+X_41978_ _79096_/Q VGND VGND VPWR VPWR _41978_/Y sky130_fd_sc_hd__inv_8
+XFILLER_605_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72798_ _72796_/X _72797_/Y VGND VGND VPWR VPWR _72798_/Y sky130_fd_sc_hd__nand2_2
+XPHY_29351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46505_ _46506_/A _46505_/B VGND VGND VPWR VPWR _46505_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_613_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_460_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77325_ _77353_/CLK _53276_/Y VGND VGND VPWR VPWR _53274_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_1_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43717_ _43717_/A _43716_/X VGND VGND VPWR VPWR _43717_/X sky130_fd_sc_hd__or2_4
+X_62551_ _78146_/Q _62389_/B VGND VGND VPWR VPWR _62552_/C sky130_fd_sc_hd__nor2_2
+XFILLER_444_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74537_ _74537_/A _74536_/X VGND VGND VPWR VPWR _74537_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_34_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40929_ _40568_/A _40915_/B VGND VGND VPWR VPWR _40931_/A sky130_fd_sc_hd__or2_2
+XFILLER_1_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_424_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71749_ _71749_/A VGND VGND VPWR VPWR _71750_/A sky130_fd_sc_hd__inv_8
+X_47485_ _47483_/Y _47484_/X VGND VGND VPWR VPWR _78829_/D sky130_fd_sc_hd__nand2_2
+XFILLER_499_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_451_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44697_ _44610_/A _44697_/B _44696_/Y VGND VGND VPWR VPWR _44697_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_679_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61502_ _61502_/A _60883_/B VGND VGND VPWR VPWR _61503_/C sky130_fd_sc_hd__nor2_2
+XFILLER_223_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_618_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49224_ _49222_/Y _49223_/X VGND VGND VPWR VPWR _78389_/D sky130_fd_sc_hd__nand2_2
+XFILLER_56_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46436_ _46436_/A VGND VGND VPWR VPWR _47105_/A sky130_fd_sc_hd__inv_8
+XFILLER_692_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65270_ _81012_/Q _64914_/B VGND VGND VPWR VPWR _65271_/C sky130_fd_sc_hd__nor2_2
+XFILLER_397_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77256_ _77120_/CLK _77256_/D VGND VGND VPWR VPWR _59736_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_403_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43648_ _42776_/B _43640_/X VGND VGND VPWR VPWR _43649_/C sky130_fd_sc_hd__nor2_2
+XFILLER_382_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62482_ _62482_/A _62170_/X VGND VGND VPWR VPWR _62482_/Y sky130_fd_sc_hd__nor2_2
+X_74468_ _74399_/A VGND VGND VPWR VPWR _74486_/B sky130_fd_sc_hd__buf_1
+XFILLER_692_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_403_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_679_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_438_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64221_ _64168_/A _64221_/B _64220_/Y VGND VGND VPWR VPWR _64221_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_43_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_76207_ _76199_/A _76194_/B _64885_/A VGND VGND VPWR VPWR _76208_/B sky130_fd_sc_hd__nand3_2
+XPHY_18193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_501_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61433_ _61417_/Y _61433_/B VGND VGND VPWR VPWR _61433_/Y sky130_fd_sc_hd__nor2_2
+X_49155_ _49155_/A _49140_/X VGND VGND VPWR VPWR _49157_/A sky130_fd_sc_hd__nand2_2
+XFILLER_657_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73419_ _73400_/A VGND VGND VPWR VPWR _73433_/B sky130_fd_sc_hd__buf_1
+XFILLER_15_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_537_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46367_ _46114_/A _46369_/B VGND VGND VPWR VPWR _46368_/B sky130_fd_sc_hd__or2_2
+XFILLER_203_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77187_ _77686_/CLK _53807_/Y VGND VGND VPWR VPWR _60196_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43579_ _43576_/A _43579_/B _43579_/C VGND VGND VPWR VPWR _43579_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_182_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74399_ _74399_/A VGND VGND VPWR VPWR _74538_/B sky130_fd_sc_hd__buf_1
+XFILLER_301_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_395_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_565_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48106_ _48106_/A _48120_/B VGND VGND VPWR VPWR _48109_/A sky130_fd_sc_hd__nand2_2
+XFILLER_160_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45318_ _45368_/A _45317_/Y VGND VGND VPWR VPWR _45319_/B sky130_fd_sc_hd__nor2_2
+XFILLER_618_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64152_ _64075_/A VGND VGND VPWR VPWR _64153_/A sky130_fd_sc_hd__buf_1
+X_76138_ _76147_/A _76142_/B _80513_/Q VGND VGND VPWR VPWR _76139_/B sky130_fd_sc_hd__nand3_2
+XFILLER_297_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_198_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_4_2_0_u_core.clock clkbuf_4_3_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_4_2_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_375_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61364_ _61052_/A _61362_/Y _61364_/C VGND VGND VPWR VPWR _61364_/Y sky130_fd_sc_hd__nor3_2
+X_49086_ _49074_/A VGND VGND VPWR VPWR _49099_/B sky130_fd_sc_hd__buf_1
+XFILLER_223_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46298_ _46292_/A _46296_/Y _46298_/C VGND VGND VPWR VPWR _79021_/D sky130_fd_sc_hd__nor3_2
+XFILLER_195_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_392_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_363_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_580_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_297_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63103_ _63571_/A _63095_/Y _63102_/Y VGND VGND VPWR VPWR _63104_/B sky130_fd_sc_hd__nor3_2
+XFILLER_69_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_571_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48037_ _48037_/A _48037_/B VGND VGND VPWR VPWR _48037_/X sky130_fd_sc_hd__or2_2
+X_60315_ _60315_/A _60313_/Y _60314_/Y VGND VGND VPWR VPWR _60316_/C sky130_fd_sc_hd__nor3_2
+XFILLER_163_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_129_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45249_ _69604_/A _45278_/B VGND VGND VPWR VPWR _45276_/B sky130_fd_sc_hd__nor2_2
+XPHY_34170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68960_ _80618_/Q _68461_/X VGND VGND VPWR VPWR _68961_/C sky130_fd_sc_hd__nor2_2
+X_64083_ _64083_/A VGND VGND VPWR VPWR _64119_/A sky130_fd_sc_hd__buf_1
+XFILLER_698_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76069_ _76084_/A VGND VGND VPWR VPWR _76210_/B sky130_fd_sc_hd__buf_1
+XFILLER_89_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_176_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61295_ _61295_/A _60820_/B VGND VGND VPWR VPWR _61295_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_506_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_116_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67911_ _80996_/Q _67750_/B VGND VGND VPWR VPWR _67913_/B sky130_fd_sc_hd__nor2_2
+XFILLER_518_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63034_ _62877_/A _63024_/Y _63034_/C VGND VGND VPWR VPWR _63034_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_190_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60246_ _60246_/A _59761_/B VGND VGND VPWR VPWR _60246_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_102_1567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68891_ _68891_/A _68723_/B VGND VGND VPWR VPWR _68892_/C sky130_fd_sc_hd__nor2_2
+XFILLER_128_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_115_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_373_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_252_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39810_ _39833_/A VGND VGND VPWR VPWR _39816_/B sky130_fd_sc_hd__buf_1
+XFILLER_304_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_338_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67842_ _67842_/A _67842_/B VGND VGND VPWR VPWR _67842_/Y sky130_fd_sc_hd__nor2_2
+X_79828_ _79824_/CLK _79828_/D VGND VGND VPWR VPWR _56752_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_694_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60177_ _59695_/A _60177_/B _60176_/Y VGND VGND VPWR VPWR _60177_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_89_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_436_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_67_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49988_ _49988_/A _50000_/B VGND VGND VPWR VPWR _49988_/X sky130_fd_sc_hd__or2_2
+XPHY_32790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39741_ _38472_/A VGND VGND VPWR VPWR _40254_/A sky130_fd_sc_hd__buf_1
+XFILLER_668_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_217_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48939_ _78464_/Q _48921_/B VGND VGND VPWR VPWR _48939_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_648_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67773_ _64249_/A VGND VGND VPWR VPWR _68336_/A sky130_fd_sc_hd__buf_1
+XFILLER_258_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79759_ _80013_/CLK _40878_/Y VGND VGND VPWR VPWR _56261_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_28_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64985_ _68753_/A VGND VGND VPWR VPWR _64985_/X sky130_fd_sc_hd__buf_1
+XFILLER_707_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_341_0_u_core.clock clkbuf_8_170_0_u_core.clock/X VGND VGND VPWR VPWR _78739_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_484_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_334_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_410_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69512_ _69512_/A _69508_/Y _69512_/C VGND VGND VPWR VPWR _69520_/B sky130_fd_sc_hd__nor3_2
+XFILLER_22_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66724_ _80477_/Q _66724_/B VGND VGND VPWR VPWR _66724_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_350_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39672_ _39670_/X _39671_/Y VGND VGND VPWR VPWR _80064_/D sky130_fd_sc_hd__nand2_2
+X_51950_ _77675_/Q _51950_/B VGND VGND VPWR VPWR _51950_/Y sky130_fd_sc_hd__nand2_2
+X_63936_ _63936_/A _59267_/A VGND VGND VPWR VPWR _63936_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_80_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_113_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_629_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_347_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_582_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_332_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_674_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_148_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_242_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38623_ _38742_/A _38631_/B VGND VGND VPWR VPWR _38623_/X sky130_fd_sc_hd__or2_2
+XFILLER_523_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50901_ _50901_/A _50900_/X VGND VGND VPWR VPWR _50901_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_285_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69443_ _69303_/A _69443_/B _69442_/Y VGND VGND VPWR VPWR _69443_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_328_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_217_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66655_ _66655_/A VGND VGND VPWR VPWR _66990_/B sky130_fd_sc_hd__buf_1
+XFILLER_289_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51881_ _51732_/A VGND VGND VPWR VPWR _51939_/A sky130_fd_sc_hd__buf_1
+XFILLER_427_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63867_ _59181_/A _63866_/Y VGND VGND VPWR VPWR _63867_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_582_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_469_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_681_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_410_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_363_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_2_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53620_ _53616_/Y _53619_/X VGND VGND VPWR VPWR _53620_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_484_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_662_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65606_ _80886_/Q _65431_/X VGND VGND VPWR VPWR _65608_/B sky130_fd_sc_hd__nor2_2
+XFILLER_187_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38554_ _38554_/A _38558_/B VGND VGND VPWR VPWR _38554_/X sky130_fd_sc_hd__or2_2
+X_50832_ _62141_/A _50822_/B VGND VGND VPWR VPWR _50834_/A sky130_fd_sc_hd__nand2_2
+XFILLER_560_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62818_ _62977_/A _62818_/B _62817_/Y VGND VGND VPWR VPWR _62818_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_622_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69374_ _79917_/Q _69236_/B VGND VGND VPWR VPWR _69375_/C sky130_fd_sc_hd__nor2_2
+XFILLER_187_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66586_ _66586_/A _67254_/B VGND VGND VPWR VPWR _66589_/B sky130_fd_sc_hd__nor2_2
+XFILLER_547_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63798_ _63228_/A _63798_/B _63797_/Y VGND VGND VPWR VPWR _63799_/C sky130_fd_sc_hd__nor3_2
+XFILLER_623_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_595_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_253_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80603_ _80597_/CLK _80603_/D VGND VGND VPWR VPWR _80603_/Q sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_9_457_0_u_core.clock clkbuf_9_457_0_u_core.clock/A VGND VGND VPWR VPWR _80902_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_68325_ _68829_/A _68320_/Y _68325_/C VGND VGND VPWR VPWR _68325_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_326_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_309_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_697_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53551_ _77254_/Q _53551_/B VGND VGND VPWR VPWR _53553_/A sky130_fd_sc_hd__nand2_2
+X_65537_ _65693_/A _65537_/B _65536_/Y VGND VGND VPWR VPWR _65546_/B sky130_fd_sc_hd__nor3_2
+XFILLER_37_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_348_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_603_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38485_ _38474_/X _38489_/B _69030_/A VGND VGND VPWR VPWR _38485_/Y sky130_fd_sc_hd__nand3_2
+X_50763_ _50763_/A _50766_/B VGND VGND VPWR VPWR _50764_/B sky130_fd_sc_hd__or2_2
+XFILLER_588_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62749_ _62749_/A _62907_/B VGND VGND VPWR VPWR _62749_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_228_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52502_ _61251_/A _52494_/X VGND VGND VPWR VPWR _52502_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_631_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_77_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56270_ _56304_/A VGND VGND VPWR VPWR _57650_/A sky130_fd_sc_hd__buf_1
+XFILLER_414_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80534_ _80535_/CLK _76042_/Y VGND VGND VPWR VPWR _65575_/A sky130_fd_sc_hd__dfxtp_4
+X_68256_ _67600_/A _68255_/Y VGND VGND VPWR VPWR _68256_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_52_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53482_ _53631_/A VGND VGND VPWR VPWR _53515_/A sky130_fd_sc_hd__buf_1
+XFILLER_224_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65468_ _65467_/X VGND VGND VPWR VPWR _65471_/A sky130_fd_sc_hd__buf_1
+X_50694_ _50692_/Y _50693_/X VGND VGND VPWR VPWR _78005_/D sky130_fd_sc_hd__nand2_2
+XFILLER_576_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_378_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_209_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_398_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_161_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_304_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55221_ _69998_/A _55221_/B VGND VGND VPWR VPWR _55222_/B sky130_fd_sc_hd__nor2_2
+XFILLER_718_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67207_ _80704_/Q _67040_/B VGND VGND VPWR VPWR _67210_/B sky130_fd_sc_hd__nor2_2
+XFILLER_368_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52433_ _52311_/X _52451_/B VGND VGND VPWR VPWR _52434_/B sky130_fd_sc_hd__or2_2
+XPHY_25309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64419_ _64419_/A _64094_/Y _64419_/C _64418_/Y VGND VGND VPWR VPWR _76685_/D sky130_fd_sc_hd__or4_2
+XFILLER_205_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68187_ _80742_/Q _68187_/B VGND VGND VPWR VPWR _68187_/Y sky130_fd_sc_hd__nor2_2
+X_80465_ _80465_/CLK _76336_/Y VGND VGND VPWR VPWR _80465_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_538_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_40_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65399_ _65572_/A _65399_/B _65398_/Y VGND VGND VPWR VPWR _65399_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_395_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_153 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_194_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39106_ _39102_/X _39106_/B VGND VGND VPWR VPWR _80206_/D sky130_fd_sc_hd__nand2_2
+XFILLER_33_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67138_ _67138_/A _66800_/X VGND VGND VPWR VPWR _67138_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_138_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55152_ _55228_/C _55151_/X _41673_/C _55209_/B VGND VGND VPWR VPWR _55152_/X sky130_fd_sc_hd__o22a_4
+XFILLER_142_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52364_ _52334_/A _52364_/B VGND VGND VPWR VPWR _52364_/X sky130_fd_sc_hd__or2_2
+XPHY_24619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80396_ _80370_/CLK _80396_/D VGND VGND VPWR VPWR _80396_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_532_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_419_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_72_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_202_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54103_ _54103_/A _54062_/A VGND VGND VPWR VPWR _54103_/X sky130_fd_sc_hd__or2_2
+XFILLER_519_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39037_ _38676_/A _39047_/B VGND VGND VPWR VPWR _39039_/A sky130_fd_sc_hd__or2_2
+X_51315_ _51315_/A _51315_/B VGND VGND VPWR VPWR _77844_/D sky130_fd_sc_hd__nand2_2
+XFILLER_484_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_166_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_267_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59960_ _59960_/A _59809_/B VGND VGND VPWR VPWR _59960_/Y sky130_fd_sc_hd__nor2_2
+X_55083_ _55047_/A _55082_/Y VGND VGND VPWR VPWR _55084_/B sky130_fd_sc_hd__nor2_2
+X_67069_ _66735_/A _67065_/Y _67068_/Y VGND VGND VPWR VPWR _67069_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_516_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_543_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52295_ _52293_/Y _52295_/B VGND VGND VPWR VPWR _77585_/D sky130_fd_sc_hd__nand2_2
+XFILLER_193_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_194_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54034_ _54043_/A VGND VGND VPWR VPWR _54046_/B sky130_fd_sc_hd__buf_1
+X_58911_ _59535_/A VGND VGND VPWR VPWR _60209_/A sky130_fd_sc_hd__buf_1
+XFILLER_88_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51246_ _53063_/A VGND VGND VPWR VPWR _51247_/B sky130_fd_sc_hd__buf_1
+XFILLER_134_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70080_ _69907_/X _69912_/Y VGND VGND VPWR VPWR _70080_/X sky130_fd_sc_hd__or2_2
+XPHY_13439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_11_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59891_ _59891_/A VGND VGND VPWR VPWR _59892_/B sky130_fd_sc_hd__buf_1
+XFILLER_159_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_292_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_686_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81017_ _80923_/CLK _81017_/D VGND VGND VPWR VPWR _66105_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_135_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_587_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_137_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_5_26_0_u_core.clock clkbuf_4_13_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_5_26_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_58842_ _58842_/A _56358_/B VGND VGND VPWR VPWR _58843_/C sky130_fd_sc_hd__nor2_2
+XFILLER_270_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51177_ _51175_/Y _51177_/B VGND VGND VPWR VPWR _51177_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_630_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_101_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_435_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_136_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_296_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_657_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_350_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_96_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50128_ _62869_/A _50142_/B VGND VGND VPWR VPWR _50131_/A sky130_fd_sc_hd__nand2_2
+XFILLER_231_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_710_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39939_ _39957_/A VGND VGND VPWR VPWR _39947_/B sky130_fd_sc_hd__buf_1
+XFILLER_114_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58773_ _56290_/A _58771_/Y _58772_/Y VGND VGND VPWR VPWR _58773_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_311_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55985_ _55985_/A VGND VGND VPWR VPWR _55986_/B sky130_fd_sc_hd__buf_1
+XFILLER_172_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_270_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57724_ _57879_/A _57718_/Y _57723_/Y VGND VGND VPWR VPWR _57724_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_686_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42950_ _42948_/X _42949_/Y VGND VGND VPWR VPWR _42950_/Y sky130_fd_sc_hd__nand2_2
+X_73770_ _73649_/A _73778_/B VGND VGND VPWR VPWR _73774_/A sky130_fd_sc_hd__or2_2
+X_50059_ _50003_/A _50059_/B VGND VGND VPWR VPWR _50060_/B sky130_fd_sc_hd__or2_2
+X_54936_ _54945_/A VGND VGND VPWR VPWR _54948_/B sky130_fd_sc_hd__buf_1
+XFILLER_311_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_606_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_587_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70982_ _70265_/X VGND VGND VPWR VPWR _70982_/Y sky130_fd_sc_hd__inv_8
+XFILLER_368_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_626_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_152_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41901_ _46561_/A VGND VGND VPWR VPWR _41902_/A sky130_fd_sc_hd__buf_1
+XPHY_39104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72721_ _72721_/A _72714_/B _81356_/Q VGND VGND VPWR VPWR _72721_/Y sky130_fd_sc_hd__nand3_2
+XPHY_7367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_1506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57655_ _57655_/A _57655_/B VGND VGND VPWR VPWR _57658_/B sky130_fd_sc_hd__nor2_2
+XPHY_39115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42881_ _73384_/A _72122_/A VGND VGND VPWR VPWR _42904_/A sky130_fd_sc_hd__or2_2
+XFILLER_76_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54867_ _59650_/A _54867_/B VGND VGND VPWR VPWR _54867_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_311_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_464_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_166_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_663_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_483_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_346_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_248_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_75_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_264_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_641_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44620_ _44620_/A _44620_/B VGND VGND VPWR VPWR _44620_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56606_ _80306_/Q _56606_/B VGND VGND VPWR VPWR _56608_/B sky130_fd_sc_hd__nor2_2
+XFILLER_524_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_264_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75440_ _76083_/A VGND VGND VPWR VPWR _75962_/A sky130_fd_sc_hd__buf_1
+XFILLER_287_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_3055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41832_ wbs_dat_i[3] VGND VGND VPWR VPWR _46665_/A sky130_fd_sc_hd__inv_8
+XPHY_38414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53818_ _77183_/Q _53818_/B VGND VGND VPWR VPWR _53818_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_406_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72652_ _72251_/A _72652_/B VGND VGND VPWR VPWR _72654_/A sky130_fd_sc_hd__or2_2
+XPHY_5932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57586_ _57586_/A _57427_/X VGND VGND VPWR VPWR _57586_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54798_ _54798_/A _54804_/B VGND VGND VPWR VPWR _54798_/X sky130_fd_sc_hd__or2_2
+XFILLER_426_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_723_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_602_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_543_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_3088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71603_ _71735_/A _71603_/B _71602_/Y VGND VGND VPWR VPWR _71603_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_461_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59325_ _59325_/A _59325_/B VGND VGND VPWR VPWR _59325_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_2_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44551_ _74764_/A _44535_/B VGND VGND VPWR VPWR _44552_/C sky130_fd_sc_hd__nor2_2
+XFILLER_16_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56537_ _56525_/Y _56537_/B VGND VGND VPWR VPWR _56538_/B sky130_fd_sc_hd__nor2_2
+XFILLER_95_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75371_ _75369_/X _75371_/B VGND VGND VPWR VPWR _75371_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_543_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_43_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41763_ _41883_/A VGND VGND VPWR VPWR _41764_/A sky130_fd_sc_hd__buf_1
+XFILLER_216_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53749_ _53664_/A _53758_/B VGND VGND VPWR VPWR _53750_/B sky130_fd_sc_hd__or2_2
+XFILLER_406_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_327_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72583_ _72335_/A _72576_/B VGND VGND VPWR VPWR _72585_/A sky130_fd_sc_hd__or2_2
+XFILLER_324_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_164_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77110_ _77112_/CLK _77110_/D VGND VGND VPWR VPWR _59398_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43502_ _43502_/A VGND VGND VPWR VPWR _55304_/A sky130_fd_sc_hd__buf_1
+XFILLER_229_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74322_ _74202_/A _74311_/B VGND VGND VPWR VPWR _74324_/A sky130_fd_sc_hd__or2_2
+XFILLER_641_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40714_ _40707_/A _40700_/B _66503_/A VGND VGND VPWR VPWR _40715_/B sky130_fd_sc_hd__nand3_2
+XFILLER_524_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47270_ _79052_/Q _47276_/B VGND VGND VPWR VPWR _47270_/X sky130_fd_sc_hd__and2_2
+X_59256_ _59256_/A _59443_/B VGND VGND VPWR VPWR _59256_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_38_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71534_ _75495_/A VGND VGND VPWR VPWR _73181_/A sky130_fd_sc_hd__buf_1
+XFILLER_594_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78090_ _78092_/CLK _78090_/D VGND VGND VPWR VPWR _78090_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_204_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44482_ _44338_/Y _44507_/B VGND VGND VPWR VPWR _44482_/X sky130_fd_sc_hd__or2_2
+XFILLER_189_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_696_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56468_ _56465_/X _56468_/B _56468_/C VGND VGND VPWR VPWR _56468_/Y sky130_fd_sc_hd__nor3_2
+XPHY_27245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_207_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_38_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41694_ _79106_/Q _41698_/B VGND VGND VPWR VPWR _41725_/C sky130_fd_sc_hd__nor2_2
+XFILLER_598_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_627_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_674_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46221_ _43010_/A _46220_/Y VGND VGND VPWR VPWR _79034_/D sky130_fd_sc_hd__nor2_2
+X_58207_ _57409_/A VGND VGND VPWR VPWR _58215_/A sky130_fd_sc_hd__buf_1
+XFILLER_25_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77041_ _77082_/CLK _54355_/Y VGND VGND VPWR VPWR _77041_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_27278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43433_ _43377_/A VGND VGND VPWR VPWR _43433_/X sky130_fd_sc_hd__buf_1
+XFILLER_403_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55419_ _55408_/X _55418_/X VGND VGND VPWR VPWR _76812_/D sky130_fd_sc_hd__nand2_2
+XFILLER_519_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74253_ _74253_/A _74260_/B VGND VGND VPWR VPWR _74256_/A sky130_fd_sc_hd__or2_2
+XPHY_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40645_ _40374_/X _40645_/B VGND VGND VPWR VPWR _40645_/X sky130_fd_sc_hd__or2_2
+XFILLER_262_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71465_ _70994_/Y _71465_/B VGND VGND VPWR VPWR _71466_/B sky130_fd_sc_hd__or2_2
+XFILLER_227_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59187_ _59112_/A VGND VGND VPWR VPWR _63005_/A sky130_fd_sc_hd__buf_1
+XPHY_16021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_400_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_393_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56399_ _56322_/A VGND VGND VPWR VPWR _57545_/A sky130_fd_sc_hd__buf_1
+XFILLER_657_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_73_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_205_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73204_ _74089_/A VGND VGND VPWR VPWR _75017_/A sky130_fd_sc_hd__buf_1
+XFILLER_40_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_693_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_73_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46152_ _43153_/Y _46152_/B VGND VGND VPWR VPWR _46152_/X sky130_fd_sc_hd__or2_2
+X_70416_ _79521_/Q VGND VGND VPWR VPWR _70416_/Y sky130_fd_sc_hd__inv_8
+X_58138_ _57340_/A VGND VGND VPWR VPWR _58138_/X sky130_fd_sc_hd__buf_1
+XFILLER_259_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43364_ _72154_/B _43476_/B VGND VGND VPWR VPWR _43364_/X sky130_fd_sc_hd__or2_2
+XFILLER_201_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74184_ _74024_/X _74173_/B VGND VGND VPWR VPWR _74184_/X sky130_fd_sc_hd__or2_2
+XFILLER_507_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40576_ _40576_/A VGND VGND VPWR VPWR _40577_/A sky130_fd_sc_hd__buf_1
+XPHY_25854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_90_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71396_ _71389_/A _71312_/X VGND VGND VPWR VPWR _71396_/X sky130_fd_sc_hd__and2_2
+XFILLER_671_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_185_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_675_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45103_ _45368_/A _45102_/Y VGND VGND VPWR VPWR _45104_/B sky130_fd_sc_hd__nor2_2
+XFILLER_674_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42315_ _41887_/X _42312_/Y _42315_/C VGND VGND VPWR VPWR _42315_/X sky130_fd_sc_hd__or3_2
+XFILLER_394_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73135_ _74961_/A _73135_/B VGND VGND VPWR VPWR _73135_/X sky130_fd_sc_hd__or2_2
+XPHY_25887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46083_ _43211_/X _46696_/A VGND VGND VPWR VPWR _46083_/X sky130_fd_sc_hd__and2_2
+XFILLER_12_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58069_ _57818_/A _58069_/B VGND VGND VPWR VPWR _58070_/C sky130_fd_sc_hd__nor2_2
+X_70347_ _70346_/X VGND VGND VPWR VPWR _70347_/X sky130_fd_sc_hd__buf_1
+XFILLER_670_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_240_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43295_ _42934_/A _43294_/X VGND VGND VPWR VPWR _43295_/X sky130_fd_sc_hd__or2_2
+XPHY_14630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78992_ _79559_/CLK _46608_/X VGND VGND VPWR VPWR io_out[16] sky130_fd_sc_hd__dfxtp_4
+XFILLER_103_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_537_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60100_ _60100_/A _60100_/B VGND VGND VPWR VPWR _60101_/C sky130_fd_sc_hd__nor2_2
+XFILLER_723_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49911_ _49909_/Y _49910_/X VGND VGND VPWR VPWR _78213_/D sky130_fd_sc_hd__nand2_2
+XFILLER_177_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45034_ _45031_/Y _45078_/B VGND VGND VPWR VPWR _45034_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_138_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42246_ _79498_/Q VGND VGND VPWR VPWR _45237_/A sky130_fd_sc_hd__buf_1
+XFILLER_687_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61080_ _60758_/A _61080_/B _61080_/C VGND VGND VPWR VPWR _61080_/Y sky130_fd_sc_hd__nor3_2
+X_73066_ _42958_/A _73072_/B VGND VGND VPWR VPWR _73067_/B sky130_fd_sc_hd__or2_2
+X_77943_ _77950_/CLK _77943_/D VGND VGND VPWR VPWR _61994_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_6 io_in[18] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_136_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_553_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70278_ _70278_/A _70317_/A VGND VGND VPWR VPWR _70280_/B sky130_fd_sc_hd__nor2_2
+XFILLER_695_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_316_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_292_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60031_ _60824_/A VGND VGND VPWR VPWR _60519_/B sky130_fd_sc_hd__buf_1
+XFILLER_334_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72017_ _72017_/A _42475_/A VGND VGND VPWR VPWR _72024_/B sky130_fd_sc_hd__and2_2
+XPHY_13962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49842_ _49842_/A _49845_/B VGND VGND VPWR VPWR _49842_/X sky130_fd_sc_hd__or2_2
+XFILLER_84_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_676_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42177_ _42177_/A _42176_/Y _42177_/C VGND VGND VPWR VPWR _42177_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_531_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_193_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77874_ _77872_/CLK _77874_/D VGND VGND VPWR VPWR _51178_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_152_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_113_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_550_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_113_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79613_ _79610_/CLK _79613_/D VGND VGND VPWR VPWR _66783_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_453_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41128_ _41144_/A _41136_/B _79700_/Q VGND VGND VPWR VPWR _41128_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_583_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_677_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76825_ _76843_/CLK _55229_/X VGND VGND VPWR VPWR _55225_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_611_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49773_ _49773_/A _49765_/X VGND VGND VPWR VPWR _49773_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_45_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_98_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46985_ _46985_/A _46985_/B VGND VGND VPWR VPWR _46986_/B sky130_fd_sc_hd__and2_2
+XFILLER_691_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_231_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_132_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_286_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48724_ _48724_/A _48724_/B VGND VGND VPWR VPWR _48724_/X sky130_fd_sc_hd__or2_2
+XPHY_9292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79544_ _78988_/Q _41899_/X _41897_/X VGND VGND VPWR VPWR _45006_/A sky130_fd_sc_hd__dfstp_4
+XFILLER_668_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45936_ _45946_/A _45936_/B _45936_/C VGND VGND VPWR VPWR _79065_/D sky130_fd_sc_hd__nor3_2
+X_41059_ _41059_/A _41054_/B _58019_/A VGND VGND VPWR VPWR _41059_/Y sky130_fd_sc_hd__nand3_2
+XPHY_30684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64770_ _81329_/Q _64770_/B VGND VGND VPWR VPWR _64772_/B sky130_fd_sc_hd__nor2_2
+XFILLER_110_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_488_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76756_ _78580_/CLK _76756_/D VGND VGND VPWR VPWR _76756_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_485_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61982_ _61982_/A _62140_/B VGND VGND VPWR VPWR _61982_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_79_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73968_ _73965_/X _73968_/B VGND VGND VPWR VPWR _73968_/Y sky130_fd_sc_hd__nand2_2
+XPHY_30695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_483_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63721_ _78378_/Q _63125_/X VGND VGND VPWR VPWR _63722_/C sky130_fd_sc_hd__nor2_2
+X_75707_ _76068_/A _75712_/B VGND VGND VPWR VPWR _75707_/X sky130_fd_sc_hd__or2_2
+XFILLER_583_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60933_ _60933_/A VGND VGND VPWR VPWR _61246_/B sky130_fd_sc_hd__buf_1
+XFILLER_310_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48655_ _63713_/A _48652_/B VGND VGND VPWR VPWR _48658_/A sky130_fd_sc_hd__nand2_2
+XFILLER_724_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72919_ _73941_/A _72937_/B VGND VGND VPWR VPWR _72921_/A sky130_fd_sc_hd__or2_2
+XFILLER_466_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79475_ _79136_/CLK _42582_/Y VGND VGND VPWR VPWR _79475_/Q sky130_fd_sc_hd__dfxtp_4
+X_45867_ _45840_/X VGND VGND VPWR VPWR _45878_/A sky130_fd_sc_hd__buf_1
+XFILLER_212_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76687_ _76689_/CLK _76687_/D VGND VGND VPWR VPWR _70781_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_247_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_188_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73899_ _73896_/X _73898_/Y VGND VGND VPWR VPWR _73899_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_3_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_23_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_114_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47606_ _47603_/Y _47605_/X VGND VGND VPWR VPWR _78804_/D sky130_fd_sc_hd__nand2_2
+XFILLER_681_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66440_ _66273_/A _66440_/B _66439_/Y VGND VGND VPWR VPWR _66440_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_671_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78426_ _78428_/CLK _49088_/Y VGND VGND VPWR VPWR _63699_/A sky130_fd_sc_hd__dfxtp_4
+X_44818_ _44818_/A _44818_/B VGND VGND VPWR VPWR _44818_/X sky130_fd_sc_hd__and2_2
+XFILLER_48_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_78_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63652_ _63199_/X _63648_/Y _63651_/Y VGND VGND VPWR VPWR _63653_/C sky130_fd_sc_hd__nor3_2
+XFILLER_1_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75638_ _75656_/A VGND VGND VPWR VPWR _75650_/B sky130_fd_sc_hd__buf_1
+XFILLER_384_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_368_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60864_ _60864_/A _60859_/Y _60864_/C VGND VGND VPWR VPWR _60865_/C sky130_fd_sc_hd__nor3_2
+XFILLER_187_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48586_ _48586_/A _48583_/B VGND VGND VPWR VPWR _48587_/B sky130_fd_sc_hd__or2_2
+XFILLER_427_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_3_5_1_u_core.clock clkbuf_3_5_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_3_5_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_307_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45798_ _45798_/A _45798_/B _45798_/C VGND VGND VPWR VPWR _45799_/C sky130_fd_sc_hd__nor3_2
+XPHY_39660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_282_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_507_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_56_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62603_ _62448_/A _62595_/Y _62602_/Y VGND VGND VPWR VPWR _62603_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_542_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_520_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_640_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47537_ _49167_/A _47537_/B VGND VGND VPWR VPWR _53351_/A sky130_fd_sc_hd__or2_2
+XFILLER_19_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66371_ _66857_/A _66371_/B VGND VGND VPWR VPWR _66372_/C sky130_fd_sc_hd__nor2_2
+XPHY_39693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78357_ _76729_/CLK _78357_/D VGND VGND VPWR VPWR _61811_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_507_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44749_ _44749_/A _44748_/X VGND VGND VPWR VPWR _44749_/X sky130_fd_sc_hd__and2_2
+XFILLER_39_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63583_ _63435_/X _63581_/Y _63582_/Y VGND VGND VPWR VPWR _63583_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_620_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75569_ _75560_/A _75554_/B _75569_/C VGND VGND VPWR VPWR _75570_/B sky130_fd_sc_hd__nand3_2
+XFILLER_405_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60795_ _60795_/A _60793_/Y _60794_/Y VGND VGND VPWR VPWR _60795_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_223_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_35_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68110_ _64255_/X VGND VGND VPWR VPWR _68776_/A sky130_fd_sc_hd__buf_1
+XFILLER_63_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_228_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65322_ _65322_/A _65320_/Y _65321_/Y VGND VGND VPWR VPWR _65322_/Y sky130_fd_sc_hd__nor3_2
+X_77308_ _76882_/CLK _53356_/Y VGND VGND VPWR VPWR _77308_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_75_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38270_ _38265_/X _38270_/B VGND VGND VPWR VPWR _80420_/D sky130_fd_sc_hd__nand2_2
+XFILLER_108_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62534_ _59378_/A VGND VGND VPWR VPWR _63001_/B sky130_fd_sc_hd__buf_1
+XFILLER_34_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69090_ _64531_/A _69088_/Y _69089_/Y VGND VGND VPWR VPWR _69090_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_342_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47468_ _47461_/A VGND VGND VPWR VPWR _47481_/B sky130_fd_sc_hd__buf_1
+XFILLER_700_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78288_ _78281_/CLK _49633_/Y VGND VGND VPWR VPWR _62264_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_211_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49207_ _49198_/A VGND VGND VPWR VPWR _49208_/B sky130_fd_sc_hd__buf_1
+XFILLER_225_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68041_ _67375_/A _68039_/Y _68040_/Y VGND VGND VPWR VPWR _68041_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_460_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65253_ _65911_/A _65250_/Y _65253_/C VGND VGND VPWR VPWR _65254_/C sky130_fd_sc_hd__nor3_2
+XFILLER_601_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46419_ _43152_/A _46419_/B VGND VGND VPWR VPWR _46419_/X sky130_fd_sc_hd__or2_2
+X_77239_ _77241_/CLK _77239_/D VGND VGND VPWR VPWR _77239_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_76_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62465_ _76771_/Q _61999_/X VGND VGND VPWR VPWR _62466_/C sky130_fd_sc_hd__nor2_2
+XFILLER_22_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_131_0_u_core.clock clkbuf_8_65_0_u_core.clock/X VGND VGND VPWR VPWR _78809_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_245_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_460_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47399_ _47415_/B VGND VGND VPWR VPWR _47399_/X sky130_fd_sc_hd__buf_1
+XFILLER_222_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_653_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_403_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_438_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_280_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_176_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_379_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64204_ _66563_/A VGND VGND VPWR VPWR _69036_/A sky130_fd_sc_hd__buf_1
+XFILLER_584_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_194_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49138_ _49167_/A _49225_/A VGND VGND VPWR VPWR _54879_/A sky130_fd_sc_hd__or2_2
+XFILLER_176_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61416_ _61416_/A _61412_/Y _61416_/C VGND VGND VPWR VPWR _61416_/Y sky130_fd_sc_hd__nor3_2
+X_80250_ _80304_/CLK _80250_/D VGND VGND VPWR VPWR _80250_/Q sky130_fd_sc_hd__dfxtp_4
+X_65184_ _65692_/A _65184_/B _65183_/Y VGND VGND VPWR VPWR _65184_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_91_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62396_ _60834_/A VGND VGND VPWR VPWR _62397_/B sky130_fd_sc_hd__buf_1
+XFILLER_340_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_581_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_714_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_376_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_240_0_u_core.clock clkbuf_8_241_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_481_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_297_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_477_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_148_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64135_ _65879_/A VGND VGND VPWR VPWR _69302_/B sky130_fd_sc_hd__buf_1
+XFILLER_124_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_175_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49069_ _49069_/A _49044_/A VGND VGND VPWR VPWR _49070_/B sky130_fd_sc_hd__or2_2
+X_61347_ _77394_/Q _61347_/B VGND VGND VPWR VPWR _61347_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_199_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80181_ _80195_/CLK _39224_/Y VGND VGND VPWR VPWR _65542_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_635_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69992_ _69992_/A VGND VGND VPWR VPWR _70720_/A sky130_fd_sc_hd__inv_8
+XFILLER_713_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_159_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_12_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51100_ _51098_/Y _51100_/B VGND VGND VPWR VPWR _77897_/D sky130_fd_sc_hd__nand2_2
+XFILLER_702_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52080_ _52078_/Y _52079_/X VGND VGND VPWR VPWR _77641_/D sky130_fd_sc_hd__nand2_2
+XFILLER_356_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68943_ _69365_/A _68934_/Y _68943_/C VGND VGND VPWR VPWR _68943_/Y sky130_fd_sc_hd__nor3_2
+X_64066_ _64066_/A _64066_/B VGND VGND VPWR VPWR _64066_/X sky130_fd_sc_hd__or2_2
+XFILLER_540_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_434_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61278_ _61278_/A _61278_/B _61277_/Y VGND VGND VPWR VPWR _61278_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_102_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_247_0_u_core.clock clkbuf_9_247_0_u_core.clock/A VGND VGND VPWR VPWR _79626_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_478_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_254_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51031_ _51031_/A _51035_/B VGND VGND VPWR VPWR _51034_/A sky130_fd_sc_hd__nand2_2
+XFILLER_490_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63017_ _62864_/A _63015_/Y _63017_/C VGND VGND VPWR VPWR _63024_/B sky130_fd_sc_hd__nor3_2
+XFILLER_117_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_695_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60229_ _59421_/A VGND VGND VPWR VPWR _60384_/B sky130_fd_sc_hd__buf_1
+XFILLER_254_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68874_ _68874_/A _68206_/B VGND VGND VPWR VPWR _68874_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_521_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_236_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_237_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_2939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_490_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_115_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67825_ _67825_/A _67825_/B VGND VGND VPWR VPWR _67825_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_271_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_232_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_629_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_213_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39724_ _39727_/A _39710_/X _80049_/Q VGND VGND VPWR VPWR _39725_/B sky130_fd_sc_hd__nand3_2
+XFILLER_369_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_135_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55770_ _55793_/B VGND VGND VPWR VPWR _55792_/B sky130_fd_sc_hd__buf_1
+X_67756_ _67756_/A _67261_/B VGND VGND VPWR VPWR _67756_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_258_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52982_ _53010_/A _52991_/B VGND VGND VPWR VPWR _52982_/X sky130_fd_sc_hd__or2_2
+XFILLER_8_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64968_ _64802_/A _64968_/B _64968_/C VGND VGND VPWR VPWR _64968_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_28_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_189_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_449_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_140_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_664_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54721_ _59463_/A _54727_/B VGND VGND VPWR VPWR _54723_/A sky130_fd_sc_hd__nand2_2
+XFILLER_135_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66707_ _66707_/A _66705_/Y _66707_/C VGND VGND VPWR VPWR _66708_/C sky130_fd_sc_hd__nor3_2
+XFILLER_410_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51933_ _51933_/A _51939_/B VGND VGND VPWR VPWR _51934_/B sky130_fd_sc_hd__or2_2
+X_39655_ _39151_/A _39647_/B VGND VGND VPWR VPWR _39655_/X sky130_fd_sc_hd__or2_2
+XFILLER_230_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63919_ _63919_/A _64050_/B VGND VGND VPWR VPWR _63919_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_547_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_245_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_385_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67687_ _64091_/X VGND VGND VPWR VPWR _67687_/X sky130_fd_sc_hd__buf_1
+XFILLER_386_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64899_ _64899_/A _64899_/B VGND VGND VPWR VPWR _64899_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_484_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38606_ _38604_/X _38606_/B VGND VGND VPWR VPWR _38606_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_527_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69426_ _69426_/A _69148_/B VGND VGND VPWR VPWR _69428_/B sky130_fd_sc_hd__nor2_2
+X_57440_ _57198_/A _57437_/Y _57439_/Y VGND VGND VPWR VPWR _57440_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_73_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_625_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66638_ _66473_/X _66634_/Y _66638_/C VGND VGND VPWR VPWR _66638_/Y sky130_fd_sc_hd__nor3_2
+X_54652_ _54652_/A _54648_/X VGND VGND VPWR VPWR _54652_/X sky130_fd_sc_hd__or2_2
+XFILLER_2_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51864_ _51715_/A VGND VGND VPWR VPWR _51927_/A sky130_fd_sc_hd__buf_1
+X_39586_ _39217_/A _39594_/B VGND VGND VPWR VPWR _39588_/A sky130_fd_sc_hd__or2_2
+XFILLER_328_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_272_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_38_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_72_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_407 _54797_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_183_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53603_ _53546_/A _53609_/B VGND VGND VPWR VPWR _53604_/B sky130_fd_sc_hd__or2_2
+XFILLER_705_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_589_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_285_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38537_ _38535_/X _38537_/B VGND VGND VPWR VPWR _38537_/Y sky130_fd_sc_hd__nand2_2
+X_50815_ _50815_/A VGND VGND VPWR VPWR _50908_/B sky130_fd_sc_hd__buf_1
+XFILLER_265_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_418 _56247_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_25_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57371_ _57051_/X _57369_/Y _57371_/C VGND VGND VPWR VPWR _57372_/C sky130_fd_sc_hd__nor3_2
+XFILLER_701_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_462_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69357_ _64447_/A _69353_/Y _69357_/C VGND VGND VPWR VPWR _69357_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_74_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54583_ _60266_/A _54590_/B VGND VGND VPWR VPWR _54583_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_22_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66569_ _66734_/A _66569_/B _66569_/C VGND VGND VPWR VPWR _66570_/C sky130_fd_sc_hd__nor3_2
+XFILLER_560_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_415_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_429 _59160_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_183_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51795_ _53706_/A _51795_/B VGND VGND VPWR VPWR _51795_/X sky130_fd_sc_hd__or2_2
+XPHY_3804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_54_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_81_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59110_ _59110_/A VGND VGND VPWR VPWR _62071_/A sky130_fd_sc_hd__buf_1
+XFILLER_403_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56322_ _56322_/A VGND VGND VPWR VPWR _57102_/A sky130_fd_sc_hd__buf_1
+XPHY_3826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68308_ _68308_/A _67653_/X VGND VGND VPWR VPWR _68308_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_697_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53534_ _53534_/A _53534_/B VGND VGND VPWR VPWR _77260_/D sky130_fd_sc_hd__nand2_2
+XPHY_36319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50746_ _50744_/Y _50746_/B VGND VGND VPWR VPWR _77993_/D sky130_fd_sc_hd__nand2_2
+X_38468_ _38493_/A VGND VGND VPWR VPWR _38468_/X sky130_fd_sc_hd__buf_1
+XFILLER_243_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69288_ _69428_/A _69288_/B _69288_/C VGND VGND VPWR VPWR _69292_/B sky130_fd_sc_hd__nor3_2
+XFILLER_430_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_359_226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_675_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_595_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_506_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_214_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59041_ _58946_/X VGND VGND VPWR VPWR _59042_/A sky130_fd_sc_hd__buf_1
+XPHY_35618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80517_ _80473_/CLK _76119_/Y VGND VGND VPWR VPWR _68049_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_440_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56253_ _56252_/X VGND VGND VPWR VPWR _58836_/A sky130_fd_sc_hd__buf_1
+X_68239_ _81190_/Q _68908_/B VGND VGND VPWR VPWR _68241_/B sky130_fd_sc_hd__nor2_2
+XFILLER_224_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53465_ _53465_/A _53437_/B VGND VGND VPWR VPWR _53478_/A sky130_fd_sc_hd__or2_2
+XPHY_35629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38399_ _38399_/A VGND VGND VPWR VPWR _38400_/A sky130_fd_sc_hd__buf_1
+XFILLER_495_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50677_ _50667_/X VGND VGND VPWR VPWR _50677_/X sky130_fd_sc_hd__buf_1
+XFILLER_675_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81497_ _81499_/CLK _71775_/Y VGND VGND VPWR VPWR _66146_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_322_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55204_ _41671_/B _55173_/B VGND VGND VPWR VPWR _55204_/X sky130_fd_sc_hd__and2_2
+XFILLER_690_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40430_ _40428_/X _40429_/Y VGND VGND VPWR VPWR _79874_/D sky130_fd_sc_hd__nand2_2
+XFILLER_298_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_143_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52416_ _60959_/A _52413_/B VGND VGND VPWR VPWR _52418_/A sky130_fd_sc_hd__nand2_2
+XPHY_25139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71250_ _71244_/A _71157_/B VGND VGND VPWR VPWR _71250_/X sky130_fd_sc_hd__and2_2
+XFILLER_718_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56184_ _56172_/A _56184_/B VGND VGND VPWR VPWR _56185_/B sky130_fd_sc_hd__nor2_2
+X_80448_ _80420_/CLK _76401_/Y VGND VGND VPWR VPWR _67232_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_24405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_716_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53396_ _53454_/A _53402_/B VGND VGND VPWR VPWR _53396_/X sky130_fd_sc_hd__or2_2
+XPHY_34939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_210_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_491_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70201_ _70187_/X _70200_/Y VGND VGND VPWR VPWR _70201_/X sky130_fd_sc_hd__and2_2
+XFILLER_327_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_33_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55135_ _42550_/A _55134_/Y VGND VGND VPWR VPWR _55136_/C sky130_fd_sc_hd__nor2_2
+XFILLER_393_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40361_ _40001_/A _40354_/B VGND VGND VPWR VPWR _40363_/A sky130_fd_sc_hd__or2_2
+XFILLER_383_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52347_ _52347_/A VGND VGND VPWR VPWR _52363_/B sky130_fd_sc_hd__buf_1
+XPHY_24449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71181_ _71181_/A _71181_/B VGND VGND VPWR VPWR _71181_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_104_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80379_ _80420_/CLK _38422_/Y VGND VGND VPWR VPWR _38421_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_532_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_614_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_343_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42100_ _42100_/A _42099_/Y _42096_/C VGND VGND VPWR VPWR _42100_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_705_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70132_ _79481_/Q _70131_/Y VGND VGND VPWR VPWR _70133_/B sky130_fd_sc_hd__nor2_2
+XFILLER_272_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_193_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43080_ _46114_/A _43082_/A VGND VGND VPWR VPWR _43080_/X sky130_fd_sc_hd__or2_2
+X_59943_ _59943_/A _59943_/B VGND VGND VPWR VPWR _59943_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_382_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55066_ _47094_/C _55066_/B _55065_/Y VGND VGND VPWR VPWR _55067_/B sky130_fd_sc_hd__or3_2
+XFILLER_202_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40292_ _39790_/X _40295_/B VGND VGND VPWR VPWR _40292_/X sky130_fd_sc_hd__or2_2
+XFILLER_5_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52278_ _51524_/A VGND VGND VPWR VPWR _52278_/X sky130_fd_sc_hd__buf_1
+XFILLER_135_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_172_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_31_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42031_ _43098_/A VGND VGND VPWR VPWR _44935_/A sky130_fd_sc_hd__buf_1
+XPHY_13258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54017_ _54017_/A _54017_/B VGND VGND VPWR VPWR _54019_/A sky130_fd_sc_hd__nand2_2
+XFILLER_292_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51229_ _51229_/A VGND VGND VPWR VPWR _51280_/B sky130_fd_sc_hd__buf_1
+XPHY_12524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74940_ _72830_/B _74663_/B VGND VGND VPWR VPWR _74941_/B sky130_fd_sc_hd__or2_2
+X_70063_ _70054_/Y _70260_/C _69955_/A _70109_/A VGND VGND VPWR VPWR _70063_/X sky130_fd_sc_hd__o22a_4
+XPHY_13269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_181_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59874_ _59392_/A _59874_/B _59874_/C VGND VGND VPWR VPWR _59896_/A sky130_fd_sc_hd__nor3_2
+XFILLER_433_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_172_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_269_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_254_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_190_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_155_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58825_ _58825_/A _56309_/B VGND VGND VPWR VPWR _58827_/B sky130_fd_sc_hd__nor2_2
+XPHY_12568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_250_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74871_ _74869_/X _74871_/B VGND VGND VPWR VPWR _74871_/Y sky130_fd_sc_hd__nand2_2
+XPHY_12579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_682_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_673_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_429_219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_352_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_235_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_289_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_7_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76610_ VGND VGND VPWR VPWR _76610_/HI la_data_out[106] sky130_fd_sc_hd__conb_1
+XPHY_11867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73822_ _73821_/X _73809_/B _73822_/C VGND VGND VPWR VPWR _73823_/B sky130_fd_sc_hd__nand3_2
+XFILLER_0_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_150_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46770_ _43085_/X _46770_/B VGND VGND VPWR VPWR _46772_/B sky130_fd_sc_hd__nor2_2
+XPHY_11878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58756_ _80269_/Q _56448_/B VGND VGND VPWR VPWR _58757_/C sky130_fd_sc_hd__nor2_2
+X_77590_ _77379_/CLK _77590_/D VGND VGND VPWR VPWR _77590_/Q sky130_fd_sc_hd__dfxtp_4
+X_43982_ _72040_/A _73109_/B VGND VGND VPWR VPWR _43984_/A sky130_fd_sc_hd__nor2_2
+XPHY_7120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55968_ _55967_/X VGND VGND VPWR VPWR _55975_/A sky130_fd_sc_hd__buf_1
+XFILLER_172_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_264_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45721_ _44965_/X _45721_/B VGND VGND VPWR VPWR _45721_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_608_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57707_ _57543_/X _57671_/X _57706_/X _74470_/Y _57626_/X VGND VGND VPWR VPWR _57707_/Y
++ sky130_fd_sc_hd__a32oi_4
+X_76541_ VGND VGND VPWR VPWR _76541_/HI la_data_out[33] sky130_fd_sc_hd__conb_1
+XPHY_7153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42933_ _42933_/A VGND VGND VPWR VPWR _42948_/B sky130_fd_sc_hd__buf_1
+X_54919_ _54919_/A _54918_/X VGND VGND VPWR VPWR _76890_/D sky130_fd_sc_hd__nand2_2
+X_73753_ _73756_/A _73756_/B _73753_/C VGND VGND VPWR VPWR _73753_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_510_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70965_ _70956_/Y _70964_/X VGND VGND VPWR VPWR _70965_/X sky130_fd_sc_hd__or2_2
+XFILLER_642_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58687_ _58754_/A _58687_/B _58687_/C VGND VGND VPWR VPWR _58695_/A sky130_fd_sc_hd__nor3_2
+XFILLER_311_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_723_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55899_ _55953_/B _55898_/Y VGND VGND VPWR VPWR _55900_/B sky130_fd_sc_hd__or2_2
+XFILLER_209_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_625_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_526_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_480_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_79_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_626_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72704_ _72702_/X _72703_/Y VGND VGND VPWR VPWR _81360_/D sky130_fd_sc_hd__nand2_2
+XPHY_6452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48440_ _48411_/A _48437_/B VGND VGND VPWR VPWR _48440_/X sky130_fd_sc_hd__or2_2
+XFILLER_425_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79260_ _79271_/CLK _79260_/D VGND VGND VPWR VPWR _44013_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_5_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_111_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_467_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45652_ _45649_/A _45650_/Y _45652_/C VGND VGND VPWR VPWR _79111_/D sky130_fd_sc_hd__nor3_2
+XPHY_38200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57638_ _56836_/X VGND VGND VPWR VPWR _57873_/B sky130_fd_sc_hd__buf_1
+XFILLER_598_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76472_ VGND VGND VPWR VPWR _76472_/HI io_oeb[32] sky130_fd_sc_hd__conb_1
+X_42864_ _42860_/A _42861_/Y _42864_/C VGND VGND VPWR VPWR _42864_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_543_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_166_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73684_ _73671_/A _73671_/B _73684_/C VGND VGND VPWR VPWR _73685_/B sky130_fd_sc_hd__nand3_2
+XFILLER_445_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70896_ _71792_/A VGND VGND VPWR VPWR _70896_/X sky130_fd_sc_hd__buf_1
+XFILLER_91_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_467_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78211_ _78202_/CLK _49921_/Y VGND VGND VPWR VPWR _49919_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_406_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44603_ _44563_/A VGND VGND VPWR VPWR _44610_/A sky130_fd_sc_hd__buf_1
+XPHY_6496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75423_ _38344_/A _74664_/A VGND VGND VPWR VPWR _75453_/A sky130_fd_sc_hd__or2_2
+X_41815_ _41733_/A VGND VGND VPWR VPWR _41815_/X sky130_fd_sc_hd__buf_1
+XPHY_38244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48371_ _63854_/A _48367_/B VGND VGND VPWR VPWR _48371_/Y sky130_fd_sc_hd__nand2_2
+XPHY_5762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72635_ _72632_/A _72632_/B _67766_/A VGND VGND VPWR VPWR _72636_/B sky130_fd_sc_hd__nand3_2
+XFILLER_268_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79191_ _79197_/CLK _44796_/Y VGND VGND VPWR VPWR _79191_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_226_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45583_ _71431_/A _45580_/B VGND VGND VPWR VPWR _45584_/C sky130_fd_sc_hd__nor2_2
+XPHY_37510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57569_ _67006_/A _57569_/B VGND VGND VPWR VPWR _57569_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_428_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42795_ _42756_/A _42795_/B VGND VGND VPWR VPWR _42795_/X sky130_fd_sc_hd__and2_2
+XFILLER_422_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47322_ _47348_/A _47437_/A VGND VGND VPWR VPWR _47324_/A sky130_fd_sc_hd__or2_2
+X_59308_ _53877_/A _59308_/B VGND VGND VPWR VPWR _59309_/C sky130_fd_sc_hd__nor2_2
+XFILLER_79_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78142_ _78138_/CLK _50178_/Y VGND VGND VPWR VPWR _61923_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_183_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44534_ _44534_/A _44534_/B _44542_/C VGND VGND VPWR VPWR _44534_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_324_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_309_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_16_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75354_ _75372_/A VGND VGND VPWR VPWR _75366_/B sky130_fd_sc_hd__buf_1
+XFILLER_398_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41746_ _41753_/A VGND VGND VPWR VPWR _41746_/X sky130_fd_sc_hd__buf_1
+XPHY_38299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72566_ _72562_/X _72566_/B VGND VGND VPWR VPWR _72566_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_406_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60580_ _59937_/A _60578_/Y _60580_/C VGND VGND VPWR VPWR _60584_/B sky130_fd_sc_hd__nor3_2
+XPHY_27031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_60_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74305_ _74308_/A _74308_/B _74305_/C VGND VGND VPWR VPWR _74305_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_144_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47253_ _47293_/B _42276_/B wbs_adr_i[4] VGND VGND VPWR VPWR _47253_/X sky130_fd_sc_hd__and3_2
+XFILLER_693_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59239_ _62091_/A VGND VGND VPWR VPWR _63634_/A sky130_fd_sc_hd__buf_1
+XFILLER_71_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71517_ _71443_/X _71517_/B VGND VGND VPWR VPWR _71517_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78073_ _78586_/CLK _78073_/D VGND VGND VPWR VPWR _50446_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44465_ _44459_/A _44459_/B _44322_/A VGND VGND VPWR VPWR _44465_/Y sky130_fd_sc_hd__nor3_2
+XPHY_26330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75285_ _75406_/A _75271_/B VGND VGND VPWR VPWR _75285_/X sky130_fd_sc_hd__or2_2
+XPHY_27075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41677_ _41669_/X _41676_/X VGND VGND VPWR VPWR _41677_/X sky130_fd_sc_hd__or2_2
+XPHY_36864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72497_ _72200_/A _72482_/B VGND VGND VPWR VPWR _72499_/A sky130_fd_sc_hd__or2_2
+XFILLER_676_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_398_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_559_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46204_ _46203_/X VGND VGND VPWR VPWR _46205_/B sky130_fd_sc_hd__inv_8
+XFILLER_16_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_359_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_566_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77024_ _76998_/CLK _54422_/Y VGND VGND VPWR VPWR _77024_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_176_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43416_ _42934_/A _43415_/X VGND VGND VPWR VPWR _43418_/A sky130_fd_sc_hd__or2_2
+X_62250_ _62250_/A _62250_/B VGND VGND VPWR VPWR _62250_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_396_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74236_ _74224_/X _74235_/X _66265_/A VGND VGND VPWR VPWR _74237_/B sky130_fd_sc_hd__nand3_2
+XFILLER_347_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_125_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40628_ _40505_/X _40522_/X VGND VGND VPWR VPWR _40628_/X sky130_fd_sc_hd__or2_2
+XPHY_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47184_ _47178_/Y _47182_/Y _47184_/C VGND VGND VPWR VPWR _47184_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_654_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71448_ _70456_/X _71447_/Y VGND VGND VPWR VPWR _71448_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_535_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_600_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44396_ _44389_/Y _44390_/X _44396_/C VGND VGND VPWR VPWR _44396_/Y sky130_fd_sc_hd__nor3_2
+XPHY_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_515_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61201_ _52766_/A _61201_/B VGND VGND VPWR VPWR _61204_/B sky130_fd_sc_hd__nor2_2
+XFILLER_340_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_474_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_537_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46135_ _43116_/Y _78956_/Q _43097_/A _46783_/A VGND VGND VPWR VPWR _46135_/X sky130_fd_sc_hd__o22a_4
+XFILLER_157_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_347_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_319_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43347_ _43350_/A _43354_/B _65113_/A VGND VGND VPWR VPWR _43348_/B sky130_fd_sc_hd__nand3_2
+XFILLER_51_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74167_ _74167_/A _74167_/B VGND VGND VPWR VPWR _74167_/X sky130_fd_sc_hd__or2_2
+XFILLER_507_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62181_ _62181_/A _61709_/X VGND VGND VPWR VPWR _62181_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_576_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40559_ _40563_/A _40559_/B _68151_/A VGND VGND VPWR VPWR _40560_/B sky130_fd_sc_hd__nand3_2
+XPHY_25684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_297_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71379_ _71227_/A VGND VGND VPWR VPWR _71380_/B sky130_fd_sc_hd__buf_1
+XFILLER_357_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_86_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_459_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61132_ _61913_/A VGND VGND VPWR VPWR _61761_/A sky130_fd_sc_hd__buf_1
+XFILLER_435_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_334_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73118_ _41007_/A VGND VGND VPWR VPWR _74950_/A sky130_fd_sc_hd__buf_1
+XFILLER_396_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_632_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46066_ _55885_/B _46066_/B VGND VGND VPWR VPWR _46066_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_554_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_550_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43278_ _43263_/A _43268_/B _68260_/A VGND VGND VPWR VPWR _43278_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_220_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_353_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74098_ _74092_/X _74118_/B _66266_/A VGND VGND VPWR VPWR _74099_/B sky130_fd_sc_hd__nand3_2
+X_78975_ _78988_/Q _78975_/D _46693_/X VGND VGND VPWR VPWR _58850_/B sky130_fd_sc_hd__dfrtp_4
+XFILLER_537_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45017_ _44983_/X _44985_/X _45449_/C VGND VGND VPWR VPWR _45017_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_677_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42229_ _41995_/A VGND VGND VPWR VPWR _42263_/A sky130_fd_sc_hd__buf_1
+XFILLER_99_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61063_ _59471_/X VGND VGND VPWR VPWR _61378_/B sky130_fd_sc_hd__buf_1
+X_65940_ _65621_/A _65938_/Y _65939_/Y VGND VGND VPWR VPWR _65940_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_315_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77926_ _77515_/CLK _50989_/Y VGND VGND VPWR VPWR _77926_/Q sky130_fd_sc_hd__dfxtp_4
+X_73049_ _73049_/A _73049_/B VGND VGND VPWR VPWR _73049_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_138_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_233_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_5_0_u_core.clock clkbuf_5_2_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_6_5_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_504_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_181_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_158_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_177_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60014_ _62370_/A VGND VGND VPWR VPWR _60017_/A sky130_fd_sc_hd__buf_1
+XFILLER_114_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_648_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_253_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49825_ _49974_/A VGND VGND VPWR VPWR _49939_/A sky130_fd_sc_hd__buf_1
+XFILLER_138_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_31160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_488_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65871_ _65871_/A _65204_/B VGND VGND VPWR VPWR _65871_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_84_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77857_ _77840_/CLK _77857_/D VGND VGND VPWR VPWR _51254_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_64_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_259_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_626_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_448_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_585_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67610_ _68434_/A VGND VGND VPWR VPWR _68268_/B sky130_fd_sc_hd__buf_1
+XFILLER_476_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_151_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64822_ _64822_/A _64822_/B _64821_/Y VGND VGND VPWR VPWR _64822_/Y sky130_fd_sc_hd__nor3_2
+X_76808_ _76791_/CLK _76808_/D VGND VGND VPWR VPWR _76808_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_141_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_234_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_687_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49756_ _49756_/A _49755_/X VGND VGND VPWR VPWR _49756_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_45_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68590_ _68249_/X _68590_/B _68589_/Y VGND VGND VPWR VPWR _68591_/C sky130_fd_sc_hd__nor3_2
+XFILLER_7_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46968_ _46968_/A _46968_/B VGND VGND VPWR VPWR _46969_/B sky130_fd_sc_hd__and2_2
+XFILLER_141_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77788_ _77787_/CLK _77788_/D VGND VGND VPWR VPWR _77788_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_140_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_485_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_23_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48707_ _48705_/A VGND VGND VPWR VPWR _48723_/B sky130_fd_sc_hd__buf_1
+X_67541_ _66718_/A VGND VGND VPWR VPWR _67720_/A sky130_fd_sc_hd__buf_1
+XFILLER_724_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79527_ _79119_/CLK _42092_/Y VGND VGND VPWR VPWR _79527_/Q sky130_fd_sc_hd__dfxtp_4
+X_45919_ _43489_/A _45930_/B VGND VGND VPWR VPWR _45919_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_231_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64753_ _74268_/C _64557_/B VGND VGND VPWR VPWR _64755_/B sky130_fd_sc_hd__nor2_2
+XFILLER_283_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76739_ _78080_/CLK _76739_/D VGND VGND VPWR VPWR _45105_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_481_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49687_ _49687_/A _49687_/B VGND VGND VPWR VPWR _49687_/Y sky130_fd_sc_hd__nand2_2
+X_61965_ _51079_/A _61965_/B VGND VGND VPWR VPWR _61966_/C sky130_fd_sc_hd__nor2_2
+XFILLER_645_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46899_ _46880_/A _46899_/B _46898_/Y VGND VGND VPWR VPWR _46900_/B sky130_fd_sc_hd__or3_2
+XFILLER_428_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_266_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_632_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_466_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39440_ _39185_/A _39437_/B VGND VGND VPWR VPWR _39443_/A sky130_fd_sc_hd__or2_2
+XFILLER_449_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63704_ _59090_/A _63704_/B VGND VGND VPWR VPWR _63737_/B sky130_fd_sc_hd__nor2_2
+XFILLER_67_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60916_ _59319_/A VGND VGND VPWR VPWR _60919_/A sky130_fd_sc_hd__buf_1
+X_48638_ _48948_/A VGND VGND VPWR VPWR _48727_/A sky130_fd_sc_hd__buf_1
+X_67472_ _64259_/A VGND VGND VPWR VPWR _67473_/B sky130_fd_sc_hd__buf_1
+XFILLER_444_3061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79458_ _76842_/CLK _42769_/Y VGND VGND VPWR VPWR _69991_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_624_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64684_ _64684_/A _65013_/B VGND VGND VPWR VPWR _64684_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_247_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_706_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61896_ _61891_/X _61893_/Y _61895_/Y VGND VGND VPWR VPWR _61896_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_94_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_607_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69211_ _69489_/A _69210_/Y VGND VGND VPWR VPWR _69212_/C sky130_fd_sc_hd__nor2_2
+XFILLER_3_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66423_ _68194_/A VGND VGND VPWR VPWR _66423_/X sky130_fd_sc_hd__buf_1
+XFILLER_23_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78409_ _78561_/CLK _78409_/D VGND VGND VPWR VPWR _49152_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_522_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63635_ _63345_/A _63635_/B _63634_/Y VGND VGND VPWR VPWR _63635_/Y sky130_fd_sc_hd__nor3_2
+X_39371_ _39247_/A _39256_/A VGND VGND VPWR VPWR _39373_/A sky130_fd_sc_hd__or2_2
+XFILLER_407_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_254_177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_307_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60847_ _60847_/A VGND VGND VPWR VPWR _60865_/A sky130_fd_sc_hd__buf_1
+XFILLER_286_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48569_ _48567_/Y _48569_/B VGND VGND VPWR VPWR _78559_/D sky130_fd_sc_hd__nand2_2
+X_79389_ _79589_/CLK _79389_/D VGND VGND VPWR VPWR _79389_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_254_188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_659_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50600_ _50600_/A _50600_/B VGND VGND VPWR VPWR _78032_/D sky130_fd_sc_hd__nand2_2
+X_38322_ _38304_/A VGND VGND VPWR VPWR _38322_/X sky130_fd_sc_hd__buf_1
+XFILLER_601_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_503_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81420_ _81421_/CLK _81420_/D VGND VGND VPWR VPWR _69202_/A sky130_fd_sc_hd__dfxtp_4
+X_69142_ _69142_/A _68677_/B VGND VGND VPWR VPWR _69142_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_694_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_36_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_624_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66354_ _66674_/A _66354_/B _66354_/C VGND VGND VPWR VPWR _66360_/B sky130_fd_sc_hd__nor3_2
+XFILLER_381_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51580_ _51580_/A _51567_/X VGND VGND VPWR VPWR _51580_/X sky130_fd_sc_hd__or2_2
+XFILLER_719_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63566_ _63259_/X _63566_/B _63565_/Y VGND VGND VPWR VPWR _63570_/B sky130_fd_sc_hd__nor3_2
+XFILLER_149_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_323_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_507_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60778_ _60778_/A _60773_/Y _60777_/Y VGND VGND VPWR VPWR _60778_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_247_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_362_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2991 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_146_0_u_core.clock clkbuf_7_73_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_293_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_50_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_260_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65305_ _64788_/A _65303_/Y _65305_/C VGND VGND VPWR VPWR _65305_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_74_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38253_ _38260_/A _38260_/B _38253_/C VGND VGND VPWR VPWR _38253_/Y sky130_fd_sc_hd__nand3_2
+X_50531_ _50531_/A _50519_/X VGND VGND VPWR VPWR _50533_/A sky130_fd_sc_hd__nand2_2
+XFILLER_411_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62517_ _61890_/A _62517_/B _62516_/Y VGND VGND VPWR VPWR _62525_/B sky130_fd_sc_hd__nor3_2
+X_81351_ _81351_/CLK _72742_/Y VGND VGND VPWR VPWR _81351_/Q sky130_fd_sc_hd__dfxtp_4
+X_69073_ _43259_/C _64527_/B VGND VGND VPWR VPWR _69073_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_71_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_500_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_51_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66285_ _81338_/Q _66285_/B VGND VGND VPWR VPWR _66288_/B sky130_fd_sc_hd__nor2_2
+XFILLER_357_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_600_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63497_ _63345_/A _63493_/Y _63496_/Y VGND VGND VPWR VPWR _63497_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_400_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_162_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_206_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_51_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80302_ _80304_/CLK _80302_/D VGND VGND VPWR VPWR _38745_/C sky130_fd_sc_hd__dfxtp_4
+X_68024_ _68024_/A _68024_/B VGND VGND VPWR VPWR _68026_/B sky130_fd_sc_hd__nor2_2
+XFILLER_160_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_94_0_u_core.clock clkbuf_8_94_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_94_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_53250_ _53259_/A VGND VGND VPWR VPWR _53258_/B sky130_fd_sc_hd__buf_1
+XFILLER_197_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65236_ _65893_/A _65236_/B _65236_/C VGND VGND VPWR VPWR _65256_/A sky130_fd_sc_hd__nor3_2
+XFILLER_396_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_52_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50462_ _50461_/X VGND VGND VPWR VPWR _50462_/X sky130_fd_sc_hd__buf_1
+X_62448_ _62448_/A _62435_/Y _62448_/C VGND VGND VPWR VPWR _62448_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_301_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81282_ _81255_/CLK _81282_/D VGND VGND VPWR VPWR _67585_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_241_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_590_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_295_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_566_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_473_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_301_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_676_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52201_ _52161_/A _52197_/X VGND VGND VPWR VPWR _52202_/B sky130_fd_sc_hd__or2_2
+XFILLER_30_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_121_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_365_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_492_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80233_ _80226_/CLK _80233_/D VGND VGND VPWR VPWR _39006_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53181_ _41848_/Y _49331_/A _41849_/Y _49332_/A VGND VGND VPWR VPWR _53182_/A sky130_fd_sc_hd__o22a_4
+XFILLER_149_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65167_ _65677_/A _65167_/B _65166_/Y VGND VGND VPWR VPWR _65167_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_358_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_657_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50393_ _50393_/A _50393_/B VGND VGND VPWR VPWR _50393_/Y sky130_fd_sc_hd__nand2_2
+X_62379_ _61598_/A VGND VGND VPWR VPWR _62856_/B sky130_fd_sc_hd__buf_1
+XFILLER_30_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_416_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_653_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_526_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_11_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_633_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_514_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52132_ _52125_/A VGND VGND VPWR VPWR _52142_/B sky130_fd_sc_hd__buf_1
+XFILLER_148_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64118_ _64427_/A VGND VGND VPWR VPWR _64118_/X sky130_fd_sc_hd__buf_1
+XFILLER_258_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_618_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80164_ _80195_/CLK _39297_/Y VGND VGND VPWR VPWR _80164_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_473_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65098_ _64591_/A VGND VGND VPWR VPWR _65943_/A sky130_fd_sc_hd__buf_1
+XFILLER_356_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69975_ _55122_/A VGND VGND VPWR VPWR _70181_/A sky130_fd_sc_hd__inv_8
+XFILLER_541_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_273_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_453_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_614_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_702_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_545_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56940_ _80214_/Q _57261_/B VGND VGND VPWR VPWR _56943_/B sky130_fd_sc_hd__nor2_2
+XFILLER_416_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52063_ _52035_/A _52047_/A VGND VGND VPWR VPWR _52063_/X sky130_fd_sc_hd__or2_2
+X_68926_ _69071_/A _68926_/B VGND VGND VPWR VPWR _68927_/C sky130_fd_sc_hd__nor2_2
+XPHY_21609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64049_ _63518_/X _64047_/Y _64048_/Y VGND VGND VPWR VPWR _64049_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_572_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80095_ _80095_/CLK _39557_/Y VGND VGND VPWR VPWR _57655_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_486_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_685_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_132_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_317_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_11_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_668_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51014_ _49510_/A VGND VGND VPWR VPWR _51015_/A sky130_fd_sc_hd__buf_1
+XFILLER_695_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56871_ _56611_/A _56871_/B VGND VGND VPWR VPWR _56872_/C sky130_fd_sc_hd__nor2_2
+XFILLER_8_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68857_ _67208_/A VGND VGND VPWR VPWR _68858_/B sky130_fd_sc_hd__buf_1
+XPHY_20919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_655_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_648_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_511_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_648_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58610_ _58610_/A _58606_/Y _58610_/C VGND VGND VPWR VPWR _58611_/B sky130_fd_sc_hd__nor3_2
+XFILLER_277_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55822_ _55822_/A _55821_/X VGND VGND VPWR VPWR _55822_/Y sky130_fd_sc_hd__nand2_2
+X_67808_ _67774_/X _67807_/Y VGND VGND VPWR VPWR _67808_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_320_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59590_ _59234_/X VGND VGND VPWR VPWR _59590_/X sky130_fd_sc_hd__buf_1
+XFILLER_271_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_120_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_609_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68788_ _81321_/Q _68788_/B VGND VGND VPWR VPWR _68789_/C sky130_fd_sc_hd__nor2_2
+XFILLER_334_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_312_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_414_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_115_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_419_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_588_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_292_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39707_ _39704_/A _39692_/X _80054_/Q VGND VGND VPWR VPWR _39708_/B sky130_fd_sc_hd__nand3_2
+X_58541_ _80298_/Q _58464_/B VGND VGND VPWR VPWR _58541_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_320_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67739_ _81123_/Q _67240_/X VGND VGND VPWR VPWR _67741_/B sky130_fd_sc_hd__nor2_2
+X_55753_ _54977_/A _55756_/B VGND VGND VPWR VPWR _55754_/B sky130_fd_sc_hd__or2_2
+XFILLER_683_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52965_ _60454_/A _52965_/B VGND VGND VPWR VPWR _52967_/A sky130_fd_sc_hd__nand2_2
+XFILLER_8_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80997_ _81004_/CLK _74197_/Y VGND VGND VPWR VPWR _80997_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_707_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_46_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_74_0_u_core.clock clkbuf_9_75_0_u_core.clock/A VGND VGND VPWR VPWR _78102_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_6_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_608_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54704_ _60270_/A _54718_/B VGND VGND VPWR VPWR _54707_/A sky130_fd_sc_hd__nand2_2
+XFILLER_267_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_86_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_527_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51916_ _50005_/A _51916_/B VGND VGND VPWR VPWR _51919_/A sky130_fd_sc_hd__or2_2
+X_39638_ _39633_/A _39653_/B _58455_/A VGND VGND VPWR VPWR _39639_/B sky130_fd_sc_hd__nand3_2
+XFILLER_347_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58472_ _80969_/Q _58472_/B VGND VGND VPWR VPWR _58473_/C sky130_fd_sc_hd__nor2_2
+XFILLER_265_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70750_ _70750_/A _69945_/A VGND VGND VPWR VPWR _70752_/B sky130_fd_sc_hd__nor2_2
+XFILLER_547_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55684_ _71991_/A _55666_/B VGND VGND VPWR VPWR _55701_/A sky130_fd_sc_hd__or2_2
+XPHY_19405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52896_ _52839_/A _52899_/B VGND VGND VPWR VPWR _52896_/X sky130_fd_sc_hd__or2_2
+XFILLER_269_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_163_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_132_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_699_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_430_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57423_ _56617_/A VGND VGND VPWR VPWR _57423_/X sky130_fd_sc_hd__buf_1
+XFILLER_167_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69409_ _38989_/C _69409_/B VGND VGND VPWR VPWR _69410_/C sky130_fd_sc_hd__nor2_2
+XPHY_4313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_128_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_521_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54635_ _54664_/A _54619_/A VGND VGND VPWR VPWR _54635_/X sky130_fd_sc_hd__or2_2
+XPHY_19438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_204 _73994_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_480_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51847_ _51733_/A _51847_/B VGND VGND VPWR VPWR _51847_/X sky130_fd_sc_hd__or2_2
+XPHY_4324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39569_ _39559_/A _39559_/B _80091_/Q VGND VGND VPWR VPWR _39569_/Y sky130_fd_sc_hd__nand3_2
+XPHY_19449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70681_ _70681_/A _70680_/X VGND VGND VPWR VPWR _70682_/B sky130_fd_sc_hd__and2_2
+XFILLER_642_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_215 _75585_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_26_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_226 _75303_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_96_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_415_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_237 _76187_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_41600_ _41526_/A VGND VGND VPWR VPWR _41615_/B sky130_fd_sc_hd__buf_1
+XFILLER_260_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72420_ _72420_/A _72419_/Y VGND VGND VPWR VPWR _81436_/D sky130_fd_sc_hd__nand2_2
+XFILLER_58_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_431_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_403_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_388_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_248 _38544_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_57354_ _57354_/A _57031_/B VGND VGND VPWR VPWR _57355_/C sky130_fd_sc_hd__nor2_2
+XFILLER_74_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42580_ _42580_/A _42580_/B VGND VGND VPWR VPWR _42581_/C sky130_fd_sc_hd__and2_2
+XFILLER_199_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54566_ _59823_/A _54569_/B VGND VGND VPWR VPWR _54568_/A sky130_fd_sc_hd__nand2_2
+XFILLER_677_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_259 _39790_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_204_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_701_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_54_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51778_ _51835_/A _51790_/B VGND VGND VPWR VPWR _51778_/X sky130_fd_sc_hd__or2_2
+XPHY_4379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56305_ _58049_/A VGND VGND VPWR VPWR _57014_/A sky130_fd_sc_hd__buf_1
+XFILLER_302_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_501_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41531_ _41527_/X _41530_/Y VGND VGND VPWR VPWR _41531_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_265_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53517_ _53517_/A _53514_/B VGND VGND VPWR VPWR _53517_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_57_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72351_ _72326_/A _72350_/X _69481_/A VGND VGND VPWR VPWR _72351_/Y sky130_fd_sc_hd__nand3_2
+XPHY_3667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50729_ _50785_/A _50695_/X VGND VGND VPWR VPWR _50730_/B sky130_fd_sc_hd__or2_2
+XFILLER_42_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57285_ _57285_/A _57196_/B VGND VGND VPWR VPWR _57287_/B sky130_fd_sc_hd__nor2_2
+XFILLER_35_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54497_ _54495_/Y _54496_/X VGND VGND VPWR VPWR _54497_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_187_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_186_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59024_ _59023_/X VGND VGND VPWR VPWR _59349_/A sky130_fd_sc_hd__buf_1
+X_71302_ _43577_/A _71108_/B VGND VGND VPWR VPWR _71302_/X sky130_fd_sc_hd__and2_2
+XFILLER_671_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56236_ _64348_/A _56666_/B VGND VGND VPWR VPWR _56236_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_375_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44250_ _65712_/A _44041_/X VGND VGND VPWR VPWR _44250_/X sky130_fd_sc_hd__and2_2
+XPHY_2966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75070_ _75192_/A _75070_/B VGND VGND VPWR VPWR _75070_/X sky130_fd_sc_hd__or2_2
+XFILLER_497_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_341_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41462_ _41460_/X _41461_/Y VGND VGND VPWR VPWR _79613_/D sky130_fd_sc_hd__nand2_2
+XFILLER_243_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53448_ _53448_/A _53448_/B VGND VGND VPWR VPWR _53448_/X sky130_fd_sc_hd__or2_2
+X_72282_ _75536_/A VGND VGND VPWR VPWR _74774_/A sky130_fd_sc_hd__buf_1
+XFILLER_100_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43201_ _43201_/A _43193_/Y _43201_/C VGND VGND VPWR VPWR _43201_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_690_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74021_ _74015_/A _74026_/B _81033_/Q VGND VGND VPWR VPWR _74021_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_224_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40413_ _39146_/A VGND VGND VPWR VPWR _40414_/A sky130_fd_sc_hd__buf_1
+XPHY_24224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71233_ _71233_/A VGND VGND VPWR VPWR _71355_/B sky130_fd_sc_hd__buf_1
+XFILLER_690_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44181_ _44018_/Y _44046_/X VGND VGND VPWR VPWR _44181_/X sky130_fd_sc_hd__and2_2
+XFILLER_122_1537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56167_ _56179_/A _56167_/B VGND VGND VPWR VPWR _56168_/C sky130_fd_sc_hd__nor2_2
+XFILLER_439_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41393_ _41392_/X VGND VGND VPWR VPWR _41394_/B sky130_fd_sc_hd__buf_1
+XFILLER_393_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53379_ _53379_/A _53278_/X VGND VGND VPWR VPWR _53405_/B sky130_fd_sc_hd__or2_2
+XPHY_34769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_237_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_178_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_452_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_13_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_298_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43132_ _43135_/A VGND VGND VPWR VPWR _43133_/A sky130_fd_sc_hd__inv_8
+XFILLER_544_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55118_ _55129_/A VGND VGND VPWR VPWR _55158_/B sky130_fd_sc_hd__buf_1
+XPHY_13000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40344_ _39984_/A _40341_/B VGND VGND VPWR VPWR _40347_/A sky130_fd_sc_hd__or2_2
+XFILLER_371_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71164_ _71082_/A _71164_/B VGND VGND VPWR VPWR _72029_/B sky130_fd_sc_hd__nor2_2
+XPHY_13011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56098_ _56098_/A VGND VGND VPWR VPWR _56111_/A sky130_fd_sc_hd__buf_1
+XFILLER_237_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_519_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_202_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_515_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70115_ _70114_/X VGND VGND VPWR VPWR _70116_/B sky130_fd_sc_hd__inv_8
+XPHY_13044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_331_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_319_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_308_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47940_ _47940_/A _47939_/X VGND VGND VPWR VPWR _47940_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_455_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43063_ _43063_/A _43049_/Y _43063_/C VGND VGND VPWR VPWR _43210_/C sky130_fd_sc_hd__or3_2
+X_59926_ _59447_/A _59924_/Y _59926_/C VGND VGND VPWR VPWR _59926_/Y sky130_fd_sc_hd__nor3_2
+X_78760_ _78756_/CLK _78760_/D VGND VGND VPWR VPWR _47775_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_137_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55049_ _42469_/A _55047_/X _55048_/Y VGND VGND VPWR VPWR _55049_/X sky130_fd_sc_hd__or3_2
+XPHY_12310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40275_ _40278_/A _40271_/X _58393_/A VGND VGND VPWR VPWR _40276_/B sky130_fd_sc_hd__nand3_2
+XFILLER_5_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75972_ _75978_/A _75983_/B _68701_/A VGND VGND VPWR VPWR _75973_/B sky130_fd_sc_hd__nand3_2
+XPHY_23589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71095_ _70121_/X _71094_/X VGND VGND VPWR VPWR _71095_/X sky130_fd_sc_hd__and2_2
+XFILLER_133_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_649_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42014_ _42013_/Y _42014_/B VGND VGND VPWR VPWR _42014_/Y sky130_fd_sc_hd__nor2_2
+XPHY_13088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77711_ _77203_/CLK _51816_/Y VGND VGND VPWR VPWR _77711_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_720_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_65_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74923_ _74921_/X _74923_/B VGND VGND VPWR VPWR _74923_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_313_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70046_ _70046_/A VGND VGND VPWR VPWR _70725_/C sky130_fd_sc_hd__buf_1
+XFILLER_123_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47871_ _63610_/A _47860_/B VGND VGND VPWR VPWR _47873_/A sky130_fd_sc_hd__nand2_2
+XFILLER_500_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59857_ _59534_/A _59857_/B _59856_/Y VGND VGND VPWR VPWR _59857_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_172_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78691_ _78699_/CLK _78691_/D VGND VGND VPWR VPWR _78691_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_12365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_457_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_152_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_688_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_46_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49610_ _61801_/A _49610_/B VGND VGND VPWR VPWR _49610_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_627_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_46_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_312_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46822_ _43195_/Y _46822_/B VGND VGND VPWR VPWR _46822_/Y sky130_fd_sc_hd__nor2_2
+XPHY_11653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58808_ _38626_/C _58808_/B VGND VGND VPWR VPWR _58810_/B sky130_fd_sc_hd__nor2_2
+XFILLER_469_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77642_ _77660_/CLK _77642_/D VGND VGND VPWR VPWR _77642_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_250_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74854_ _74872_/A VGND VGND VPWR VPWR _74866_/B sky130_fd_sc_hd__buf_1
+XFILLER_457_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_428_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59788_ _59788_/A _59943_/B VGND VGND VPWR VPWR _59788_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_324_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_3072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_20_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_10952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73805_ _73805_/A _73791_/B _67248_/A VGND VGND VPWR VPWR _73806_/B sky130_fd_sc_hd__nand3_2
+XFILLER_484_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49541_ _49541_/A _49541_/B VGND VGND VPWR VPWR _78314_/D sky130_fd_sc_hd__nand2_2
+XFILLER_438_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46753_ _46753_/A _46753_/B _46753_/C VGND VGND VPWR VPWR _46753_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_249_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58739_ _39110_/C _58538_/B VGND VGND VPWR VPWR _58740_/C sky130_fd_sc_hd__nor2_2
+XFILLER_482_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77573_ _77567_/CLK _52343_/Y VGND VGND VPWR VPWR _60489_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_231_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43965_ _43951_/A _43963_/Y _43965_/C VGND VGND VPWR VPWR _43966_/C sky130_fd_sc_hd__nor3_2
+XFILLER_153_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74785_ _74776_/A _74767_/B _80854_/Q VGND VGND VPWR VPWR _74786_/B sky130_fd_sc_hd__nand3_2
+XFILLER_627_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71997_ _71997_/A _71997_/B _71997_/C VGND VGND VPWR VPWR _71997_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_215_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_428_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_114_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_549_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79312_ _79315_/CLK _79312_/D VGND VGND VPWR VPWR _71776_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_599_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45704_ _45694_/Y _45703_/Y VGND VGND VPWR VPWR _45705_/B sky130_fd_sc_hd__nor2_2
+XFILLER_643_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_621_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_453_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76524_ VGND VGND VPWR VPWR _76524_/HI la_data_out[16] sky130_fd_sc_hd__conb_1
+XFILLER_465_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42916_ _42916_/A _42930_/B VGND VGND VPWR VPWR _42918_/A sky130_fd_sc_hd__or2_2
+XFILLER_287_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61750_ _78213_/Q _62064_/B VGND VGND VPWR VPWR _61752_/B sky130_fd_sc_hd__nor2_2
+XFILLER_2_3170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49472_ _49472_/A _49471_/X VGND VGND VPWR VPWR _49472_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_725_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73736_ _73736_/A _73735_/Y VGND VGND VPWR VPWR _73736_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_428_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_425_233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46684_ _46626_/A VGND VGND VPWR VPWR _46684_/X sky130_fd_sc_hd__buf_1
+XFILLER_225_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70948_ _70948_/A _70948_/B VGND VGND VPWR VPWR _70948_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_723_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_264_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_4_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43896_ _43896_/A _43909_/A VGND VGND VPWR VPWR _43897_/B sky130_fd_sc_hd__nor2_2
+XPHY_6260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_185_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_237_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60701_ _60701_/A _60384_/B VGND VGND VPWR VPWR _60701_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_340_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48423_ _48394_/A _48422_/X VGND VGND VPWR VPWR _48423_/X sky130_fd_sc_hd__or2_2
+XPHY_6282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79243_ _79248_/CLK _79243_/D VGND VGND VPWR VPWR _44031_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_263_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_706_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_441_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_59_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45635_ _71886_/A _45638_/B VGND VGND VPWR VPWR _45635_/Y sky130_fd_sc_hd__nor2_2
+X_76455_ VGND VGND VPWR VPWR _76455_/HI io_oeb[15] sky130_fd_sc_hd__conb_1
+XFILLER_561_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_699_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42847_ _41975_/A VGND VGND VPWR VPWR _42847_/X sky130_fd_sc_hd__buf_1
+XFILLER_75_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73667_ _73665_/X _73667_/B VGND VGND VPWR VPWR _73667_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_409_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61681_ _61681_/A _61520_/B VGND VGND VPWR VPWR _61681_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_695_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70879_ _70879_/A _70877_/X VGND VGND VPWR VPWR _70879_/X sky130_fd_sc_hd__and2_2
+XFILLER_166_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_53_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_2_0_u_core.clock clkbuf_9_3_0_u_core.clock/A VGND VGND VPWR VPWR _77863_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_578_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75406_ _75406_/A _75391_/B VGND VGND VPWR VPWR _75406_/X sky130_fd_sc_hd__or2_2
+XFILLER_568_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63420_ _63259_/X _63420_/B _63419_/Y VGND VGND VPWR VPWR _63424_/B sky130_fd_sc_hd__nor3_2
+XFILLER_51_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_248_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48354_ _48354_/A _48353_/X VGND VGND VPWR VPWR _48354_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_264_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60632_ _77534_/Q _60472_/X VGND VGND VPWR VPWR _60632_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_251_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72618_ _72616_/X _72618_/B VGND VGND VPWR VPWR _72618_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79174_ _79174_/CLK _79174_/D VGND VGND VPWR VPWR _79174_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_18_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45566_ _45552_/X _45564_/Y _45566_/C VGND VGND VPWR VPWR _79135_/D sky130_fd_sc_hd__nor3_2
+XPHY_37340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76386_ _76389_/A _76397_/B _80452_/Q VGND VGND VPWR VPWR _76386_/Y sky130_fd_sc_hd__nand3_2
+XPHY_19983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42778_ _42734_/A _42770_/Y _42777_/Y VGND VGND VPWR VPWR _79457_/D sky130_fd_sc_hd__nor3_2
+XFILLER_504_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73598_ _73596_/X _73597_/Y VGND VGND VPWR VPWR _81143_/D sky130_fd_sc_hd__nand2_2
+XFILLER_45_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_18_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47305_ _42196_/Y _47302_/X _42198_/Y _47304_/X VGND VGND VPWR VPWR _47495_/A sky130_fd_sc_hd__o22a_4
+XFILLER_72_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78125_ _78127_/CLK _78125_/D VGND VGND VPWR VPWR _78125_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_441_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44517_ _44370_/A _44517_/B _44517_/C VGND VGND VPWR VPWR _44517_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63351_ _63039_/A _63351_/B _63351_/C VGND VGND VPWR VPWR _63356_/B sky130_fd_sc_hd__nor3_2
+XFILLER_229_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75337_ _74711_/A _75351_/B VGND VGND VPWR VPWR _75337_/X sky130_fd_sc_hd__or2_2
+XFILLER_378_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_583_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41729_ wbs_dat_i[13] VGND VGND VPWR VPWR _46250_/A sky130_fd_sc_hd__inv_8
+XPHY_37384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60563_ _60563_/A _60559_/Y _60562_/Y VGND VGND VPWR VPWR _60563_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_105_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48285_ _48283_/Y _48285_/B VGND VGND VPWR VPWR _48285_/Y sky130_fd_sc_hd__nand2_2
+X_72549_ _72790_/A _72549_/B VGND VGND VPWR VPWR _72549_/X sky130_fd_sc_hd__or2_2
+XFILLER_379_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_261_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_57_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45497_ _45497_/A VGND VGND VPWR VPWR _45521_/B sky130_fd_sc_hd__buf_1
+XPHY_37395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_232_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_619_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62302_ _61993_/X _62302_/B _62301_/Y VGND VGND VPWR VPWR _62306_/B sky130_fd_sc_hd__nor3_2
+XFILLER_57_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_693_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47236_ _41937_/X VGND VGND VPWR VPWR _47238_/B sky130_fd_sc_hd__inv_8
+X_66070_ _66715_/A _66070_/B _66069_/Y VGND VGND VPWR VPWR _66070_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_441_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78056_ _78067_/CLK _78056_/D VGND VGND VPWR VPWR _62209_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44448_ _44448_/A VGND VGND VPWR VPWR _44449_/A sky130_fd_sc_hd__buf_1
+XPHY_26160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63282_ _63282_/A _63581_/B VGND VGND VPWR VPWR _63284_/B sky130_fd_sc_hd__nor2_2
+XFILLER_673_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75268_ _75265_/A _75265_/B _75268_/C VGND VGND VPWR VPWR _75269_/B sky130_fd_sc_hd__nand3_2
+XFILLER_38_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60494_ _59078_/X VGND VGND VPWR VPWR _60648_/B sky130_fd_sc_hd__buf_1
+XPHY_26171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_18_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_496_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_3065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_349_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65021_ _65021_/A _65021_/B _65021_/C VGND VGND VPWR VPWR _65021_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_654_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77007_ _78386_/CLK _54481_/Y VGND VGND VPWR VPWR _59482_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_146_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62233_ _61921_/A _62233_/B _62233_/C VGND VGND VPWR VPWR _62254_/A sky130_fd_sc_hd__nor3_2
+XFILLER_335_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74219_ _74219_/A _74218_/Y VGND VGND VPWR VPWR _74219_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_492_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_536_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47167_ _47167_/A _47167_/B _47167_/C VGND VGND VPWR VPWR _47167_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_146_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44379_ _44607_/C _44376_/Y _44378_/X VGND VGND VPWR VPWR _44384_/B sky130_fd_sc_hd__nor3_2
+XFILLER_12_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75199_ _75197_/X _75198_/Y VGND VGND VPWR VPWR _80747_/D sky130_fd_sc_hd__nand2_2
+XFILLER_392_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_73_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_591_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_474_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_376_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46118_ _45412_/A _46714_/A VGND VGND VPWR VPWR _46119_/A sky130_fd_sc_hd__or2_2
+XFILLER_319_498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_196_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62164_ _61854_/A _62162_/Y _62163_/Y VGND VGND VPWR VPWR _62164_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_470_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_710_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47098_ _47098_/A _46562_/A VGND VGND VPWR VPWR _47135_/A sky130_fd_sc_hd__or2_2
+XFILLER_337_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_51_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_370_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_334_0_u_core.clock clkbuf_9_335_0_u_core.clock/A VGND VGND VPWR VPWR _77613_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_86_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_3052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61115_ _61110_/X _61115_/B _61114_/Y VGND VGND VPWR VPWR _61115_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_322_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_392_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_306_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38940_ _38922_/A VGND VGND VPWR VPWR _38947_/B sky130_fd_sc_hd__buf_1
+XFILLER_365_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46049_ _46048_/X VGND VGND VPWR VPWR _46050_/C sky130_fd_sc_hd__inv_8
+XFILLER_216_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69760_ _69575_/Y _55901_/C VGND VGND VPWR VPWR _69775_/B sky130_fd_sc_hd__nor2_2
+XFILLER_114_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_157_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62095_ _62404_/A _62095_/B _62094_/Y VGND VGND VPWR VPWR _62096_/C sky130_fd_sc_hd__nor3_2
+X_66972_ _66480_/A _66970_/Y _66972_/C VGND VGND VPWR VPWR _66973_/C sky130_fd_sc_hd__nor3_2
+XFILLER_318_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_511_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78958_ _78896_/CLK _78958_/D VGND VGND VPWR VPWR _46120_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_455_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_154_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_151_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68711_ _80393_/Q _68878_/B VGND VGND VPWR VPWR _68712_/C sky130_fd_sc_hd__nor2_2
+XFILLER_287_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65923_ _65430_/A _65919_/Y _65923_/C VGND VGND VPWR VPWR _65932_/B sky130_fd_sc_hd__nor3_2
+X_61046_ _77457_/Q _60419_/X VGND VGND VPWR VPWR _61048_/B sky130_fd_sc_hd__nor2_2
+XFILLER_237_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_550_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77909_ _77410_/CLK _77909_/D VGND VGND VPWR VPWR _61701_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_138_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38871_ _38871_/A _38870_/Y VGND VGND VPWR VPWR _80269_/D sky130_fd_sc_hd__nand2_2
+XFILLER_511_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_511_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69691_ _69631_/B _69647_/A _69691_/C VGND VGND VPWR VPWR _69691_/X sky130_fd_sc_hd__or3_2
+XFILLER_667_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_637_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78889_ _78890_/CLK _78889_/D VGND VGND VPWR VPWR _46407_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_113_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_190_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49808_ _62372_/A _49812_/B VGND VGND VPWR VPWR _49811_/A sky130_fd_sc_hd__nand2_2
+XFILLER_665_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80920_ _80887_/CLK _74503_/Y VGND VGND VPWR VPWR _65926_/A sky130_fd_sc_hd__dfxtp_4
+X_68642_ _64351_/X VGND VGND VPWR VPWR _69254_/A sky130_fd_sc_hd__buf_1
+XFILLER_468_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65854_ _65854_/A _65854_/B VGND VGND VPWR VPWR _65855_/C sky130_fd_sc_hd__nor2_2
+XFILLER_86_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_487_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_274_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_680_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64805_ _64326_/X VGND VGND VPWR VPWR _64806_/B sky130_fd_sc_hd__buf_1
+XFILLER_312_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_99_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_626_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49739_ _49711_/A _49760_/B VGND VGND VPWR VPWR _49739_/X sky130_fd_sc_hd__or2_2
+XFILLER_136_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80851_ _80852_/CLK _80851_/D VGND VGND VPWR VPWR _80851_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_583_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68573_ _81000_/Q _68903_/B VGND VGND VPWR VPWR _68575_/B sky130_fd_sc_hd__nor2_2
+XFILLER_151_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65785_ _65630_/A _65776_/Y _65785_/C VGND VGND VPWR VPWR _65785_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_256_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62997_ _62997_/A _62997_/B _62996_/Y VGND VGND VPWR VPWR _62997_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_186_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_288_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_99_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67524_ _80642_/Q _67524_/B VGND VGND VPWR VPWR _67527_/B sky130_fd_sc_hd__nor2_2
+XFILLER_661_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52750_ _52750_/A _52750_/B VGND VGND VPWR VPWR _52750_/X sky130_fd_sc_hd__or2_2
+XFILLER_309_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64736_ _64736_/A _64736_/B VGND VGND VPWR VPWR _64777_/B sky130_fd_sc_hd__nor2_2
+X_61948_ _61948_/A _61795_/X VGND VGND VPWR VPWR _61950_/B sky130_fd_sc_hd__nor2_2
+XFILLER_82_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80782_ _80844_/CLK _80782_/D VGND VGND VPWR VPWR _75065_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_405_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_580_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_129_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51701_ _51588_/A _51675_/X VGND VGND VPWR VPWR _51701_/X sky130_fd_sc_hd__or2_2
+XFILLER_250_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39423_ _39423_/A VGND VGND VPWR VPWR _39431_/A sky130_fd_sc_hd__buf_1
+XFILLER_622_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67455_ _67455_/A _66798_/X VGND VGND VPWR VPWR _67455_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_404_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52681_ _52738_/A _52693_/B VGND VGND VPWR VPWR _52682_/B sky130_fd_sc_hd__or2_2
+XFILLER_215_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64667_ _64374_/A _64663_/Y _64666_/Y VGND VGND VPWR VPWR _64667_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_255_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_466_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61879_ _61879_/A _61556_/X VGND VGND VPWR VPWR _61880_/C sky130_fd_sc_hd__nor2_2
+XFILLER_505_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_660_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54420_ _77024_/Q _54420_/B VGND VGND VPWR VPWR _54420_/Y sky130_fd_sc_hd__nand2_2
+X_66406_ _66406_/A _66902_/B VGND VGND VPWR VPWR _66406_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_431_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39354_ _39352_/X _39353_/Y VGND VGND VPWR VPWR _80148_/D sky130_fd_sc_hd__nand2_2
+X_51632_ _51571_/X _51638_/B VGND VGND VPWR VPWR _51632_/X sky130_fd_sc_hd__or2_2
+XFILLER_184_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63618_ _78697_/Q _63325_/B VGND VGND VPWR VPWR _63619_/C sky130_fd_sc_hd__nor2_2
+XFILLER_381_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_70_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_604_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67386_ _66563_/A VGND VGND VPWR VPWR _67393_/A sky130_fd_sc_hd__buf_1
+XFILLER_71_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64598_ _68521_/A VGND VGND VPWR VPWR _66027_/A sky130_fd_sc_hd__buf_1
+XFILLER_24_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_431_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38305_ _38305_/A _38305_/B _80410_/Q VGND VGND VPWR VPWR _38305_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_325_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69125_ _64407_/A _69123_/Y _69125_/C VGND VGND VPWR VPWR _69126_/C sky130_fd_sc_hd__nor3_2
+X_81403_ _81338_/CLK _72543_/Y VGND VGND VPWR VPWR _81403_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_196_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54351_ _54231_/X _54360_/B VGND VGND VPWR VPWR _54352_/B sky130_fd_sc_hd__or2_2
+XFILLER_35_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66337_ _79930_/Q _66498_/B VGND VGND VPWR VPWR _66339_/B sky130_fd_sc_hd__nor2_2
+XFILLER_303_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39285_ _39285_/A _39284_/Y VGND VGND VPWR VPWR _39285_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_440_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51563_ _51563_/A _51586_/B VGND VGND VPWR VPWR _51563_/X sky130_fd_sc_hd__or2_2
+X_63549_ _78449_/Q _62929_/B VGND VGND VPWR VPWR _63549_/Y sky130_fd_sc_hd__nor2_2
+XPHY_16609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_672_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53302_ _53244_/A _53293_/B VGND VGND VPWR VPWR _53303_/B sky130_fd_sc_hd__or2_2
+XFILLER_500_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38236_ _38227_/X _38241_/B _69173_/A VGND VGND VPWR VPWR _38236_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_50_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50514_ _61740_/A _50514_/B VGND VGND VPWR VPWR _50514_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_574_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81334_ _81305_/CLK _72805_/Y VGND VGND VPWR VPWR _81334_/Q sky130_fd_sc_hd__dfxtp_4
+X_57070_ _57150_/A _57068_/Y _57070_/C VGND VGND VPWR VPWR _57074_/B sky130_fd_sc_hd__nor3_2
+XFILLER_320_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69056_ _69056_/A _69474_/B VGND VGND VPWR VPWR _69057_/C sky130_fd_sc_hd__nor2_2
+XPHY_1528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54282_ _54280_/Y _54281_/X VGND VGND VPWR VPWR _54282_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_10_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66268_ _66754_/A _66268_/B _66267_/Y VGND VGND VPWR VPWR _66269_/C sky130_fd_sc_hd__nor3_2
+XFILLER_492_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51494_ _51522_/A _51478_/A VGND VGND VPWR VPWR _51494_/X sky130_fd_sc_hd__or2_2
+XPHY_1539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_259_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56021_ _56009_/X _56021_/B _56021_/C VGND VGND VPWR VPWR _56022_/C sky130_fd_sc_hd__nor3_2
+XFILLER_203_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68007_ _67183_/A VGND VGND VPWR VPWR _68010_/A sky130_fd_sc_hd__buf_1
+XFILLER_140_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53233_ _53233_/A _53233_/B VGND VGND VPWR VPWR _77338_/D sky130_fd_sc_hd__nand2_2
+X_65219_ _75404_/C _65219_/B VGND VGND VPWR VPWR _65219_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_5_19_0_u_core.clock clkbuf_4_9_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_6_38_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_203_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_516_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50445_ _50445_/A _50445_/B VGND VGND VPWR VPWR _78074_/D sky130_fd_sc_hd__nand2_2
+XFILLER_197_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81265_ _81263_/CLK _81265_/D VGND VGND VPWR VPWR _64764_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_260_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66199_ _66685_/A _66196_/Y _66199_/C VGND VGND VPWR VPWR _66199_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_337_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_136_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80216_ _80226_/CLK _80216_/D VGND VGND VPWR VPWR _39067_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_353_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_560_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53164_ _53327_/A VGND VGND VPWR VPWR _54977_/A sky130_fd_sc_hd__buf_1
+XFILLER_393_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_219_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_533_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50376_ _50250_/A _50372_/X VGND VGND VPWR VPWR _50377_/B sky130_fd_sc_hd__or2_2
+X_81196_ _80813_/CLK _73396_/Y VGND VGND VPWR VPWR _73394_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_156_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52115_ _77630_/Q _52112_/B VGND VGND VPWR VPWR _52117_/A sky130_fd_sc_hd__nand2_2
+XFILLER_128_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80147_ _80147_/CLK _39357_/Y VGND VGND VPWR VPWR _56679_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_191_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57972_ _80227_/Q _57737_/X VGND VGND VPWR VPWR _57974_/B sky130_fd_sc_hd__nor2_2
+XFILLER_492_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53095_ _53093_/Y _53095_/B VGND VGND VPWR VPWR _77369_/D sky130_fd_sc_hd__nand2_2
+XPHY_31929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69958_ _69958_/A _69957_/X VGND VGND VPWR VPWR _69965_/A sky130_fd_sc_hd__nor2_2
+XPHY_9803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_2_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_88_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_195_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_614_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59711_ _77184_/Q _59549_/B VGND VGND VPWR VPWR _59711_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_105_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_219_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_702_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_431_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40060_ _40060_/A VGND VGND VPWR VPWR _40060_/X sky130_fd_sc_hd__buf_1
+XFILLER_529_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52046_ _77650_/Q _52053_/B VGND VGND VPWR VPWR _52046_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_353_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56923_ _56259_/X VGND VGND VPWR VPWR _56997_/B sky130_fd_sc_hd__buf_1
+XPHY_9836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68909_ _65412_/A VGND VGND VPWR VPWR _69474_/B sky130_fd_sc_hd__buf_1
+XPHY_21439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80078_ _80109_/CLK _80078_/D VGND VGND VPWR VPWR _58802_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_176_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69889_ _69889_/A VGND VGND VPWR VPWR _69890_/A sky130_fd_sc_hd__buf_1
+XPHY_9858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71920_ _71613_/A VGND VGND VPWR VPWR _71921_/B sky130_fd_sc_hd__buf_1
+XPHY_20738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59642_ _77008_/Q _59801_/B VGND VGND VPWR VPWR _59642_/Y sky130_fd_sc_hd__nor2_2
+XPHY_10215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56854_ _56851_/X _56852_/Y _56853_/Y VGND VGND VPWR VPWR _56854_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_353_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_310_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55805_ _55821_/B VGND VGND VPWR VPWR _55818_/B sky130_fd_sc_hd__buf_1
+XFILLER_150_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59573_ _77263_/Q _59573_/B VGND VGND VPWR VPWR _59574_/C sky130_fd_sc_hd__nor2_2
+X_71851_ _71839_/B _71849_/Y _71727_/B _71850_/Y VGND VGND VPWR VPWR _71851_/X sky130_fd_sc_hd__o22a_4
+XFILLER_683_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_60_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_609_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56785_ _58737_/A VGND VGND VPWR VPWR _56785_/X sky130_fd_sc_hd__buf_1
+XFILLER_219_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_464_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_6_52_0_u_core.clock clkbuf_5_26_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_6_52_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_210_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53997_ _53997_/A _53997_/B VGND VGND VPWR VPWR _77135_/D sky130_fd_sc_hd__nand2_2
+XFILLER_151_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_210_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_644_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_111_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58524_ _58663_/A _58523_/Y VGND VGND VPWR VPWR _58524_/Y sky130_fd_sc_hd__nor2_2
+X_70802_ _70428_/A _69743_/A VGND VGND VPWR VPWR _70803_/B sky130_fd_sc_hd__nor2_2
+XPHY_29703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43750_ _43812_/A _43750_/B VGND VGND VPWR VPWR _79301_/D sky130_fd_sc_hd__nor2_2
+X_55736_ _55403_/A _55735_/Y VGND VGND VPWR VPWR _55736_/X sky130_fd_sc_hd__or2_2
+XFILLER_246_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74570_ _74024_/X _74562_/B VGND VGND VPWR VPWR _74570_/X sky130_fd_sc_hd__or2_2
+XFILLER_481_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_115_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_288_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40962_ _40962_/A _40961_/Y VGND VGND VPWR VPWR _79738_/D sky130_fd_sc_hd__nand2_2
+XFILLER_624_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52948_ _52948_/A _52948_/B VGND VGND VPWR VPWR _52948_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_1_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71782_ _71776_/X _71782_/B _71779_/Y _71781_/Y VGND VGND VPWR VPWR _71782_/X sky130_fd_sc_hd__or4_2
+XFILLER_720_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_41_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_562_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42701_ _42701_/A _42699_/Y _42700_/X _42660_/D VGND VGND VPWR VPWR _42701_/Y sky130_fd_sc_hd__nor4_2
+X_73521_ _73521_/A VGND VGND VPWR VPWR _73522_/B sky130_fd_sc_hd__buf_1
+XFILLER_185_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58455_ _58455_/A _58735_/B VGND VGND VPWR VPWR _58455_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_287_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70733_ _70711_/X _70733_/B VGND VGND VPWR VPWR _70733_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_46_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43681_ _43681_/A VGND VGND VPWR VPWR _69005_/A sky130_fd_sc_hd__inv_8
+XPHY_4110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55667_ _55446_/B _55703_/B VGND VGND VPWR VPWR _55668_/B sky130_fd_sc_hd__or2_2
+XFILLER_167_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40893_ _40891_/X _40892_/Y VGND VGND VPWR VPWR _40893_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_37_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52879_ _52902_/B VGND VGND VPWR VPWR _52898_/B sky130_fd_sc_hd__buf_1
+XFILLER_612_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_523_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45420_ _45086_/A _45414_/Y _45419_/Y VGND VGND VPWR VPWR _45523_/B sky130_fd_sc_hd__or3_2
+XFILLER_226_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57406_ _80060_/Q _57569_/B VGND VGND VPWR VPWR _57406_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_422_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76240_ _76220_/X _76244_/B _80491_/Q VGND VGND VPWR VPWR _76240_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_403_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_404_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_343_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42632_ _79127_/Q VGND VGND VPWR VPWR _67198_/A sky130_fd_sc_hd__inv_8
+XFILLER_623_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54618_ _76970_/Q _54615_/B VGND VGND VPWR VPWR _54618_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_233_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73452_ _75010_/A _73438_/X VGND VGND VPWR VPWR _73453_/B sky130_fd_sc_hd__or2_2
+XFILLER_128_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58386_ _58075_/X _58386_/B _58386_/C VGND VGND VPWR VPWR _58386_/Y sky130_fd_sc_hd__nor3_2
+XPHY_19279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70664_ _70034_/A _70655_/X _70469_/A _70663_/Y VGND VGND VPWR VPWR _70664_/X sky130_fd_sc_hd__o22a_4
+XFILLER_284_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55598_ _45253_/Y _55598_/B VGND VGND VPWR VPWR _55600_/B sky130_fd_sc_hd__nor2_2
+XPHY_18545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_423_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_261_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_180_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72403_ _72410_/A _72400_/B _67269_/A VGND VGND VPWR VPWR _72404_/B sky130_fd_sc_hd__nand3_2
+XPHY_17822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_403_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57337_ _57408_/A _57332_/Y _57337_/C VGND VGND VPWR VPWR _57347_/A sky130_fd_sc_hd__nor3_2
+XFILLER_388_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45351_ _45325_/A _45345_/Y _45350_/Y VGND VGND VPWR VPWR _45352_/B sky130_fd_sc_hd__or3_2
+XFILLER_667_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76171_ _76153_/A _76171_/B _80506_/Q VGND VGND VPWR VPWR _76171_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_575_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_404_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_360_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42563_ _69978_/A _42572_/B VGND VGND VPWR VPWR _42571_/B sky130_fd_sc_hd__nor2_2
+XFILLER_497_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54549_ _76989_/Q _54541_/B VGND VGND VPWR VPWR _54552_/A sky130_fd_sc_hd__nand2_2
+XFILLER_310_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73383_ _73383_/A _73383_/B VGND VGND VPWR VPWR _73383_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_74_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_70595_ _70594_/X VGND VGND VPWR VPWR _70595_/Y sky130_fd_sc_hd__inv_8
+XFILLER_186_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_376_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44302_ _72972_/A _44239_/B VGND VGND VPWR VPWR _44305_/A sky130_fd_sc_hd__nor2_2
+XFILLER_53_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75122_ _75241_/A _75119_/B VGND VGND VPWR VPWR _75122_/X sky130_fd_sc_hd__or2_2
+XFILLER_690_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41514_ _42883_/A _41514_/B VGND VGND VPWR VPWR _41518_/A sky130_fd_sc_hd__or2_2
+XFILLER_57_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48070_ _48068_/Y _48069_/X VGND VGND VPWR VPWR _48070_/Y sky130_fd_sc_hd__nand2_2
+XPHY_2752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72334_ _72977_/A VGND VGND VPWR VPWR _72335_/A sky130_fd_sc_hd__buf_1
+XFILLER_387_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45282_ _45281_/Y _45078_/B VGND VGND VPWR VPWR _45287_/B sky130_fd_sc_hd__nor2_2
+XPHY_34500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57268_ _57345_/A _57265_/Y _57268_/C VGND VGND VPWR VPWR _57269_/C sky130_fd_sc_hd__nor3_2
+XPHY_35245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_202_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42494_ _42587_/A VGND VGND VPWR VPWR _42494_/X sky130_fd_sc_hd__buf_1
+XFILLER_187_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_707_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_401_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47021_ _46846_/A VGND VGND VPWR VPWR _47021_/X sky130_fd_sc_hd__buf_1
+XFILLER_395_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59007_ _59340_/A VGND VGND VPWR VPWR _59008_/B sky130_fd_sc_hd__buf_1
+XPHY_35278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44233_ _44233_/A _44043_/X VGND VGND VPWR VPWR _44234_/B sky130_fd_sc_hd__and2_2
+XFILLER_278_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_707_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56219_ _58525_/A VGND VGND VPWR VPWR _56219_/X sky130_fd_sc_hd__buf_1
+X_75053_ _75051_/Y _75052_/X VGND VGND VPWR VPWR _75053_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_436_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79930_ _80027_/CLK _40208_/Y VGND VGND VPWR VPWR _79930_/Q sky130_fd_sc_hd__dfxtp_4
+X_41445_ _41407_/A VGND VGND VPWR VPWR _41446_/B sky130_fd_sc_hd__buf_1
+XFILLER_651_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72265_ _74089_/A VGND VGND VPWR VPWR _72922_/A sky130_fd_sc_hd__buf_1
+XFILLER_375_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_439_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_344_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_592_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57199_ _57288_/A _57199_/B _57198_/Y VGND VGND VPWR VPWR _57200_/B sky130_fd_sc_hd__nor3_2
+XFILLER_168_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_376_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_683_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_196_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_439_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74004_ _74002_/X _74003_/Y VGND VGND VPWR VPWR _74004_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_328_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_70_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71216_ _71115_/X _71198_/Y _71202_/Y _71215_/X VGND VGND VPWR VPWR _42537_/B sky130_fd_sc_hd__o22a_4
+XFILLER_690_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44164_ _44179_/A _44164_/B VGND VGND VPWR VPWR _44164_/Y sky130_fd_sc_hd__nor2_2
+XPHY_24065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79861_ _79805_/CLK _40491_/Y VGND VGND VPWR VPWR _65523_/A sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_7_32_0_u_core.clock clkbuf_7_33_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_64_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_376_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_354_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41376_ _41256_/A _41376_/B VGND VGND VPWR VPWR _41376_/X sky130_fd_sc_hd__or2_2
+XPHY_33854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72196_ _72183_/X _72190_/X _68614_/A VGND VGND VPWR VPWR _72196_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_295_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_417_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_683_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_393_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_6_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43115_ _43125_/A VGND VGND VPWR VPWR _46142_/A sky130_fd_sc_hd__inv_8
+XFILLER_174_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_316_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78812_ _78794_/CLK _78812_/D VGND VGND VPWR VPWR _64043_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_33887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40327_ _40324_/X _40327_/B VGND VGND VPWR VPWR _40327_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_13_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71147_ _76817_/Q VGND VGND VPWR VPWR _55351_/A sky130_fd_sc_hd__inv_8
+XFILLER_174_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48972_ _78456_/Q _48958_/B VGND VGND VPWR VPWR _48974_/A sky130_fd_sc_hd__nand2_2
+X_44095_ _44168_/A VGND VGND VPWR VPWR _44095_/X sky130_fd_sc_hd__buf_1
+XFILLER_294_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79792_ _79788_/CLK _79792_/D VGND VGND VPWR VPWR _64662_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_48_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_469_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_721_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_139_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47923_ _47865_/A _47935_/B VGND VGND VPWR VPWR _47924_/B sky130_fd_sc_hd__or2_2
+XPHY_22663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59909_ _59909_/A _59429_/B VGND VGND VPWR VPWR _59909_/Y sky130_fd_sc_hd__nor2_2
+X_43046_ _43045_/Y _46754_/A VGND VGND VPWR VPWR _43049_/A sky130_fd_sc_hd__or2_2
+XFILLER_142_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78743_ _78746_/CLK _47848_/Y VGND VGND VPWR VPWR _78743_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_315_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_551_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_486_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40258_ _40258_/A _40251_/X _79916_/Q VGND VGND VPWR VPWR _40259_/B sky130_fd_sc_hd__nand3_2
+XFILLER_100_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_250_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75955_ _75941_/X _75967_/B _75955_/C VGND VGND VPWR VPWR _75956_/B sky130_fd_sc_hd__nand3_2
+XFILLER_413_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71078_ _71320_/A VGND VGND VPWR VPWR _71078_/X sky130_fd_sc_hd__buf_1
+XFILLER_155_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_170_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_434_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_647_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74906_ _75387_/A _74894_/B VGND VGND VPWR VPWR _74908_/A sky130_fd_sc_hd__or2_2
+XFILLER_487_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62920_ _59034_/X VGND VGND VPWR VPWR _62920_/X sky130_fd_sc_hd__buf_1
+XFILLER_269_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70029_ _42473_/A _79482_/Q _70133_/A VGND VGND VPWR VPWR _70029_/X sky130_fd_sc_hd__and3_2
+XPHY_21973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_645_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47854_ _48009_/A VGND VGND VPWR VPWR _47855_/A sky130_fd_sc_hd__buf_1
+XFILLER_68_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78674_ _78671_/CLK _78674_/D VGND VGND VPWR VPWR _48113_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_335_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40189_ _40202_/A _40202_/B _79935_/Q VGND VGND VPWR VPWR _40189_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_111_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_486_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_110_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75886_ _76007_/A _75891_/B VGND VGND VPWR VPWR _75886_/X sky130_fd_sc_hd__or2_2
+XFILLER_627_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_61_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_111_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_313_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_365_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46805_ _46705_/B VGND VGND VPWR VPWR _46806_/B sky130_fd_sc_hd__inv_8
+XFILLER_725_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77625_ _77634_/CLK _77625_/D VGND VGND VPWR VPWR _52135_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_42_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62851_ _62693_/A _62847_/Y _62851_/C VGND VGND VPWR VPWR _62851_/Y sky130_fd_sc_hd__nor3_2
+XPHY_11494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74837_ _74816_/X _74841_/B _69015_/A VGND VGND VPWR VPWR _74838_/B sky130_fd_sc_hd__nand3_2
+XFILLER_485_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47785_ _47756_/A _47759_/X VGND VGND VPWR VPWR _47786_/B sky130_fd_sc_hd__or2_2
+XFILLER_42_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_703_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44997_ _44997_/A _44996_/X VGND VGND VPWR VPWR _44997_/X sky130_fd_sc_hd__and2_2
+XFILLER_387_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_20_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_8_0_u_core.clock clkbuf_8_9_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_8_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_447_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_426_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61802_ _59891_/A VGND VGND VPWR VPWR _62114_/B sky130_fd_sc_hd__buf_1
+XFILLER_231_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49524_ _61819_/A _49519_/B VGND VGND VPWR VPWR _49524_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_250_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_627_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46736_ _46089_/B _46735_/Y VGND VGND VPWR VPWR _46737_/C sky130_fd_sc_hd__nor2_2
+X_65570_ _80758_/Q _65042_/B VGND VGND VPWR VPWR _65572_/B sky130_fd_sc_hd__nor2_2
+XFILLER_428_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77556_ _78070_/CLK _77556_/D VGND VGND VPWR VPWR _52402_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43948_ _43948_/A VGND VGND VPWR VPWR _43956_/C sky130_fd_sc_hd__buf_1
+XFILLER_64_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62782_ _62152_/X _62782_/B _62782_/C VGND VGND VPWR VPWR _62783_/C sky130_fd_sc_hd__nor3_2
+X_74768_ _74768_/A _74768_/B VGND VGND VPWR VPWR _74768_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_643_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_383_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_608_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64521_ _64448_/A VGND VGND VPWR VPWR _64522_/A sky130_fd_sc_hd__buf_1
+X_76507_ VGND VGND VPWR VPWR _76507_/HI io_out[37] sky130_fd_sc_hd__conb_1
+XFILLER_92_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49455_ _49455_/A VGND VGND VPWR VPWR _51141_/B sky130_fd_sc_hd__buf_1
+XFILLER_292_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61733_ _60166_/A VGND VGND VPWR VPWR _61734_/B sky130_fd_sc_hd__buf_1
+XFILLER_65_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_599_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73719_ _73962_/A _73722_/B VGND VGND VPWR VPWR _73721_/A sky130_fd_sc_hd__or2_2
+XFILLER_426_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_580_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_124_0_u_core.clock clkbuf_8_62_0_u_core.clock/X VGND VGND VPWR VPWR _77353_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_46667_ _46667_/A _46667_/B _46667_/C VGND VGND VPWR VPWR _78987_/D sky130_fd_sc_hd__nor3_2
+XFILLER_4_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77487_ _77444_/CLK _52663_/Y VGND VGND VPWR VPWR _77487_/Q sky130_fd_sc_hd__dfxtp_4
+X_43879_ _43879_/A _43878_/X VGND VGND VPWR VPWR _43879_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74699_ _74699_/A _74698_/Y VGND VGND VPWR VPWR _80873_/D sky130_fd_sc_hd__nand2_2
+XFILLER_642_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_185_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_498_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48406_ _48406_/A _48405_/X VGND VGND VPWR VPWR _48406_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_20_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67240_ _65388_/A VGND VGND VPWR VPWR _67240_/X sky130_fd_sc_hd__buf_1
+XFILLER_209_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79226_ _81273_/CLK _79226_/D VGND VGND VPWR VPWR _44486_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_59_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_181_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45618_ _45618_/A _45618_/B _45617_/Y VGND VGND VPWR VPWR _45618_/Y sky130_fd_sc_hd__nor3_2
+Xclkbuf_8_12_0_u_core.clock clkbuf_7_6_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_25_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_64452_ _65225_/A VGND VGND VPWR VPWR _66217_/A sky130_fd_sc_hd__buf_1
+XFILLER_326_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76438_ _76438_/A _76438_/B VGND VGND VPWR VPWR _76438_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_0_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61664_ _51398_/A _61664_/B VGND VGND VPWR VPWR _61664_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_597_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49386_ _49384_/Y _49385_/X VGND VGND VPWR VPWR _78353_/D sky130_fd_sc_hd__nand2_2
+XFILLER_498_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_621_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46598_ _46593_/A _46596_/Y _46598_/C VGND VGND VPWR VPWR _46599_/C sky130_fd_sc_hd__nor3_2
+XFILLER_523_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_401_409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_630_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_233_0_u_core.clock clkbuf_7_116_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_467_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_381_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63403_ _62773_/X _63399_/Y _63403_/C VGND VGND VPWR VPWR _63404_/C sky130_fd_sc_hd__nor3_2
+XFILLER_178_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60615_ _60615_/A _60615_/B VGND VGND VPWR VPWR _60616_/B sky130_fd_sc_hd__nor2_2
+XFILLER_719_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48337_ _48336_/X VGND VGND VPWR VPWR _48352_/B sky130_fd_sc_hd__buf_1
+XFILLER_577_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_293_0_u_core.clock clkbuf_9_293_0_u_core.clock/A VGND VGND VPWR VPWR _81382_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_67171_ _67004_/A _67171_/B _67170_/Y VGND VGND VPWR VPWR _67171_/Y sky130_fd_sc_hd__nor3_2
+X_79157_ _79163_/CLK _79157_/D VGND VGND VPWR VPWR _69624_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_296_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45549_ _45549_/A _45541_/B VGND VGND VPWR VPWR _45551_/B sky130_fd_sc_hd__nor2_2
+XPHY_37170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64383_ _39730_/C _69328_/B VGND VGND VPWR VPWR _64387_/B sky130_fd_sc_hd__nor2_2
+XFILLER_324_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76369_ _76369_/A _76369_/B VGND VGND VPWR VPWR _80457_/D sky130_fd_sc_hd__nand2_2
+XFILLER_244_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_504_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61595_ _61595_/A _61760_/B VGND VGND VPWR VPWR _61596_/C sky130_fd_sc_hd__nor2_2
+XFILLER_18_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_320_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_205_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_37192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66122_ _66122_/A _66122_/B _66122_/C VGND VGND VPWR VPWR _66123_/C sky130_fd_sc_hd__nor3_2
+XFILLER_441_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78108_ _78108_/CLK _78108_/D VGND VGND VPWR VPWR _62826_/A sky130_fd_sc_hd__dfxtp_4
+X_39070_ _38578_/A _39074_/B VGND VGND VPWR VPWR _39070_/X sky130_fd_sc_hd__or2_2
+XFILLER_32_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63334_ _63334_/A _63625_/B VGND VGND VPWR VPWR _63334_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_393_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48268_ _48258_/X VGND VGND VPWR VPWR _48281_/B sky130_fd_sc_hd__buf_1
+X_60546_ _60065_/A _60546_/B _60545_/Y VGND VGND VPWR VPWR _60546_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_367_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79088_ _79095_/CLK _79088_/D VGND VGND VPWR VPWR _79088_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_178_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_501_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_70_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_222_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_162_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_591_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47219_ _47214_/B _47218_/Y _47216_/C VGND VGND VPWR VPWR _78881_/D sky130_fd_sc_hd__nor3_2
+XFILLER_222_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66053_ _75669_/C _66537_/B VGND VGND VPWR VPWR _66055_/B sky130_fd_sc_hd__nor2_2
+XFILLER_144_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78039_ _78046_/CLK _50573_/Y VGND VGND VPWR VPWR _62035_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_509_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_119_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63265_ _62955_/A _63263_/Y _63265_/C VGND VGND VPWR VPWR _63265_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_159_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_673_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48199_ _47945_/A _48199_/B VGND VGND VPWR VPWR _52065_/A sky130_fd_sc_hd__or2_2
+X_60477_ _60317_/A _60468_/Y _60476_/Y VGND VGND VPWR VPWR _60477_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_320_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_536_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_192_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_496_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65004_ _64387_/A _65004_/B _65003_/Y VGND VGND VPWR VPWR _65009_/B sky130_fd_sc_hd__nor3_2
+XFILLER_320_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50230_ _78127_/Q _50233_/B VGND VGND VPWR VPWR _50230_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_14_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81050_ _81047_/CLK _73950_/Y VGND VGND VPWR VPWR _81050_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_335_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62216_ _61581_/A _62178_/Y _62215_/Y VGND VGND VPWR VPWR _62216_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_274_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_175_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_394_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_654_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_199_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63196_ _49219_/A _63507_/B VGND VGND VPWR VPWR _63196_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_200_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_259_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_697_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80001_ _79940_/CLK _80001_/D VGND VGND VPWR VPWR _80001_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_337_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69812_ _42137_/A _69812_/B _69749_/Y VGND VGND VPWR VPWR _69818_/A sky130_fd_sc_hd__nor3_2
+X_50161_ _50189_/A _50173_/B VGND VGND VPWR VPWR _50162_/B sky130_fd_sc_hd__or2_2
+XFILLER_713_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62147_ _60585_/A VGND VGND VPWR VPWR _62147_/X sky130_fd_sc_hd__buf_1
+XFILLER_470_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39972_ _39970_/X _39971_/Y VGND VGND VPWR VPWR _79993_/D sky130_fd_sc_hd__nand2_2
+XFILLER_372_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_685_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_157_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38923_ _38926_/A _38926_/B _80255_/Q VGND VGND VPWR VPWR _38923_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_667_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69743_ _69743_/A VGND VGND VPWR VPWR _69743_/X sky130_fd_sc_hd__buf_1
+XFILLER_435_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50092_ _50092_/A _50091_/X VGND VGND VPWR VPWR _50096_/A sky130_fd_sc_hd__nand2_2
+XFILLER_350_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_318_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62078_ _62078_/A _62074_/Y _62078_/C VGND VGND VPWR VPWR _62079_/C sky130_fd_sc_hd__nor3_2
+XFILLER_82_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66955_ _66955_/A _66460_/B VGND VGND VPWR VPWR _66957_/B sky130_fd_sc_hd__nor2_2
+XFILLER_530_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_173_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_114_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_135_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_9_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53920_ _53622_/A VGND VGND VPWR VPWR _53921_/A sky130_fd_sc_hd__buf_1
+XFILLER_255_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65906_ _65906_/A _65906_/B VGND VGND VPWR VPWR _65906_/Y sky130_fd_sc_hd__nor2_2
+X_61029_ _59437_/A VGND VGND VPWR VPWR _61032_/A sky130_fd_sc_hd__buf_1
+XFILLER_138_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38854_ _38851_/A _38750_/B _80272_/Q VGND VGND VPWR VPWR _38854_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_350_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69674_ _69674_/A _69670_/X VGND VGND VPWR VPWR _69696_/A sky130_fd_sc_hd__and2_2
+XPHY_7708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66886_ _66886_/A VGND VGND VPWR VPWR _67213_/B sky130_fd_sc_hd__buf_1
+XFILLER_245_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_1190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_116_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_448_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80903_ _81005_/CLK _80903_/D VGND VGND VPWR VPWR _80903_/Q sky130_fd_sc_hd__dfxtp_4
+X_68625_ _38890_/C _68625_/B VGND VGND VPWR VPWR _68627_/B sky130_fd_sc_hd__nor2_2
+XFILLER_692_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53851_ _53849_/Y _53850_/X VGND VGND VPWR VPWR _53851_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_492_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65837_ _66171_/A _65837_/B _65836_/Y VGND VGND VPWR VPWR _65837_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_383_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_665_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38785_ _38785_/A _38784_/Y VGND VGND VPWR VPWR _38785_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_661_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_476_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_275_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_448_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_256_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_56_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52802_ _52887_/A _52811_/B VGND VGND VPWR VPWR _52803_/B sky130_fd_sc_hd__or2_2
+XFILLER_468_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80834_ _80826_/CLK _74871_/Y VGND VGND VPWR VPWR _67532_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_626_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_604_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56570_ _56562_/Y _56569_/Y VGND VGND VPWR VPWR _56570_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_288_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68556_ _80456_/Q _68556_/B VGND VGND VPWR VPWR _68556_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_464_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53782_ _53779_/Y _53782_/B VGND VGND VPWR VPWR _53782_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_256_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65768_ _65593_/X _65768_/B _65768_/C VGND VGND VPWR VPWR _65786_/A sky130_fd_sc_hd__nor3_2
+X_50994_ _51027_/B VGND VGND VPWR VPWR _50994_/X sky130_fd_sc_hd__buf_1
+XFILLER_285_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_262_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_67_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_329_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_491_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_288_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_73_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55521_ _55550_/B VGND VGND VPWR VPWR _55705_/B sky130_fd_sc_hd__buf_1
+XFILLER_186_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67507_ _80225_/Q _67344_/B VGND VGND VPWR VPWR _67508_/C sky130_fd_sc_hd__nor2_2
+XFILLER_661_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52733_ _61360_/A _52728_/X VGND VGND VPWR VPWR _52735_/A sky130_fd_sc_hd__nand2_2
+XFILLER_227_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64719_ _64719_/A _64477_/X VGND VGND VPWR VPWR _64721_/B sky130_fd_sc_hd__nor2_2
+XPHY_28309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_634_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_444_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_641_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_661_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80765_ _80766_/CLK _80765_/D VGND VGND VPWR VPWR _80765_/Q sky130_fd_sc_hd__dfxtp_4
+X_68487_ _58285_/A _67999_/B VGND VGND VPWR VPWR _68487_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_266_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65699_ _80214_/Q _65699_/B VGND VGND VPWR VPWR _65700_/C sky130_fd_sc_hd__nor2_2
+XFILLER_621_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_309_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39406_ _39420_/A _39413_/B _80135_/Q VGND VGND VPWR VPWR _39407_/B sky130_fd_sc_hd__nand3_2
+XFILLER_15_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58240_ _58240_/A _58240_/B VGND VGND VPWR VPWR _58241_/B sky130_fd_sc_hd__nor2_2
+XFILLER_344_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55452_ _55403_/A VGND VGND VPWR VPWR _55461_/A sky130_fd_sc_hd__buf_1
+XFILLER_432_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67438_ _64176_/X VGND VGND VPWR VPWR _68104_/B sky130_fd_sc_hd__buf_1
+XFILLER_721_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_544_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52664_ _52664_/A _52667_/B VGND VGND VPWR VPWR _52666_/A sky130_fd_sc_hd__nand2_2
+XFILLER_326_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80696_ _80863_/CLK _75389_/Y VGND VGND VPWR VPWR _80696_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_600_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_309_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_639_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_502_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_149_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54403_ _54403_/A _54402_/X VGND VGND VPWR VPWR _77029_/D sky130_fd_sc_hd__nand2_2
+XPHY_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_494_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39337_ _39208_/A _39323_/B VGND VGND VPWR VPWR _39337_/X sky130_fd_sc_hd__or2_2
+X_51615_ _60539_/A _51615_/B VGND VGND VPWR VPWR _51617_/A sky130_fd_sc_hd__nand2_2
+XFILLER_270_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58171_ _58171_/A _58330_/B VGND VGND VPWR VPWR _58171_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_401_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_196_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_130_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55383_ _55443_/A _55382_/Y VGND VGND VPWR VPWR _55384_/B sky130_fd_sc_hd__nor2_2
+X_67369_ _67214_/A _67367_/Y _67369_/C VGND VGND VPWR VPWR _67376_/B sky130_fd_sc_hd__nor3_2
+XFILLER_596_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52595_ _52566_/A _52601_/B VGND VGND VPWR VPWR _52595_/X sky130_fd_sc_hd__or2_2
+XFILLER_24_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_168_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_459_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_322_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57122_ _57122_/A _57122_/B VGND VGND VPWR VPWR _57142_/B sky130_fd_sc_hd__nor2_2
+XFILLER_262_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69108_ _40143_/C _69108_/B VGND VGND VPWR VPWR _69110_/B sky130_fd_sc_hd__nor2_2
+XFILLER_54_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_397_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54334_ _54248_/X _54322_/B VGND VGND VPWR VPWR _54335_/B sky130_fd_sc_hd__or2_2
+XFILLER_601_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_586_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39268_ _39248_/X _39273_/B _80171_/Q VGND VGND VPWR VPWR _39268_/Y sky130_fd_sc_hd__nand3_2
+X_51546_ _51516_/A _51549_/B VGND VGND VPWR VPWR _51546_/X sky130_fd_sc_hd__or2_2
+XPHY_2059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70380_ _70380_/A VGND VGND VPWR VPWR _70381_/A sky130_fd_sc_hd__inv_8
+XFILLER_459_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_652_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_103_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_3071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38219_ _38210_/A _76353_/B _64511_/A VGND VGND VPWR VPWR _38220_/B sky130_fd_sc_hd__nand3_2
+XFILLER_539_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81317_ _81306_/CLK _81317_/D VGND VGND VPWR VPWR _68125_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_418_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69039_ _68719_/A _69039_/B VGND VGND VPWR VPWR _69072_/B sky130_fd_sc_hd__nor2_2
+X_57053_ _57053_/A _56723_/B VGND VGND VPWR VPWR _57054_/C sky130_fd_sc_hd__nor2_2
+XFILLER_723_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54265_ _54265_/A VGND VGND VPWR VPWR _54266_/B sky130_fd_sc_hd__buf_1
+XFILLER_472_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39199_ _39176_/A VGND VGND VPWR VPWR _39200_/B sky130_fd_sc_hd__buf_1
+X_51477_ _77802_/Q _51477_/B VGND VGND VPWR VPWR _51477_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_518_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_2982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_494_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_613_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_123_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56004_ _56097_/A VGND VGND VPWR VPWR _56004_/X sky130_fd_sc_hd__buf_1
+XFILLER_574_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41230_ _41097_/X _41230_/B VGND VGND VPWR VPWR _41230_/X sky130_fd_sc_hd__or2_2
+X_53216_ _53244_/A _53210_/B VGND VGND VPWR VPWR _53217_/B sky130_fd_sc_hd__or2_2
+XFILLER_390_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_104_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72050_ _72050_/A VGND VGND VPWR VPWR _72051_/A sky130_fd_sc_hd__inv_8
+XFILLER_535_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50428_ _50428_/A _50428_/B VGND VGND VPWR VPWR _78078_/D sky130_fd_sc_hd__nand2_2
+XFILLER_715_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81248_ _81273_/CLK _81248_/D VGND VGND VPWR VPWR _73180_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_351_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54196_ _54193_/Y _54196_/B VGND VGND VPWR VPWR _77084_/D sky130_fd_sc_hd__nand2_2
+XFILLER_292_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_14_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_715_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_574_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71001_ _70379_/A _70375_/Y _71457_/A _71000_/Y VGND VGND VPWR VPWR _71001_/X sky130_fd_sc_hd__o22a_4
+XPHY_32438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_109_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_178_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53147_ _53147_/A _53146_/Y VGND VGND VPWR VPWR _77356_/D sky130_fd_sc_hd__nand2_2
+X_41161_ _41158_/A _41158_/B _58771_/A VGND VGND VPWR VPWR _41161_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_69_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_515_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50359_ _50271_/A _50350_/B VGND VGND VPWR VPWR _50359_/X sky130_fd_sc_hd__or2_2
+XFILLER_353_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81179_ _81190_/CLK _73461_/Y VGND VGND VPWR VPWR _73459_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_180_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_234_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_492_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_47_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_336_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40112_ _40112_/A _40104_/X _65166_/A VGND VGND VPWR VPWR _40113_/B sky130_fd_sc_hd__nand3_2
+XPHY_21214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_340_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_675_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_152_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41092_ _41090_/X _41091_/Y VGND VGND VPWR VPWR _79708_/D sky130_fd_sc_hd__nand2_2
+X_53078_ _53078_/A _53053_/A VGND VGND VPWR VPWR _53078_/X sky130_fd_sc_hd__or2_2
+X_57955_ _67825_/A _57873_/B VGND VGND VPWR VPWR _57957_/B sky130_fd_sc_hd__nor2_2
+XPHY_31759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_20502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_388_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_62_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40043_ _40038_/X _40031_/X _79974_/Q VGND VGND VPWR VPWR _40043_/Y sky130_fd_sc_hd__nand3_2
+XPHY_8921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44920_ _44905_/Y _44919_/X _78909_/Q _42414_/X VGND VGND VPWR VPWR _44921_/B sky130_fd_sc_hd__o22a_4
+XFILLER_488_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52029_ _77654_/Q _52025_/B VGND VGND VPWR VPWR _52029_/Y sky130_fd_sc_hd__nand2_2
+XPHY_20524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56906_ _56906_/A _56905_/Y VGND VGND VPWR VPWR _56906_/Y sky130_fd_sc_hd__nor2_2
+X_75740_ _75794_/A VGND VGND VPWR VPWR _75754_/B sky130_fd_sc_hd__buf_1
+XPHY_21269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_470_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72952_ _72952_/A VGND VGND VPWR VPWR _72957_/B sky130_fd_sc_hd__buf_1
+XFILLER_371_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57886_ _57886_/A _57655_/B VGND VGND VPWR VPWR _57888_/B sky130_fd_sc_hd__nor2_2
+XFILLER_2_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_431_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59625_ _47698_/B VGND VGND VPWR VPWR _59626_/A sky130_fd_sc_hd__buf_1
+XPHY_20568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71903_ _71727_/B _71903_/B VGND VGND VPWR VPWR _71904_/C sky130_fd_sc_hd__nor2_2
+XFILLER_671_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_412_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_134_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56837_ _56836_/X VGND VGND VPWR VPWR _56837_/X sky130_fd_sc_hd__buf_1
+X_44851_ _75032_/A _44836_/X _44843_/Y _44850_/X VGND VGND VPWR VPWR _44852_/C sky130_fd_sc_hd__o22a_4
+XPHY_8976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75671_ _75913_/A _75657_/B VGND VGND VPWR VPWR _75673_/A sky130_fd_sc_hd__or2_2
+XPHY_20579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_289_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_546_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_431_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72883_ _72883_/A _72882_/X _81316_/Q VGND VGND VPWR VPWR _72883_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_314_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_169_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_3053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_24_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_134_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_483_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77410_ _77410_/CLK _77410_/D VGND VGND VPWR VPWR _61235_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_286_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43802_ _43867_/B _43692_/X VGND VGND VPWR VPWR _43803_/B sky130_fd_sc_hd__or2_2
+XFILLER_59_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_115_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74622_ _74567_/A VGND VGND VPWR VPWR _74622_/X sky130_fd_sc_hd__buf_1
+XPHY_10089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47570_ _47570_/A VGND VGND VPWR VPWR _47600_/B sky130_fd_sc_hd__buf_1
+XFILLER_93_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59556_ _59556_/A _59720_/B VGND VGND VPWR VPWR _59556_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_384_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71834_ _76797_/Q VGND VGND VPWR VPWR _71835_/A sky130_fd_sc_hd__inv_8
+XFILLER_210_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_624_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78390_ _78758_/CLK _49221_/Y VGND VGND VPWR VPWR _49219_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_46_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44782_ _44781_/A _44768_/X _44782_/C VGND VGND VPWR VPWR _44782_/Y sky130_fd_sc_hd__nor3_2
+XPHY_29500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56768_ _80212_/Q _56768_/B VGND VGND VPWR VPWR _56770_/B sky130_fd_sc_hd__nor2_2
+XFILLER_247_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_47_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_19_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41994_ _41902_/A VGND VGND VPWR VPWR _41995_/A sky130_fd_sc_hd__buf_1
+XFILLER_605_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_643_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_3005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_369_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_171_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46521_ _46521_/A VGND VGND VPWR VPWR _46551_/B sky130_fd_sc_hd__buf_1
+XFILLER_463_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58507_ _79946_/Q _58345_/X VGND VGND VPWR VPWR _58508_/C sky130_fd_sc_hd__nor2_2
+XFILLER_74_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_640_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77341_ _77251_/CLK _77341_/D VGND VGND VPWR VPWR _77341_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_95_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43733_ _73109_/B VGND VGND VPWR VPWR _43979_/B sky130_fd_sc_hd__buf_1
+XFILLER_186_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55719_ _55719_/A _55718_/X VGND VGND VPWR VPWR _55719_/Y sky130_fd_sc_hd__nand2_2
+X_74553_ _74167_/A _74660_/B VGND VGND VPWR VPWR _74553_/X sky130_fd_sc_hd__or2_2
+XFILLER_207_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40945_ _40445_/X _40932_/X VGND VGND VPWR VPWR _40947_/A sky130_fd_sc_hd__or2_2
+XPHY_29544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59487_ _59487_/A _59487_/B _59487_/C VGND VGND VPWR VPWR _59491_/B sky130_fd_sc_hd__nor3_2
+X_71765_ _71693_/A _71762_/X _71765_/C VGND VGND VPWR VPWR _71765_/X sky130_fd_sc_hd__or3_2
+XPHY_19021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56699_ _80947_/Q _56779_/B VGND VGND VPWR VPWR _56700_/C sky130_fd_sc_hd__nor2_2
+XFILLER_182_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_235_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_429_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49240_ _49240_/A _49236_/X VGND VGND VPWR VPWR _49240_/X sky130_fd_sc_hd__or2_2
+X_73504_ _69859_/A _72343_/X _75825_/C VGND VGND VPWR VPWR _74543_/B sky130_fd_sc_hd__or3_2
+XFILLER_76_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46452_ _42867_/A _46450_/Y _79445_/Q _46451_/Y VGND VGND VPWR VPWR _46452_/X sky130_fd_sc_hd__o22a_4
+X_70716_ _70716_/A _70716_/B _70715_/Y VGND VGND VPWR VPWR _70716_/X sky130_fd_sc_hd__or3_2
+XFILLER_596_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77272_ _77274_/CLK _53488_/Y VGND VGND VPWR VPWR _77272_/Q sky130_fd_sc_hd__dfxtp_4
+X_58438_ _56839_/X VGND VGND VPWR VPWR _58439_/B sky130_fd_sc_hd__buf_1
+XFILLER_284_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43664_ _43791_/A VGND VGND VPWR VPWR _43790_/A sky130_fd_sc_hd__buf_1
+XFILLER_262_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_167_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74484_ _74484_/A _74471_/X VGND VGND VPWR VPWR _74485_/B sky130_fd_sc_hd__or2_2
+X_40876_ _40514_/A _40876_/B VGND VGND VPWR VPWR _40876_/X sky130_fd_sc_hd__or2_2
+XPHY_28854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71696_ _71696_/A VGND VGND VPWR VPWR _74089_/A sky130_fd_sc_hd__inv_8
+XFILLER_579_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_692_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_206_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_612_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79011_ _78988_/Q _79011_/D _46508_/X VGND VGND VPWR VPWR _46497_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_699_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45403_ io_out[26] _45403_/B VGND VGND VPWR VPWR _45404_/B sky130_fd_sc_hd__or2_2
+XPHY_28876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76223_ _74820_/A VGND VGND VPWR VPWR _38346_/A sky130_fd_sc_hd__buf_1
+XPHY_18353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42615_ _42611_/Y _42615_/B _42615_/C _42614_/X VGND VGND VPWR VPWR _42615_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_638_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_538_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_397_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49171_ _49168_/X VGND VGND VPWR VPWR _49193_/B sky130_fd_sc_hd__buf_1
+X_73435_ _74968_/A VGND VGND VPWR VPWR _74948_/A sky130_fd_sc_hd__buf_1
+XFILLER_163_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_227_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_19_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46383_ _46375_/X _46382_/Y VGND VGND VPWR VPWR _46383_/X sky130_fd_sc_hd__or2_2
+XFILLER_501_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58369_ _58288_/A _58369_/B _58368_/Y VGND VGND VPWR VPWR _58369_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_340_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70647_ _70647_/A _70600_/X _70646_/Y VGND VGND VPWR VPWR _70647_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_677_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43595_ _71480_/A _43588_/B VGND VGND VPWR VPWR _43597_/B sky130_fd_sc_hd__nor2_2
+XPHY_17630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_262_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_223_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_403_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48122_ _48120_/Y _48122_/B VGND VGND VPWR VPWR _78672_/D sky130_fd_sc_hd__nand2_2
+X_60400_ _59594_/A VGND VGND VPWR VPWR _60401_/B sky130_fd_sc_hd__buf_1
+XPHY_3272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_403_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45334_ _46635_/A _45309_/B VGND VGND VPWR VPWR _45334_/X sky130_fd_sc_hd__or2_2
+XFILLER_226_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76154_ _76151_/X _76153_/Y VGND VGND VPWR VPWR _80510_/D sky130_fd_sc_hd__nand2_2
+XFILLER_565_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42546_ _42546_/A _42545_/X VGND VGND VPWR VPWR _42546_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_477_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61380_ _60910_/A _61380_/B _61380_/C VGND VGND VPWR VPWR _61384_/B sky130_fd_sc_hd__nor3_2
+XFILLER_222_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73366_ _75043_/A _73366_/B VGND VGND VPWR VPWR _73366_/X sky130_fd_sc_hd__or2_2
+XFILLER_696_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70578_ _70578_/A VGND VGND VPWR VPWR _70579_/A sky130_fd_sc_hd__inv_8
+XFILLER_348_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_674_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75105_ _75348_/A _75101_/B VGND VGND VPWR VPWR _75105_/X sky130_fd_sc_hd__or2_2
+XFILLER_690_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48053_ _48043_/X VGND VGND VPWR VPWR _48063_/B sky130_fd_sc_hd__buf_1
+XFILLER_509_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72317_ _75566_/A VGND VGND VPWR VPWR _74802_/A sky130_fd_sc_hd__buf_1
+XPHY_16962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60331_ _60331_/A _60328_/Y _60331_/C VGND VGND VPWR VPWR _60331_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_580_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45265_ _45265_/A _45412_/B VGND VGND VPWR VPWR _45265_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_200_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76085_ _76136_/A VGND VGND VPWR VPWR _76085_/X sky130_fd_sc_hd__buf_1
+XPHY_16973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42477_ _42586_/A VGND VGND VPWR VPWR _42477_/X sky130_fd_sc_hd__buf_1
+XFILLER_399_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73297_ _73295_/Y _73297_/B VGND VGND VPWR VPWR _73297_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_35_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47004_ _46962_/A VGND VGND VPWR VPWR _47004_/X sky130_fd_sc_hd__buf_1
+XFILLER_673_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_4_10_0_u_core.clock clkbuf_3_5_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_4_10_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_1881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44216_ _44132_/A VGND VGND VPWR VPWR _44264_/B sky130_fd_sc_hd__buf_1
+XFILLER_317_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63050_ _62426_/A _63046_/Y _63049_/Y VGND VGND VPWR VPWR _63050_/Y sky130_fd_sc_hd__nor3_2
+X_75036_ _75036_/A _75035_/X VGND VGND VPWR VPWR _75036_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_524_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79913_ _79907_/CLK _40273_/Y VGND VGND VPWR VPWR _58482_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_651_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41428_ _41418_/A _41418_/B _58244_/A VGND VGND VPWR VPWR _41429_/B sky130_fd_sc_hd__nand3_2
+XPHY_34374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60262_ _60262_/A _59616_/X VGND VGND VPWR VPWR _60262_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72248_ _72248_/A _72248_/B VGND VGND VPWR VPWR _72248_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_302_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45196_ _45108_/A _45195_/Y VGND VGND VPWR VPWR _45197_/B sky130_fd_sc_hd__or2_2
+XPHY_33640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_451_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_421_0_u_core.clock clkbuf_9_421_0_u_core.clock/A VGND VGND VPWR VPWR _79902_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_155_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_493_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62001_ _61374_/A _61998_/Y _62001_/C VGND VGND VPWR VPWR _62002_/C sky130_fd_sc_hd__nor3_2
+XFILLER_139_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_471_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_196_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44147_ _44229_/A _44146_/X VGND VGND VPWR VPWR _44147_/X sky130_fd_sc_hd__and2_2
+XFILLER_305_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79844_ _80039_/CLK _40564_/Y VGND VGND VPWR VPWR _79844_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_193_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_475_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41359_ _41395_/A VGND VGND VPWR VPWR _41374_/A sky130_fd_sc_hd__buf_1
+XPHY_33684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60193_ _53741_/A _59868_/B VGND VGND VPWR VPWR _60194_/C sky130_fd_sc_hd__nor2_2
+X_72179_ _72177_/X _72178_/Y VGND VGND VPWR VPWR _81483_/D sky130_fd_sc_hd__nand2_2
+XPHY_23161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_252_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_710_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48955_ _47945_/A _48828_/X VGND VGND VPWR VPWR _54702_/A sky130_fd_sc_hd__or2_2
+X_44078_ _44060_/A _44000_/B VGND VGND VPWR VPWR _44078_/Y sky130_fd_sc_hd__nor2_2
+XPHY_22460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79775_ _79775_/CLK _40821_/Y VGND VGND VPWR VPWR _67164_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_61_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76987_ _76993_/CLK _76987_/D VGND VGND VPWR VPWR _76987_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_288_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_452_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47906_ _63313_/A _47909_/B VGND VGND VPWR VPWR _47908_/A sky130_fd_sc_hd__nand2_2
+XFILLER_430_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43029_ _45142_/A VGND VGND VPWR VPWR _43029_/Y sky130_fd_sc_hd__inv_8
+XFILLER_174_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66740_ _66740_/A _66574_/B VGND VGND VPWR VPWR _66740_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_659_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78726_ _78717_/CLK _47911_/Y VGND VGND VPWR VPWR _63149_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_250_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63952_ _63952_/A _63952_/B _63952_/C VGND VGND VPWR VPWR _63956_/B sky130_fd_sc_hd__nor3_2
+XFILLER_300_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75938_ _75926_/A _75926_/B _75938_/C VGND VGND VPWR VPWR _75939_/B sky130_fd_sc_hd__nand3_2
+XFILLER_285_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48886_ _48915_/A _48861_/A VGND VGND VPWR VPWR _48886_/X sky130_fd_sc_hd__or2_2
+XFILLER_664_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_269_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62903_ _62903_/A _62743_/X VGND VGND VPWR VPWR _62903_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_674_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47837_ _47837_/A _47823_/B VGND VGND VPWR VPWR _47840_/A sky130_fd_sc_hd__nand2_2
+XFILLER_150_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66671_ _67494_/A VGND VGND VPWR VPWR _67182_/A sky130_fd_sc_hd__buf_1
+X_78657_ _78638_/CLK _48186_/Y VGND VGND VPWR VPWR _78657_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_257_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_192_0_u_core.clock clkbuf_7_96_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_192_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_449_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63883_ _63483_/A _63875_/Y _63883_/C VGND VGND VPWR VPWR _63899_/A sky130_fd_sc_hd__nor3_2
+X_75869_ _75887_/A VGND VGND VPWR VPWR _75884_/A sky130_fd_sc_hd__buf_1
+XFILLER_627_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_113_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_11291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_446_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_469_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_474_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_681_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68410_ _68747_/A _68408_/Y _68409_/Y VGND VGND VPWR VPWR _68410_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_300_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_564_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65622_ _65280_/A _65617_/Y _65621_/Y VGND VGND VPWR VPWR _65622_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_623_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77608_ _77606_/CLK _77608_/D VGND VGND VPWR VPWR _77608_/Q sky130_fd_sc_hd__dfxtp_4
+X_38570_ _38579_/A _38579_/B _66080_/A VGND VGND VPWR VPWR _38571_/B sky130_fd_sc_hd__nand3_2
+XFILLER_445_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_26_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62834_ _58968_/X VGND VGND VPWR VPWR _63304_/A sky130_fd_sc_hd__buf_1
+XFILLER_662_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69390_ _58726_/A _68810_/X VGND VGND VPWR VPWR _69390_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_4_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47768_ _78762_/Q _47761_/B VGND VGND VPWR VPWR _47768_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_42_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78588_ _78867_/CLK _48459_/Y VGND VGND VPWR VPWR _78588_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_512_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_567_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_443_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_139_0_u_core.clock clkbuf_7_69_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_279_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_563_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_690_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49507_ _49629_/A _49522_/B VGND VGND VPWR VPWR _49508_/B sky130_fd_sc_hd__or2_2
+X_68341_ _68341_/A _67687_/X VGND VGND VPWR VPWR _68341_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_384_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_253_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46719_ _43074_/B _46718_/X VGND VGND VPWR VPWR _46720_/A sky130_fd_sc_hd__or2_2
+Xclkbuf_9_199_0_u_core.clock clkbuf_8_99_0_u_core.clock/X VGND VGND VPWR VPWR _79474_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_65553_ _65553_/A _65204_/B VGND VGND VPWR VPWR _65707_/B sky130_fd_sc_hd__nor2_2
+XFILLER_59_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_65_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_93_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77539_ _77528_/CLK _77539_/D VGND VGND VPWR VPWR _61413_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_616_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_643_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62765_ _62765_/A _63236_/B VGND VGND VPWR VPWR _62765_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_263_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_627_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47699_ _47600_/B _47699_/B VGND VGND VPWR VPWR _53501_/A sky130_fd_sc_hd__or2_2
+XFILLER_64_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_53_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_87_0_u_core.clock clkbuf_8_86_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_87_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_64504_ _64208_/A VGND VGND VPWR VPWR _65248_/A sky130_fd_sc_hd__buf_1
+X_80550_ _80577_/CLK _75984_/Y VGND VGND VPWR VPWR _80550_/Q sky130_fd_sc_hd__dfxtp_4
+X_61716_ _60935_/A VGND VGND VPWR VPWR _61717_/B sky130_fd_sc_hd__buf_1
+XFILLER_65_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49438_ _49438_/A _49444_/B VGND VGND VPWR VPWR _49438_/X sky130_fd_sc_hd__or2_2
+XFILLER_599_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68272_ _79686_/Q _68610_/B VGND VGND VPWR VPWR _68273_/C sky130_fd_sc_hd__nor2_2
+XFILLER_580_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65484_ _65483_/X VGND VGND VPWR VPWR _65485_/B sky130_fd_sc_hd__buf_1
+XFILLER_442_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62696_ _49919_/A _62227_/B VGND VGND VPWR VPWR _62696_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_228_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_107_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67223_ _67223_/A VGND VGND VPWR VPWR _67224_/B sky130_fd_sc_hd__buf_1
+XFILLER_602_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79209_ _81212_/CLK _79209_/D VGND VGND VPWR VPWR _44621_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_146_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64435_ _75700_/C _64862_/B VGND VGND VPWR VPWR _64437_/B sky130_fd_sc_hd__nor2_2
+XFILLER_209_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61647_ _60866_/A VGND VGND VPWR VPWR _61667_/A sky130_fd_sc_hd__buf_1
+X_49369_ _61811_/A _49355_/B VGND VGND VPWR VPWR _49370_/B sky130_fd_sc_hd__nand2_2
+X_80481_ _80477_/CLK _76278_/Y VGND VGND VPWR VPWR _80481_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_587_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_55_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_593_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_209_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39122_ _76089_/A VGND VGND VPWR VPWR _39123_/A sky130_fd_sc_hd__buf_1
+X_51400_ _51706_/A VGND VGND VPWR VPWR _51472_/A sky130_fd_sc_hd__buf_1
+XFILLER_221_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_226_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_33_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_421_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_719_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_691_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67154_ _66984_/A _67153_/Y VGND VGND VPWR VPWR _67154_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_568_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64366_ _64164_/A VGND VGND VPWR VPWR _64366_/X sky130_fd_sc_hd__buf_1
+X_52380_ _52380_/A _52380_/B VGND VGND VPWR VPWR _77563_/D sky130_fd_sc_hd__nand2_2
+XFILLER_601_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61578_ _61259_/X _61578_/B _61577_/Y VGND VGND VPWR VPWR _61579_/B sky130_fd_sc_hd__nor3_2
+XFILLER_296_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_181_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_222_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66105_ _66105_/A _65765_/B VGND VGND VPWR VPWR _66105_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_72_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39053_ _39071_/A VGND VGND VPWR VPWR _39054_/A sky130_fd_sc_hd__buf_1
+XFILLER_366_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51331_ _51331_/A _51331_/B VGND VGND VPWR VPWR _51331_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_634_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63317_ _61445_/A VGND VGND VPWR VPWR _63873_/B sky130_fd_sc_hd__buf_1
+XFILLER_705_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60529_ _62091_/A VGND VGND VPWR VPWR _60841_/A sky130_fd_sc_hd__buf_1
+XFILLER_437_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67085_ _67085_/A _67572_/B VGND VGND VPWR VPWR _67086_/C sky130_fd_sc_hd__nor2_2
+XFILLER_556_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_634_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64297_ _64130_/A VGND VGND VPWR VPWR _64298_/A sky130_fd_sc_hd__buf_1
+XFILLER_119_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_72_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_575_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81102_ _80751_/CLK _81102_/D VGND VGND VPWR VPWR _73753_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_454_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_382_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54050_ _53934_/A _54044_/B VGND VGND VPWR VPWR _54051_/B sky130_fd_sc_hd__or2_2
+XFILLER_53_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_535_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66036_ _65376_/A _66036_/B _66036_/C VGND VGND VPWR VPWR _66036_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_120_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_698_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51262_ _77856_/Q _51262_/B VGND VGND VPWR VPWR _51263_/B sky130_fd_sc_hd__nand2_2
+XFILLER_20_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63248_ _62773_/X _63244_/Y _63248_/C VGND VGND VPWR VPWR _63249_/C sky130_fd_sc_hd__nor3_2
+XFILLER_714_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_292_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53001_ _53001_/A _53001_/B VGND VGND VPWR VPWR _77396_/D sky130_fd_sc_hd__nand2_2
+XFILLER_307_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_179_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50213_ _78132_/Q _50220_/B VGND VGND VPWR VPWR _50213_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_419_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_118_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81033_ _80751_/CLK _74022_/Y VGND VGND VPWR VPWR _81033_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_66_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_140_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51193_ _51193_/A _51193_/B VGND VGND VPWR VPWR _51193_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_162_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63179_ _58931_/X VGND VGND VPWR VPWR _63180_/B sky130_fd_sc_hd__buf_1
+XFILLER_157_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_277_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_419_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_362_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_669_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50144_ _50144_/A _50144_/B VGND VGND VPWR VPWR _50144_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_372_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_612_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_523_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39955_ _39949_/A _39964_/B _79997_/Q VGND VGND VPWR VPWR _39955_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_118_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67987_ _68810_/A VGND VGND VPWR VPWR _68307_/B sky130_fd_sc_hd__buf_1
+XFILLER_290_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_67_0_u_core.clock clkbuf_9_67_0_u_core.clock/A VGND VGND VPWR VPWR _77937_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_333_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38906_ _38906_/A _38905_/Y VGND VGND VPWR VPWR _80260_/D sky130_fd_sc_hd__nand2_2
+XFILLER_406_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57740_ _67343_/A _57810_/B VGND VGND VPWR VPWR _57740_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_448_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69726_ _69726_/A _69726_/B _69725_/X VGND VGND VPWR VPWR _69727_/B sky130_fd_sc_hd__or3_2
+XPHY_8228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54952_ _54952_/A _54955_/B VGND VGND VPWR VPWR _54952_/X sky130_fd_sc_hd__or2_2
+XFILLER_511_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50075_ _50075_/A _50071_/B VGND VGND VPWR VPWR _50075_/Y sky130_fd_sc_hd__nand2_2
+X_66938_ _72532_/C _66767_/B VGND VGND VPWR VPWR _66940_/B sky130_fd_sc_hd__nor2_2
+XFILLER_311_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_460 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39886_ _39886_/A _39885_/Y VGND VGND VPWR VPWR _80015_/D sky130_fd_sc_hd__nand2_2
+XFILLER_118_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_569_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53903_ _77159_/Q _53903_/B VGND VGND VPWR VPWR _53905_/A sky130_fd_sc_hd__nand2_2
+XFILLER_134_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_114_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_437_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38837_ _38783_/A VGND VGND VPWR VPWR _38837_/X sky130_fd_sc_hd__buf_1
+XFILLER_9_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57671_ _57582_/A _57649_/Y _57671_/C VGND VGND VPWR VPWR _57671_/X sky130_fd_sc_hd__or3_2
+XPHY_7538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69657_ _69569_/A VGND VGND VPWR VPWR _69716_/A sky130_fd_sc_hd__buf_1
+X_54883_ _54939_/A _54898_/B VGND VGND VPWR VPWR _54884_/B sky130_fd_sc_hd__or2_2
+XFILLER_5_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66869_ _64112_/X VGND VGND VPWR VPWR _67033_/B sky130_fd_sc_hd__buf_1
+XFILLER_667_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_528_3072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_130_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_508_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59410_ _59410_/A _59401_/Y _59409_/Y VGND VGND VPWR VPWR _59410_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_85_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56622_ _64963_/A _56622_/B VGND VGND VPWR VPWR _56622_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_61_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68608_ _68776_/A _68608_/B _68608_/C VGND VGND VPWR VPWR _68612_/B sky130_fd_sc_hd__nor3_2
+XFILLER_726_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53834_ _53834_/A _53831_/B VGND VGND VPWR VPWR _53835_/B sky130_fd_sc_hd__or2_2
+XFILLER_366_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_275_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_268_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38768_ _38768_/A _38780_/B _80296_/Q VGND VGND VPWR VPWR _38768_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_508_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_311_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_214_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69588_ _69588_/A VGND VGND VPWR VPWR _69588_/Y sky130_fd_sc_hd__inv_8
+XFILLER_628_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_366_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_465_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59341_ _59341_/A _59499_/B VGND VGND VPWR VPWR _59342_/C sky130_fd_sc_hd__nor2_2
+XFILLER_622_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_112_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68539_ _68530_/X _68539_/B _68538_/Y VGND VGND VPWR VPWR _68539_/Y sky130_fd_sc_hd__nor3_2
+X_80817_ _80841_/CLK _74933_/Y VGND VGND VPWR VPWR _74932_/C sky130_fd_sc_hd__dfxtp_4
+X_56553_ _56476_/A _56553_/B _56552_/Y VGND VGND VPWR VPWR _56554_/B sky130_fd_sc_hd__nor3_2
+XFILLER_417_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_708_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53765_ _53765_/A _53783_/B VGND VGND VPWR VPWR _53769_/A sky130_fd_sc_hd__nand2_2
+XPHY_38629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38699_ _38562_/A _38692_/B VGND VGND VPWR VPWR _38699_/X sky130_fd_sc_hd__or2_2
+X_50977_ _50977_/A _50976_/X VGND VGND VPWR VPWR _77930_/D sky130_fd_sc_hd__nand2_2
+XFILLER_77_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55504_ _55607_/A _55493_/X _55504_/C VGND VGND VPWR VPWR _55504_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_164_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40730_ _40728_/X _40730_/B VGND VGND VPWR VPWR _79799_/D sky130_fd_sc_hd__nand2_2
+XFILLER_578_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52716_ _52716_/A _52715_/X VGND VGND VPWR VPWR _52716_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59272_ _59272_/A _59271_/Y VGND VGND VPWR VPWR _59273_/B sky130_fd_sc_hd__nor2_2
+X_71550_ _71490_/A _70996_/A VGND VGND VPWR VPWR _71551_/B sky130_fd_sc_hd__nor2_2
+XFILLER_164_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56484_ _58827_/A _56484_/B _56483_/Y VGND VGND VPWR VPWR _56484_/Y sky130_fd_sc_hd__nor3_2
+X_80748_ _80714_/CLK _80748_/D VGND VGND VPWR VPWR _69152_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_541_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_186_1467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53696_ _53696_/A _53695_/X VGND VGND VPWR VPWR _77216_/D sky130_fd_sc_hd__nand2_2
+XFILLER_232_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_38_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_309_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58223_ _74312_/C _58223_/B VGND VGND VPWR VPWR _58223_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_325_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70501_ _70489_/Y _70497_/X VGND VGND VPWR VPWR _70501_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_129_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_227_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55435_ _55447_/A _55434_/Y VGND VGND VPWR VPWR _55435_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_164_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40661_ _40394_/A _40658_/B VGND VGND VPWR VPWR _40661_/X sky130_fd_sc_hd__or2_2
+XPHY_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_600_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52647_ _52647_/A _52647_/B VGND VGND VPWR VPWR _52647_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_674_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71481_ _69871_/X VGND VGND VPWR VPWR _71482_/B sky130_fd_sc_hd__buf_1
+XFILLER_240_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80679_ _80630_/CLK _75465_/Y VGND VGND VPWR VPWR _68350_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_26715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_600_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_344_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_70_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42400_ _42400_/A _42399_/X VGND VGND VPWR VPWR _79486_/D sky130_fd_sc_hd__and2_2
+XFILLER_587_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73220_ _73220_/A _73219_/X VGND VGND VPWR VPWR _73220_/Y sky130_fd_sc_hd__nand2_2
+XPHY_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_184_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70432_ _69982_/Y VGND VGND VPWR VPWR _70463_/A sky130_fd_sc_hd__buf_1
+X_58154_ _58307_/A _58154_/B _58154_/C VGND VGND VPWR VPWR _58162_/A sky130_fd_sc_hd__nor3_2
+XFILLER_197_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43380_ _43378_/X _43380_/B VGND VGND VPWR VPWR _43380_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55366_ _55457_/A VGND VGND VPWR VPWR _55444_/A sky130_fd_sc_hd__buf_1
+XFILLER_205_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40592_ _40590_/X _40592_/B VGND VGND VPWR VPWR _79836_/D sky130_fd_sc_hd__nand2_2
+XFILLER_140_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52578_ _54489_/A _52670_/B VGND VGND VPWR VPWR _52578_/X sky130_fd_sc_hd__or2_2
+XPHY_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_358_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57105_ _80952_/Q _57275_/B VGND VGND VPWR VPWR _57105_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_401_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_180_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_373_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42331_ _45255_/A _42331_/B VGND VGND VPWR VPWR _42333_/A sky130_fd_sc_hd__or2_2
+X_54317_ _60173_/A _54313_/B VGND VGND VPWR VPWR _54317_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_303_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_715_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73151_ _73148_/Y _73151_/B VGND VGND VPWR VPWR _73151_/Y sky130_fd_sc_hd__nand2_2
+XPHY_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_502_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51529_ _51526_/X VGND VGND VPWR VPWR _51551_/B sky130_fd_sc_hd__buf_1
+XPHY_15524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58085_ _58085_/A _58085_/B _58085_/C VGND VGND VPWR VPWR _58085_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_385_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70363_ _69950_/B _70675_/C VGND VGND VPWR VPWR _70364_/B sky130_fd_sc_hd__nor2_2
+XFILLER_199_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_494_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55297_ _55262_/Y _55296_/X VGND VGND VPWR VPWR _55297_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_617_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_472_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_394_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72102_ _72034_/A _72091_/Y _72102_/C _72101_/Y VGND VGND VPWR VPWR _72102_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_373_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45050_ _45089_/A _45050_/B VGND VGND VPWR VPWR _45050_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_506_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57036_ _56710_/A _57034_/Y _57035_/Y VGND VGND VPWR VPWR _57042_/B sky130_fd_sc_hd__nor3_2
+XFILLER_390_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54248_ _54695_/A VGND VGND VPWR VPWR _54248_/X sky130_fd_sc_hd__buf_1
+XPHY_15568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42262_ _42262_/A _42262_/B _42262_/C VGND VGND VPWR VPWR _42263_/C sky130_fd_sc_hd__nor3_2
+XFILLER_101_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73082_ _73082_/A VGND VGND VPWR VPWR _73089_/B sky130_fd_sc_hd__buf_1
+XFILLER_158_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70294_ _70284_/X _70293_/X VGND VGND VPWR VPWR _70342_/A sky130_fd_sc_hd__and2_2
+XFILLER_490_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_455_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_405_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_137_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_561_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44001_ _43996_/Y VGND VGND VPWR VPWR _44208_/A sky130_fd_sc_hd__buf_1
+XPHY_14856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_153_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_475_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41213_ _41176_/X VGND VGND VPWR VPWR _41228_/B sky130_fd_sc_hd__buf_1
+XPHY_32224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76910_ _77379_/CLK _54846_/Y VGND VGND VPWR VPWR _76910_/Q sky130_fd_sc_hd__dfxtp_4
+X_72033_ _72026_/Y _72028_/Y _72032_/Y VGND VGND VPWR VPWR _72033_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_630_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_436_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1514 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_218_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42193_ _42262_/C VGND VGND VPWR VPWR _42255_/B sky130_fd_sc_hd__inv_8
+XFILLER_531_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54179_ _54177_/Y _54179_/B VGND VGND VPWR VPWR _54179_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_84_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77890_ _77891_/CLK _77890_/D VGND VGND VPWR VPWR _62573_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_4_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_516_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_649_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_292_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41144_ _41144_/A _41002_/B _64623_/A VGND VGND VPWR VPWR _41144_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_49_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_390_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76841_ _76841_/CLK _55152_/X VGND VGND VPWR VPWR _41673_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_21011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_125_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_630_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58987_ _47698_/B VGND VGND VPWR VPWR _59110_/A sky130_fd_sc_hd__buf_1
+XFILLER_341_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_136_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_3096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_665_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48740_ _48711_/A _48740_/B VGND VGND VPWR VPWR _48740_/X sky130_fd_sc_hd__or2_2
+XFILLER_106_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79560_ _79565_/CLK _41786_/Y _41780_/X VGND VGND VPWR VPWR _58850_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_191_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57938_ _57929_/Y _57937_/Y VGND VGND VPWR VPWR _57938_/Y sky130_fd_sc_hd__nor2_2
+X_45952_ _79060_/Q _45958_/B VGND VGND VPWR VPWR _45952_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_140_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41075_ _41074_/X _41066_/X VGND VGND VPWR VPWR _41075_/X sky130_fd_sc_hd__or2_2
+XPHY_31589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76772_ _76768_/CLK _55803_/Y VGND VGND VPWR VPWR _76772_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_9463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_583_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73984_ _73984_/A _73983_/Y VGND VGND VPWR VPWR _73984_/Y sky130_fd_sc_hd__nand2_2
+XPHY_30855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_726_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78511_ _78530_/CLK _78511_/D VGND VGND VPWR VPWR _63272_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_82_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40026_ _40266_/A _40034_/B VGND VGND VPWR VPWR _40028_/A sky130_fd_sc_hd__or2_2
+X_44903_ _44903_/A _44903_/B _44903_/C VGND VGND VPWR VPWR _44903_/Y sky130_fd_sc_hd__nor3_2
+XPHY_9496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75723_ _75726_/A _75713_/B _80619_/Q VGND VGND VPWR VPWR _75723_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_388_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_646_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48671_ _78533_/Q _48650_/B VGND VGND VPWR VPWR _48671_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_690_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72935_ _72935_/A _72935_/B VGND VGND VPWR VPWR _81305_/D sky130_fd_sc_hd__nand2_2
+XPHY_20365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79491_ _78988_/Q _79491_/D _42337_/X VGND VGND VPWR VPWR _79491_/Q sky130_fd_sc_hd__dfstp_4
+XFILLER_681_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45883_ _79081_/Q _45912_/B VGND VGND VPWR VPWR _45883_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_234_1479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57869_ _80034_/Q _57633_/B VGND VGND VPWR VPWR _57869_/Y sky130_fd_sc_hd__nor2_2
+XPHY_30899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_581_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47622_ _47622_/A _47621_/X VGND VGND VPWR VPWR _47622_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_456_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59608_ _59271_/A _59600_/Y _59607_/Y VGND VGND VPWR VPWR _59608_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_43_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_685_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78442_ _78102_/CLK _78442_/D VGND VGND VPWR VPWR _63691_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_43_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44834_ _44563_/A VGND VGND VPWR VPWR _44891_/A sky130_fd_sc_hd__buf_1
+XFILLER_78_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75654_ _75651_/A _75651_/B _80637_/Q VGND VGND VPWR VPWR _75654_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_644_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_628_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_564_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60880_ _60091_/A VGND VGND VPWR VPWR _61037_/B sky130_fd_sc_hd__buf_1
+XFILLER_120_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72866_ _72866_/A VGND VGND VPWR VPWR _73778_/A sky130_fd_sc_hd__buf_1
+XFILLER_8_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_384_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74605_ _74598_/A _74605_/B _67083_/A VGND VGND VPWR VPWR _74606_/B sky130_fd_sc_hd__nand3_2
+XFILLER_78_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71817_ _71817_/A _71784_/B _71817_/C VGND VGND VPWR VPWR _71817_/Y sky130_fd_sc_hd__nor3_2
+X_47553_ _47551_/Y _47553_/B VGND VGND VPWR VPWR _78817_/D sky130_fd_sc_hd__nand2_2
+XFILLER_624_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59539_ _59376_/A _59539_/B _59539_/C VGND VGND VPWR VPWR _59543_/B sky130_fd_sc_hd__nor3_2
+XFILLER_349_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78373_ _78338_/CLK _49282_/Y VGND VGND VPWR VPWR _62981_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_625_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44765_ _44563_/A VGND VGND VPWR VPWR _44796_/A sky130_fd_sc_hd__buf_1
+XFILLER_207_423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_9_211_0_u_core.clock clkbuf_9_211_0_u_core.clock/A VGND VGND VPWR VPWR _79185_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_29330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75585_ _75585_/A _74664_/A VGND VGND VPWR VPWR _75598_/A sky130_fd_sc_hd__or2_2
+XFILLER_429_2291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41977_ _41974_/Y _42014_/B VGND VGND VPWR VPWR _41977_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_210_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_46_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72797_ _72801_/A _72801_/B _81336_/Q VGND VGND VPWR VPWR _72797_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_597_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_622_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46504_ _46504_/A _46509_/B VGND VGND VPWR VPWR _46505_/B sky130_fd_sc_hd__and2_2
+XFILLER_581_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77324_ _77318_/CLK _53284_/Y VGND VGND VPWR VPWR _53281_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_95_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43716_ _43705_/Y _43928_/A VGND VGND VPWR VPWR _43716_/X sky130_fd_sc_hd__or2_4
+X_62550_ _78138_/Q _62387_/B VGND VGND VPWR VPWR _62552_/B sky130_fd_sc_hd__nor2_2
+XFILLER_349_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_90_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74536_ _74536_/A _74540_/B VGND VGND VPWR VPWR _74536_/X sky130_fd_sc_hd__or2_2
+XFILLER_74_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_527_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_507_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40928_ _40928_/A _40927_/Y VGND VGND VPWR VPWR _79747_/D sky130_fd_sc_hd__nand2_2
+XFILLER_657_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47484_ _47452_/A _47461_/A VGND VGND VPWR VPWR _47484_/X sky130_fd_sc_hd__or2_2
+X_71748_ _70582_/A _71482_/B VGND VGND VPWR VPWR _71753_/B sky130_fd_sc_hd__nor2_2
+XFILLER_186_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_323_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44696_ _44384_/C _44696_/B _44696_/C VGND VGND VPWR VPWR _44696_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_223_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61501_ _61501_/A _61037_/B VGND VGND VPWR VPWR _61503_/B sky130_fd_sc_hd__nor2_2
+X_49223_ _49107_/A _49198_/A VGND VGND VPWR VPWR _49223_/X sky130_fd_sc_hd__or2_2
+XFILLER_679_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46435_ _46168_/A _46436_/A VGND VGND VPWR VPWR _46435_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_50_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_596_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_380_0_u_core.clock clkbuf_9_381_0_u_core.clock/A VGND VGND VPWR VPWR _77686_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_77255_ _77254_/CLK _53550_/Y VGND VGND VPWR VPWR _77255_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_245_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62481_ _62478_/X _62481_/B _62480_/Y VGND VGND VPWR VPWR _62485_/B sky130_fd_sc_hd__nor3_2
+X_43647_ _71923_/A _43650_/B VGND VGND VPWR VPWR _43649_/B sky130_fd_sc_hd__nor2_2
+XFILLER_234_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74467_ _74467_/A _74467_/B VGND VGND VPWR VPWR _80928_/D sky130_fd_sc_hd__nand2_2
+XFILLER_523_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40859_ _40855_/X _40859_/B VGND VGND VPWR VPWR _40859_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_618_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71679_ _71679_/A VGND VGND VPWR VPWR _71680_/B sky130_fd_sc_hd__inv_8
+XFILLER_495_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_501_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_692_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64220_ _64220_/A _64178_/B VGND VGND VPWR VPWR _64220_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_379_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76206_ _76330_/A _76210_/B VGND VGND VPWR VPWR _76206_/X sky130_fd_sc_hd__or2_2
+XFILLER_362_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73418_ _73417_/X _73408_/B _81190_/Q VGND VGND VPWR VPWR _73421_/A sky130_fd_sc_hd__nand3_2
+X_61432_ _61259_/X _61432_/B _61431_/Y VGND VGND VPWR VPWR _61433_/B sky130_fd_sc_hd__nor3_2
+XFILLER_37_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49154_ _49152_/Y _49154_/B VGND VGND VPWR VPWR _78409_/D sky130_fd_sc_hd__nand2_2
+XFILLER_19_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46366_ _46110_/A _46366_/B VGND VGND VPWR VPWR _46368_/A sky130_fd_sc_hd__or2_2
+XFILLER_584_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_397_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_657_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77186_ _77183_/CLK _77186_/D VGND VGND VPWR VPWR _77186_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_27983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43578_ _42569_/B _43575_/B VGND VGND VPWR VPWR _43579_/C sky130_fd_sc_hd__nor2_2
+XFILLER_343_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74398_ _74543_/B _74398_/B VGND VGND VPWR VPWR _74399_/A sky130_fd_sc_hd__or2_2
+XFILLER_305_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_327_0_u_core.clock clkbuf_9_327_0_u_core.clock/A VGND VGND VPWR VPWR _78638_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_337_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48105_ _48104_/X VGND VGND VPWR VPWR _48120_/B sky130_fd_sc_hd__buf_1
+XFILLER_108_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45317_ _45317_/A _45087_/B VGND VGND VPWR VPWR _45317_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_635_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64151_ _75179_/C _64150_/X VGND VGND VPWR VPWR _64156_/B sky130_fd_sc_hd__nor2_2
+XFILLER_102_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76137_ _38278_/A _76156_/B VGND VGND VPWR VPWR _76137_/X sky130_fd_sc_hd__or2_2
+XFILLER_403_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42529_ _42525_/Y _42529_/B _42615_/C _42528_/X VGND VGND VPWR VPWR _42529_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_726_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61363_ _61363_/A _61208_/B VGND VGND VPWR VPWR _61364_/C sky130_fd_sc_hd__nor2_2
+X_49085_ _47320_/A VGND VGND VPWR VPWR _49087_/A sky130_fd_sc_hd__buf_1
+XFILLER_638_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73349_ _73349_/A _73349_/B VGND VGND VPWR VPWR _81209_/D sky130_fd_sc_hd__nand2_2
+XFILLER_553_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46297_ _43224_/A _46291_/B VGND VGND VPWR VPWR _46298_/C sky130_fd_sc_hd__nor2_2
+XFILLER_321_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_54_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63102_ _62477_/X _63098_/Y _63101_/Y VGND VGND VPWR VPWR _63102_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_30_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48036_ _78694_/Q _48039_/B VGND VGND VPWR VPWR _48036_/Y sky130_fd_sc_hd__nand2_2
+X_60314_ _54342_/A _59980_/B VGND VGND VPWR VPWR _60314_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_293_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45248_ _45128_/X _45248_/B _45247_/Y VGND VGND VPWR VPWR _45248_/Y sky130_fd_sc_hd__nor3_2
+X_76068_ _76068_/A _76080_/B VGND VGND VPWR VPWR _76068_/X sky130_fd_sc_hd__or2_2
+XFILLER_293_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64082_ _44901_/A _64082_/B VGND VGND VPWR VPWR _64419_/A sky130_fd_sc_hd__nor2_2
+XFILLER_297_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61294_ _61294_/A _60818_/B VGND VGND VPWR VPWR _61294_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_496_0_u_core.clock clkbuf_9_497_0_u_core.clock/A VGND VGND VPWR VPWR _80584_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_85_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_176_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_673_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75019_ _75019_/A VGND VGND VPWR VPWR _75030_/B sky130_fd_sc_hd__buf_1
+X_67910_ _68229_/A _67910_/B _67910_/C VGND VGND VPWR VPWR _67914_/B sky130_fd_sc_hd__nor3_2
+X_63033_ _62564_/A _63033_/B _63032_/Y VGND VGND VPWR VPWR _63034_/C sky130_fd_sc_hd__nor3_2
+XFILLER_129_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_356_1537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60245_ _77355_/Q _59595_/B VGND VGND VPWR VPWR _60247_/B sky130_fd_sc_hd__nor2_2
+XFILLER_305_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_293_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_102_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_454_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_89_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45179_ _45178_/Y _45091_/B VGND VGND VPWR VPWR _45180_/B sky130_fd_sc_hd__nor2_2
+XFILLER_631_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68890_ _81130_/Q _69180_/B VGND VGND VPWR VPWR _68892_/B sky130_fd_sc_hd__nor2_2
+XFILLER_373_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_460 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_48_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_478_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_236_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67841_ _65364_/X VGND VGND VPWR VPWR _67842_/B sky130_fd_sc_hd__buf_1
+XFILLER_305_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_722_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79827_ _79824_/CLK _40624_/Y VGND VGND VPWR VPWR _56670_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_711_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60176_ _60001_/A _60172_/Y _60175_/Y VGND VGND VPWR VPWR _60176_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_274_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_239_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49987_ _49980_/A VGND VGND VPWR VPWR _50000_/B sky130_fd_sc_hd__buf_1
+XPHY_32780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_694_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_484_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39740_ _39740_/A _39740_/B VGND VGND VPWR VPWR _80046_/D sky130_fd_sc_hd__nand2_2
+XFILLER_373_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_130_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_334_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_131_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48938_ _48935_/Y _48938_/B VGND VGND VPWR VPWR _48938_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_664_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67772_ _67434_/A _67772_/B _67771_/Y VGND VGND VPWR VPWR _67851_/B sky130_fd_sc_hd__nor3_2
+XPHY_22290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79758_ _79757_/CLK _40887_/Y VGND VGND VPWR VPWR _58841_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_298_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64984_ _64351_/X VGND VGND VPWR VPWR _65677_/A sky130_fd_sc_hd__buf_1
+XFILLER_313_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_410_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_410_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_28_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69511_ _68948_/X _69511_/B _69510_/Y VGND VGND VPWR VPWR _69512_/C sky130_fd_sc_hd__nor3_2
+XFILLER_252_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66723_ _67056_/A _66723_/B _66723_/C VGND VGND VPWR VPWR _66727_/B sky130_fd_sc_hd__nor3_2
+XFILLER_447_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_112_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78709_ _78741_/CLK _47973_/Y VGND VGND VPWR VPWR _63008_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_6_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39671_ _39678_/A _39668_/B _67332_/A VGND VGND VPWR VPWR _39671_/Y sky130_fd_sc_hd__nand3_2
+X_63935_ _63935_/A _59263_/A VGND VGND VPWR VPWR _63935_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_135_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_410_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48869_ _63676_/A _48873_/B VGND VGND VPWR VPWR _48869_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_328_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79689_ _79626_/CLK _79689_/D VGND VGND VPWR VPWR _68780_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_22_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_547_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_408_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38622_ _38634_/A VGND VGND VPWR VPWR _38631_/B sky130_fd_sc_hd__buf_1
+X_50900_ _50985_/A _50900_/B VGND VGND VPWR VPWR _50900_/X sky130_fd_sc_hd__or2_2
+XFILLER_113_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69442_ _75833_/C _69302_/B VGND VGND VPWR VPWR _69442_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_57_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66654_ _66654_/A VGND VGND VPWR VPWR _66992_/A sky130_fd_sc_hd__buf_1
+XFILLER_300_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51880_ _77694_/Q _51884_/B VGND VGND VPWR VPWR _51880_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_447_3081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63866_ _63850_/Y _63865_/Y VGND VGND VPWR VPWR _63866_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_268_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_560_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_607_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65605_ _65430_/A _65605_/B _65605_/C VGND VGND VPWR VPWR _65605_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_272_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_284_186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38553_ _38507_/A VGND VGND VPWR VPWR _38558_/B sky130_fd_sc_hd__buf_1
+X_50831_ _50831_/A _50830_/X VGND VGND VPWR VPWR _50831_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_6_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62817_ _62817_/A _62337_/X VGND VGND VPWR VPWR _62817_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_705_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69373_ _58759_/A _69235_/B VGND VGND VPWR VPWR _69373_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_449_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66585_ _64911_/A VGND VGND VPWR VPWR _67254_/B sky130_fd_sc_hd__buf_1
+XFILLER_415_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63797_ _63222_/X _63795_/Y _63796_/Y VGND VGND VPWR VPWR _63797_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_26_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_622_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_183_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_423_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_662_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_399_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80602_ _80597_/CLK _80602_/D VGND VGND VPWR VPWR _80602_/Q sky130_fd_sc_hd__dfxtp_4
+X_68324_ _68321_/X _68324_/B _68324_/C VGND VGND VPWR VPWR _68325_/C sky130_fd_sc_hd__nor3_2
+XFILLER_525_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53550_ _53550_/A _53550_/B VGND VGND VPWR VPWR _53550_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_59_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65536_ _65692_/A _65533_/Y _65535_/Y VGND VGND VPWR VPWR _65536_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_421_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50762_ _50785_/B VGND VGND VPWR VPWR _50766_/B sky130_fd_sc_hd__buf_1
+XFILLER_697_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38484_ _38484_/A _38503_/B VGND VGND VPWR VPWR _38484_/X sky130_fd_sc_hd__or2_2
+XFILLER_506_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62748_ _62118_/X _62742_/Y _62748_/C VGND VGND VPWR VPWR _62748_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_187_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_404_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_558_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52501_ _52499_/Y _52500_/X VGND VGND VPWR VPWR _77531_/D sky130_fd_sc_hd__nand2_2
+XFILLER_640_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_213_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_298_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80533_ _80535_/CLK _80533_/D VGND VGND VPWR VPWR _65403_/A sky130_fd_sc_hd__dfxtp_4
+X_68255_ _68255_/A _68254_/Y VGND VGND VPWR VPWR _68255_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_365_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_263_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53481_ _77273_/Q _53476_/B VGND VGND VPWR VPWR _53481_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_402_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65467_ _64433_/A VGND VGND VPWR VPWR _65467_/X sky130_fd_sc_hd__buf_1
+XFILLER_718_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50693_ _50664_/A _50667_/X VGND VGND VPWR VPWR _50693_/X sky130_fd_sc_hd__or2_2
+X_62679_ _62679_/A _62056_/X VGND VGND VPWR VPWR _62680_/C sky130_fd_sc_hd__nor2_2
+XFILLER_16_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_529_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_380_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55220_ _55095_/B VGND VGND VPWR VPWR _55221_/B sky130_fd_sc_hd__inv_8
+X_67206_ _66877_/A _67204_/Y _67206_/C VGND VGND VPWR VPWR _67211_/B sky130_fd_sc_hd__nor3_2
+XFILLER_228_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_52_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52432_ _52429_/X VGND VGND VPWR VPWR _52451_/B sky130_fd_sc_hd__buf_1
+X_64418_ _64418_/A _65021_/A _64418_/C VGND VGND VPWR VPWR _64418_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_241_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_181_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68186_ _80710_/Q _67864_/B VGND VGND VPWR VPWR _68188_/B sky130_fd_sc_hd__nor2_2
+XFILLER_577_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80464_ _80495_/CLK _80464_/D VGND VGND VPWR VPWR _76338_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_322_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65398_ _65398_/A _65043_/B VGND VGND VPWR VPWR _65398_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_593_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_55_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_300_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39105_ _39089_/A _39105_/B _39105_/C VGND VGND VPWR VPWR _39106_/B sky130_fd_sc_hd__nand3_2
+XFILLER_675_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55151_ _79474_/Q _55150_/Y VGND VGND VPWR VPWR _55151_/X sky130_fd_sc_hd__xor2_2
+XFILLER_712_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67137_ _67137_/A _66798_/X VGND VGND VPWR VPWR _67139_/B sky130_fd_sc_hd__nor2_2
+XFILLER_675_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52363_ _60790_/A _52363_/B VGND VGND VPWR VPWR _52363_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_519_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64349_ _64349_/A _64190_/B VGND VGND VPWR VPWR _64349_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_476_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_393_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80395_ _80393_/CLK _80395_/D VGND VGND VPWR VPWR _38363_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54102_ _54102_/A VGND VGND VPWR VPWR _54103_/A sky130_fd_sc_hd__buf_1
+XFILLER_378_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51314_ _51372_/A _51335_/B VGND VGND VPWR VPWR _51315_/B sky130_fd_sc_hd__or2_2
+X_39036_ _39036_/A _39036_/B VGND VGND VPWR VPWR _80225_/D sky130_fd_sc_hd__nand2_2
+XFILLER_194_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55082_ _70819_/X _46898_/B VGND VGND VPWR VPWR _55082_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_652_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67068_ _67392_/A _67068_/B _67067_/Y VGND VGND VPWR VPWR _67068_/Y sky130_fd_sc_hd__nor3_2
+X_52294_ _52172_/A _52303_/B VGND VGND VPWR VPWR _52295_/B sky130_fd_sc_hd__or2_2
+XPHY_23919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_50_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_296_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_267_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_153_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_374_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_359_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54033_ _48257_/X _54033_/B VGND VGND VPWR VPWR _54043_/A sky130_fd_sc_hd__or2_2
+X_58910_ _58910_/A _58897_/Y _58910_/C VGND VGND VPWR VPWR _58910_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_101_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66019_ _57086_/A _66187_/B VGND VGND VPWR VPWR _66021_/B sky130_fd_sc_hd__nor2_2
+XFILLER_105_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_517_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_85_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51245_ _51410_/A VGND VGND VPWR VPWR _53063_/A sky130_fd_sc_hd__buf_1
+XFILLER_630_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_359_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59890_ _77161_/Q _60214_/B VGND VGND VPWR VPWR _59893_/B sky130_fd_sc_hd__nor2_2
+XFILLER_437_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_88_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_134_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81016_ _80923_/CLK _81016_/D VGND VGND VPWR VPWR _65929_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_66_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58841_ _58841_/A _56355_/B VGND VGND VPWR VPWR _58841_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51176_ _51148_/A _51194_/B VGND VGND VPWR VPWR _51177_/B sky130_fd_sc_hd__or2_2
+XFILLER_157_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_6_45_0_u_core.clock clkbuf_5_22_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_6_45_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_84_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_587_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_283_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50127_ _50152_/B VGND VGND VPWR VPWR _50142_/B sky130_fd_sc_hd__buf_1
+XFILLER_351_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_657_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39938_ _39938_/A _39938_/B VGND VGND VPWR VPWR _39938_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_122_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58772_ _58772_/A _56336_/B VGND VGND VPWR VPWR _58772_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_352_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_350_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55984_ _55984_/A VGND VGND VPWR VPWR _55988_/A sky130_fd_sc_hd__buf_1
+XFILLER_88_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_96_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_118_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_251_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57723_ _57796_/A _57720_/Y _57723_/C VGND VGND VPWR VPWR _57723_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_103_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69709_ _69701_/Y _69702_/X _69709_/C VGND VGND VPWR VPWR _69709_/X sky130_fd_sc_hd__or3_2
+XFILLER_584_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50058_ _78173_/Q _50055_/B VGND VGND VPWR VPWR _50060_/A sky130_fd_sc_hd__nand2_2
+XFILLER_477_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54935_ _54935_/A _54935_/B VGND VGND VPWR VPWR _54945_/A sky130_fd_sc_hd__or2_2
+XFILLER_628_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39869_ _39867_/X _39868_/Y VGND VGND VPWR VPWR _80019_/D sky130_fd_sc_hd__nand2_2
+XFILLER_311_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70981_ _70913_/X _71310_/B VGND VGND VPWR VPWR _71004_/A sky130_fd_sc_hd__nor2_2
+XFILLER_530_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41900_ _41861_/A VGND VGND VPWR VPWR _46561_/A sky130_fd_sc_hd__inv_8
+X_72720_ _72170_/A _72824_/B VGND VGND VPWR VPWR _72720_/X sky130_fd_sc_hd__or2_2
+XFILLER_40_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_79_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57654_ _56345_/A VGND VGND VPWR VPWR _57655_/B sky130_fd_sc_hd__buf_1
+XFILLER_385_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_275_153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42880_ _43219_/A _42878_/Y _42880_/C VGND VGND VPWR VPWR _79444_/D sky130_fd_sc_hd__nor3_2
+XFILLER_5_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54866_ _54866_/A _54866_/B VGND VGND VPWR VPWR _54866_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_433_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56605_ _57014_/A VGND VGND VPWR VPWR _56946_/A sky130_fd_sc_hd__buf_1
+XFILLER_483_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41831_ _46647_/A _41796_/B VGND VGND VPWR VPWR _41836_/A sky130_fd_sc_hd__or2_2
+XPHY_38404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53817_ _53815_/Y _53816_/X VGND VGND VPWR VPWR _77184_/D sky130_fd_sc_hd__nand2_2
+XPHY_5922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72651_ _72648_/X _72650_/Y VGND VGND VPWR VPWR _81375_/D sky130_fd_sc_hd__nand2_2
+XPHY_6667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57585_ _57423_/X _57585_/B _57585_/C VGND VGND VPWR VPWR _57589_/B sky130_fd_sc_hd__nor3_2
+XFILLER_75_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54797_ _54797_/A VGND VGND VPWR VPWR _54804_/B sky130_fd_sc_hd__buf_1
+XFILLER_422_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_96_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_483_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59324_ _59807_/A VGND VGND VPWR VPWR _59327_/A sky130_fd_sc_hd__buf_1
+X_71602_ _70917_/B _71600_/X VGND VGND VPWR VPWR _71602_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_92_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44550_ _44541_/B _44549_/Y _44542_/C VGND VGND VPWR VPWR _44550_/Y sky130_fd_sc_hd__nor3_2
+X_56536_ _56536_/A _56536_/B _56536_/C VGND VGND VPWR VPWR _56537_/B sky130_fd_sc_hd__nor3_2
+XPHY_5966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75370_ _75367_/A _75375_/B _66705_/A VGND VGND VPWR VPWR _75371_/B sky130_fd_sc_hd__nand3_2
+XFILLER_543_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53748_ _77201_/Q _53751_/B VGND VGND VPWR VPWR _53748_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_441_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41762_ _42303_/A VGND VGND VPWR VPWR _41883_/A sky130_fd_sc_hd__buf_1
+XFILLER_244_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72582_ _72579_/X _72581_/Y VGND VGND VPWR VPWR _72582_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_324_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_77_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_322_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43501_ _43501_/A VGND VGND VPWR VPWR _43502_/A sky130_fd_sc_hd__buf_1
+XFILLER_166_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74321_ _74321_/A _74320_/Y VGND VGND VPWR VPWR _74321_/Y sky130_fd_sc_hd__nand2_2
+XPHY_37747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40713_ _40593_/A _40709_/X VGND VGND VPWR VPWR _40713_/X sky130_fd_sc_hd__or2_2
+XFILLER_578_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71533_ _71533_/A VGND VGND VPWR VPWR _75495_/A sky130_fd_sc_hd__inv_8
+XFILLER_242_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59255_ _59765_/A VGND VGND VPWR VPWR _59443_/B sky130_fd_sc_hd__buf_1
+XFILLER_53_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44481_ _44486_/A _44491_/B VGND VGND VPWR VPWR _44490_/B sky130_fd_sc_hd__nor2_2
+X_56467_ _64634_/A _56336_/B VGND VGND VPWR VPWR _56468_/C sky130_fd_sc_hd__nor2_2
+XFILLER_693_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_422_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_71_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41693_ _41693_/A VGND VGND VPWR VPWR _41698_/B sky130_fd_sc_hd__inv_8
+XFILLER_576_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53679_ _53679_/A VGND VGND VPWR VPWR _53691_/B sky130_fd_sc_hd__buf_1
+XPHY_37769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_281_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_246_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_658_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46220_ _46218_/X _46219_/Y VGND VGND VPWR VPWR _46220_/Y sky130_fd_sc_hd__nor2_2
+X_58206_ _57970_/A _58206_/B _58205_/Y VGND VGND VPWR VPWR _58206_/Y sky130_fd_sc_hd__nor3_2
+XPHY_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77040_ _77082_/CLK _77040_/D VGND VGND VPWR VPWR _59670_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_199_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_224_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43432_ _43432_/A _43432_/B VGND VGND VPWR VPWR _79351_/D sky130_fd_sc_hd__nand2_2
+XPHY_16000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55418_ _55436_/A _55417_/Y VGND VGND VPWR VPWR _55418_/X sky130_fd_sc_hd__or2_2
+XFILLER_559_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74252_ _74252_/A _74252_/B VGND VGND VPWR VPWR _74252_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_169_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40644_ _40640_/X _40644_/B VGND VGND VPWR VPWR _40644_/Y sky130_fd_sc_hd__nand2_2
+XPHY_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_566_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_25_0_u_core.clock clkbuf_7_25_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_51_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_242_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59186_ _63151_/A VGND VGND VPWR VPWR _64005_/A sky130_fd_sc_hd__buf_1
+XPHY_27279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71464_ _71464_/A _71464_/B VGND VGND VPWR VPWR _71465_/B sky130_fd_sc_hd__nor2_2
+XFILLER_246_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56398_ _56397_/X VGND VGND VPWR VPWR _56407_/A sky130_fd_sc_hd__buf_1
+XFILLER_12_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73203_ _73208_/A _73203_/B _66442_/A VGND VGND VPWR VPWR _73206_/A sky130_fd_sc_hd__nand3_2
+XFILLER_639_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46151_ _45188_/A _46151_/B VGND VGND VPWR VPWR _46155_/A sky130_fd_sc_hd__or2_2
+XFILLER_200_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58137_ _80229_/Q _58137_/B VGND VGND VPWR VPWR _58140_/B sky130_fd_sc_hd__nor2_2
+XFILLER_420_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70415_ _70415_/A VGND VGND VPWR VPWR _70415_/Y sky130_fd_sc_hd__inv_8
+XFILLER_675_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43363_ _43362_/X VGND VGND VPWR VPWR _43476_/B sky130_fd_sc_hd__buf_1
+XPHY_15310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55349_ _55399_/A _55348_/Y VGND VGND VPWR VPWR _55349_/X sky130_fd_sc_hd__or2_2
+XFILLER_205_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74183_ _74179_/X _74182_/Y VGND VGND VPWR VPWR _74183_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40575_ _40437_/A _40572_/B VGND VGND VPWR VPWR _40575_/X sky130_fd_sc_hd__or2_2
+XFILLER_40_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71395_ _70313_/Y _71395_/B VGND VGND VPWR VPWR _71398_/B sky130_fd_sc_hd__nor2_2
+XFILLER_693_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_724_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45102_ _43124_/A _45102_/B VGND VGND VPWR VPWR _45102_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_240_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_671_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42314_ _42313_/Y _46517_/A VGND VGND VPWR VPWR _42315_/C sky130_fd_sc_hd__nor2_2
+X_73134_ _39757_/A VGND VGND VPWR VPWR _74961_/A sky130_fd_sc_hd__buf_1
+XPHY_25877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_708_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46082_ _46218_/A _46082_/B _46696_/A VGND VGND VPWR VPWR _46197_/A sky130_fd_sc_hd__nor3_2
+XFILLER_16_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58068_ _58058_/Y _58068_/B VGND VGND VPWR VPWR _58069_/B sky130_fd_sc_hd__nor2_2
+XFILLER_279_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70346_ _70313_/Y _70314_/Y VGND VGND VPWR VPWR _70346_/X sky130_fd_sc_hd__or2_2
+XFILLER_562_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43294_ _43257_/A VGND VGND VPWR VPWR _43294_/X sky130_fd_sc_hd__buf_1
+XFILLER_674_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78991_ _79565_/CLK _78991_/D _46609_/X VGND VGND VPWR VPWR _45038_/A sky130_fd_sc_hd__dfrtp_4
+XPHY_25899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_670_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49910_ _49939_/A _49910_/B VGND VGND VPWR VPWR _49910_/X sky130_fd_sc_hd__or2_2
+X_45033_ _45032_/X VGND VGND VPWR VPWR _45078_/B sky130_fd_sc_hd__buf_1
+XFILLER_590_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57019_ _57009_/Y _57019_/B VGND VGND VPWR VPWR _57020_/B sky130_fd_sc_hd__nor2_2
+XFILLER_392_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_275_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42245_ _42263_/A _42239_/Y _42245_/C VGND VGND VPWR VPWR _79499_/D sky130_fd_sc_hd__nor3_2
+XPHY_14653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_515_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73065_ _73074_/A _73079_/B _66277_/A VGND VGND VPWR VPWR _73067_/A sky130_fd_sc_hd__nand3_2
+X_77942_ _77950_/CLK _77942_/D VGND VGND VPWR VPWR _61835_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_314_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_49_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70277_ _70277_/A VGND VGND VPWR VPWR _70278_/A sky130_fd_sc_hd__inv_8
+XFILLER_687_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_7 io_in[27] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_32032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60030_ _59137_/A VGND VGND VPWR VPWR _60824_/A sky130_fd_sc_hd__buf_1
+XFILLER_373_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72016_ _72016_/A _71832_/B VGND VGND VPWR VPWR _72016_/X sky130_fd_sc_hd__and2_2
+XPHY_13952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49841_ _78233_/Q _49844_/B VGND VGND VPWR VPWR _49841_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_190_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42176_ _71042_/Y _42176_/B VGND VGND VPWR VPWR _42176_/Y sky130_fd_sc_hd__nor2_2
+X_77873_ _77872_/CLK _77873_/D VGND VGND VPWR VPWR _62345_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_110_0_u_core.clock clkbuf_7_55_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_221_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_113_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_9_170_0_u_core.clock clkbuf_8_85_0_u_core.clock/X VGND VGND VPWR VPWR _79315_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_711_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79612_ _79610_/CLK _79612_/D VGND VGND VPWR VPWR _57446_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_154_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41127_ _41127_/A VGND VGND VPWR VPWR _41144_/A sky130_fd_sc_hd__buf_1
+XFILLER_112_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_158_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76824_ _76843_/CLK _76824_/D VGND VGND VPWR VPWR _55230_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_503_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49772_ _49770_/Y _49771_/X VGND VGND VPWR VPWR _78251_/D sky130_fd_sc_hd__nand2_2
+XPHY_30630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46984_ _46967_/A _46984_/B _46984_/C VGND VGND VPWR VPWR _46985_/B sky130_fd_sc_hd__or3_2
+XFILLER_141_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_583_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_411_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_270_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_665_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48723_ _63255_/A _48723_/B VGND VGND VPWR VPWR _48725_/A sky130_fd_sc_hd__nand2_2
+XFILLER_136_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79543_ _79411_/CLK _79543_/D VGND VGND VPWR VPWR _79543_/Q sky130_fd_sc_hd__dfxtp_4
+X_45935_ _42604_/B _45926_/X VGND VGND VPWR VPWR _45936_/C sky130_fd_sc_hd__nor2_2
+XFILLER_171_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41058_ _41127_/A VGND VGND VPWR VPWR _41059_/A sky130_fd_sc_hd__buf_1
+XPHY_30674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_117_0_u_core.clock clkbuf_8_58_0_u_core.clock/X VGND VGND VPWR VPWR _77880_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_267_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76755_ _78860_/CLK _76755_/D VGND VGND VPWR VPWR _45119_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_468_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61981_ _60090_/A VGND VGND VPWR VPWR _62140_/B sky130_fd_sc_hd__buf_1
+XFILLER_253_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_663_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73967_ _73963_/A _73980_/B _81045_/Q VGND VGND VPWR VPWR _73968_/B sky130_fd_sc_hd__nand3_2
+XPHY_30685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_171_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_488_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_485_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_121_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40009_ _40249_/A _40121_/B VGND VGND VPWR VPWR _40013_/A sky130_fd_sc_hd__or2_2
+X_63720_ _63720_/A _63123_/X VGND VGND VPWR VPWR _63720_/Y sky130_fd_sc_hd__nor2_2
+X_75706_ _75706_/A VGND VGND VPWR VPWR _75712_/B sky130_fd_sc_hd__buf_1
+XFILLER_428_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72918_ _72941_/A VGND VGND VPWR VPWR _72937_/B sky130_fd_sc_hd__buf_1
+X_60932_ _60765_/A _60929_/Y _60932_/C VGND VGND VPWR VPWR _60938_/B sky130_fd_sc_hd__nor3_2
+X_48654_ _48652_/Y _48653_/X VGND VGND VPWR VPWR _78539_/D sky130_fd_sc_hd__nand2_2
+XPHY_8592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79474_ _79474_/CLK _79474_/D VGND VGND VPWR VPWR _79474_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_646_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45866_ _45848_/A _45863_/Y _45866_/C VGND VGND VPWR VPWR _79085_/D sky130_fd_sc_hd__nor3_2
+XFILLER_624_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76686_ _76689_/CLK _76686_/D VGND VGND VPWR VPWR _70791_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_444_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_428_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73898_ _73898_/A _73894_/B _68565_/A VGND VGND VPWR VPWR _73898_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_583_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_226_0_u_core.clock clkbuf_8_227_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_453_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_254_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_269_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_349_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47605_ _47605_/A _47608_/B VGND VGND VPWR VPWR _47605_/X sky130_fd_sc_hd__or2_2
+XFILLER_188_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_349_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_43_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78425_ _77915_/CLK _78425_/D VGND VGND VPWR VPWR _63568_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_7891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_286_0_u_core.clock clkbuf_9_287_0_u_core.clock/A VGND VGND VPWR VPWR _77734_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_483_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44817_ _75515_/A _44816_/X VGND VGND VPWR VPWR _44818_/B sky130_fd_sc_hd__or2_2
+XFILLER_3_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63651_ _63203_/X _63651_/B _63650_/Y VGND VGND VPWR VPWR _63651_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_702_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75637_ _75635_/X _75636_/Y VGND VGND VPWR VPWR _80642_/D sky130_fd_sc_hd__nand2_2
+XFILLER_208_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_23_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60863_ _60860_/X _60863_/B _60863_/C VGND VGND VPWR VPWR _60864_/C sky130_fd_sc_hd__nor3_2
+XFILLER_286_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48585_ _63847_/A _48581_/B VGND VGND VPWR VPWR _48587_/A sky130_fd_sc_hd__nand2_2
+XFILLER_114_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72849_ _72843_/A _72843_/B _72849_/C VGND VGND VPWR VPWR _72850_/B sky130_fd_sc_hd__nand3_2
+XFILLER_1_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45797_ _45667_/X VGND VGND VPWR VPWR _45798_/C sky130_fd_sc_hd__buf_1
+XPHY_39650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_698_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62602_ _62447_/A _62602_/B _62602_/C VGND VGND VPWR VPWR _62602_/Y sky130_fd_sc_hd__nor3_2
+XPHY_39672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47536_ _47490_/A _47456_/B _47456_/C VGND VGND VPWR VPWR _47537_/B sky130_fd_sc_hd__or3_2
+XFILLER_56_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66370_ _66370_/A _66370_/B VGND VGND VPWR VPWR _66371_/B sky130_fd_sc_hd__nor2_2
+X_78356_ _76729_/CLK _78356_/D VGND VGND VPWR VPWR _62901_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44748_ _72203_/A _44748_/B VGND VGND VPWR VPWR _44748_/X sky130_fd_sc_hd__or2_2
+XFILLER_58_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_165_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75568_ _75934_/A _75572_/B VGND VGND VPWR VPWR _75568_/X sky130_fd_sc_hd__or2_2
+XFILLER_452_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_444_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63582_ _78537_/Q _63582_/B VGND VGND VPWR VPWR _63582_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_384_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_147_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_60794_ _77559_/Q _60648_/B VGND VGND VPWR VPWR _60794_/Y sky130_fd_sc_hd__nor2_2
+XPHY_29171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65321_ _65321_/A _64806_/B VGND VGND VPWR VPWR _65321_/Y sky130_fd_sc_hd__nor2_2
+X_77307_ _76882_/CLK _77307_/D VGND VGND VPWR VPWR _60252_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_29193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_229_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62533_ _62533_/A _62533_/B _62532_/Y VGND VGND VPWR VPWR _62533_/Y sky130_fd_sc_hd__nor3_2
+X_74519_ _65267_/A VGND VGND VPWR VPWR _74519_/Y sky130_fd_sc_hd__inv_8
+XPHY_38982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47467_ _63792_/A _47460_/B VGND VGND VPWR VPWR _47470_/A sky130_fd_sc_hd__nand2_2
+XFILLER_250_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78287_ _78287_/CLK _78287_/D VGND VGND VPWR VPWR _62111_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_602_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_228_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44679_ _44679_/A _44786_/B VGND VGND VPWR VPWR _44782_/C sky130_fd_sc_hd__nor2_2
+XPHY_28470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75499_ _75499_/A _75498_/Y VGND VGND VPWR VPWR _75499_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_327_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49206_ _63774_/A _49199_/B VGND VGND VPWR VPWR _49209_/A sky130_fd_sc_hd__nand2_2
+XFILLER_637_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68040_ _68040_/A _67873_/B VGND VGND VPWR VPWR _68040_/Y sky130_fd_sc_hd__nor2_2
+XPHY_28492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46418_ _45222_/A _46418_/B VGND VGND VPWR VPWR _46422_/A sky130_fd_sc_hd__or2_2
+XFILLER_718_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65252_ _80436_/Q _65910_/B VGND VGND VPWR VPWR _65253_/C sky130_fd_sc_hd__nor2_2
+XFILLER_264_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77238_ _77259_/CLK _53610_/Y VGND VGND VPWR VPWR _77238_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_206_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_250_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62464_ _62464_/A _61997_/X VGND VGND VPWR VPWR _62464_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_477_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_225_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_460_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_590_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47398_ _63785_/A _47390_/X VGND VGND VPWR VPWR _47398_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_377_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_457_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_34_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_692_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64203_ _69307_/A _64203_/B _64202_/Y VGND VGND VPWR VPWR _64216_/B sky130_fd_sc_hd__nor3_2
+XFILLER_143_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_538_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61415_ _61099_/A _61415_/B _61415_/C VGND VGND VPWR VPWR _61416_/C sky130_fd_sc_hd__nor3_2
+XFILLER_653_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49137_ _49137_/A _49136_/X VGND VGND VPWR VPWR _49137_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_637_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46349_ _43015_/Y _46318_/A _46322_/C VGND VGND VPWR VPWR _46350_/C sky130_fd_sc_hd__nor3_2
+XFILLER_653_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77169_ _77112_/CLK _77169_/D VGND VGND VPWR VPWR _77169_/Q sky130_fd_sc_hd__dfxtp_4
+X_65183_ _56679_/A _65357_/B VGND VGND VPWR VPWR _65183_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_618_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62395_ _61614_/A VGND VGND VPWR VPWR _62400_/A sky130_fd_sc_hd__buf_1
+XFILLER_377_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_657_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_309_338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_375_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64134_ _64134_/A VGND VGND VPWR VPWR _65879_/A sky130_fd_sc_hd__buf_1
+XFILLER_714_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49068_ _62934_/A _49065_/B VGND VGND VPWR VPWR _49070_/A sky130_fd_sc_hd__nand2_2
+X_61346_ _59357_/A VGND VGND VPWR VPWR _61347_/B sky130_fd_sc_hd__buf_1
+X_80180_ _80200_/CLK _80180_/D VGND VGND VPWR VPWR _65366_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_325_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69991_ _69991_/A VGND VGND VPWR VPWR _70694_/A sky130_fd_sc_hd__inv_8
+XFILLER_699_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_572_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_392_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_525_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_195_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_364_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48019_ _48016_/Y _48018_/X VGND VGND VPWR VPWR _78700_/D sky130_fd_sc_hd__nand2_2
+XFILLER_451_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_670_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68942_ _68433_/X _68942_/B _68942_/C VGND VGND VPWR VPWR _68943_/C sky130_fd_sc_hd__nor3_2
+X_64065_ _63999_/Y _64065_/B VGND VGND VPWR VPWR _76757_/D sky130_fd_sc_hd__nor2_2
+XFILLER_30_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_12_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61277_ _61277_/A _61274_/Y _61277_/C VGND VGND VPWR VPWR _61277_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_193_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_670_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_116_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_514_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_67_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63016_ _63016_/A _62389_/B VGND VGND VPWR VPWR _63017_/C sky130_fd_sc_hd__nor2_2
+X_51030_ _51055_/B VGND VGND VPWR VPWR _51035_/B sky130_fd_sc_hd__buf_1
+XFILLER_506_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60228_ _60065_/A _60228_/B _60228_/C VGND VGND VPWR VPWR _60240_/B sky130_fd_sc_hd__nor3_2
+XFILLER_293_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68873_ _76244_/C _68873_/B VGND VGND VPWR VPWR _68875_/B sky130_fd_sc_hd__nor2_2
+XFILLER_373_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_28_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_668_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67824_ _67824_/A _67824_/B VGND VGND VPWR VPWR _67826_/B sky130_fd_sc_hd__nor2_2
+XFILLER_67_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60159_ _54197_/A _59985_/B VGND VGND VPWR VPWR _60159_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_334_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_230_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_106_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_252_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39723_ _39239_/A _39617_/A VGND VGND VPWR VPWR _39725_/A sky130_fd_sc_hd__or2_2
+XFILLER_484_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67755_ _73429_/C _68083_/B VGND VGND VPWR VPWR _67757_/B sky130_fd_sc_hd__nor2_2
+XFILLER_258_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52981_ _77401_/Q _52984_/B VGND VGND VPWR VPWR _52983_/A sky130_fd_sc_hd__nand2_2
+X_64967_ _64801_/A _64967_/B _64967_/C VGND VGND VPWR VPWR _64968_/C sky130_fd_sc_hd__nor3_2
+XFILLER_61_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_566_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_85_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_258_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_410_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_668_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66706_ _80733_/Q _66880_/B VGND VGND VPWR VPWR _66707_/C sky130_fd_sc_hd__nor2_2
+X_54720_ _54720_/A _54719_/X VGND VGND VPWR VPWR _54720_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_269_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_447_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_608_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51932_ _77680_/Q _51918_/B VGND VGND VPWR VPWR _51934_/A sky130_fd_sc_hd__nand2_2
+X_39654_ _39654_/A _39654_/B VGND VGND VPWR VPWR _39654_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_170_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_246_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63918_ _63518_/X _63916_/Y _63918_/C VGND VGND VPWR VPWR _63918_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_569_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_285_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67686_ _67684_/Y _68021_/B VGND VGND VPWR VPWR _67852_/A sky130_fd_sc_hd__nor2_2
+XFILLER_253_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_22_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64898_ _64736_/A _64898_/B VGND VGND VPWR VPWR _64898_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_39_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_131_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_646_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_300_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_636_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38605_ _38605_/A _38605_/B _64727_/A VGND VGND VPWR VPWR _38606_/B sky130_fd_sc_hd__nand3_2
+XFILLER_113_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_699_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69425_ _69425_/A _69422_/Y _69424_/Y VGND VGND VPWR VPWR _69557_/C sky130_fd_sc_hd__or3_2
+XFILLER_380_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54651_ _59779_/A _54654_/B VGND VGND VPWR VPWR _54653_/A sky130_fd_sc_hd__nand2_2
+XFILLER_428_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66637_ _66480_/A _66637_/B _66636_/Y VGND VGND VPWR VPWR _66638_/C sky130_fd_sc_hd__nor3_2
+XFILLER_650_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51863_ _77698_/Q _51853_/X VGND VGND VPWR VPWR _51863_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_57_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39585_ _39583_/X _39584_/Y VGND VGND VPWR VPWR _80087_/D sky130_fd_sc_hd__nand2_2
+XFILLER_367_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63849_ _59360_/A _63845_/Y _63848_/Y VGND VGND VPWR VPWR _63849_/Y sky130_fd_sc_hd__nor3_2
+XPHY_5229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_527_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_273_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_230_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_2_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_434_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_461_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_41_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53602_ _77240_/Q _53595_/B VGND VGND VPWR VPWR _53602_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50814_ _50812_/Y _50814_/B VGND VGND VPWR VPWR _77973_/D sky130_fd_sc_hd__nand2_2
+XFILLER_582_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38536_ _38523_/A _38523_/B _67228_/A VGND VGND VPWR VPWR _38537_/B sky130_fd_sc_hd__nand3_2
+XANTENNA_408 _54949_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_38_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69356_ _64305_/A _69354_/Y _69355_/Y VGND VGND VPWR VPWR _69357_/C sky130_fd_sc_hd__nor3_2
+XFILLER_96_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57370_ _79381_/Q _57128_/X VGND VGND VPWR VPWR _57371_/C sky130_fd_sc_hd__nor2_2
+XFILLER_604_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54582_ _54607_/B VGND VGND VPWR VPWR _54590_/B sky130_fd_sc_hd__buf_1
+X_66568_ _80444_/Q _66568_/B VGND VGND VPWR VPWR _66569_/C sky130_fd_sc_hd__nor2_2
+XANTENNA_419 _56255_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_74_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51794_ _51794_/A _51793_/X VGND VGND VPWR VPWR _51794_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56321_ _57173_/A VGND VGND VPWR VPWR _58740_/A sky130_fd_sc_hd__buf_1
+XFILLER_642_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68307_ _68307_/A _68307_/B VGND VGND VPWR VPWR _68309_/B sky130_fd_sc_hd__nor2_2
+X_53533_ _53505_/A _53551_/B VGND VGND VPWR VPWR _53534_/B sky130_fd_sc_hd__or2_2
+XPHY_36309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65519_ _56846_/A _65518_/X VGND VGND VPWR VPWR _65521_/B sky130_fd_sc_hd__nor2_2
+XPHY_3827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_345_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38467_ _38346_/A _38466_/X VGND VGND VPWR VPWR _38467_/X sky130_fd_sc_hd__or2_2
+XFILLER_403_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50745_ _50830_/A _50754_/B VGND VGND VPWR VPWR _50746_/B sky130_fd_sc_hd__or2_2
+XFILLER_282_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_595_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69287_ _80685_/Q _69149_/B VGND VGND VPWR VPWR _69288_/C sky130_fd_sc_hd__nor2_2
+XFILLER_53_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_161_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_620_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66499_ _79963_/Q _66499_/B VGND VGND VPWR VPWR _66499_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_697_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_202_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_341_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59040_ _59676_/A VGND VGND VPWR VPWR _59516_/A sky130_fd_sc_hd__buf_1
+XFILLER_90_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_675_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56252_ _56251_/X VGND VGND VPWR VPWR _56252_/X sky130_fd_sc_hd__buf_1
+X_80516_ _80473_/CLK _80516_/D VGND VGND VPWR VPWR _67882_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68238_ _66401_/A VGND VGND VPWR VPWR _68908_/B sky130_fd_sc_hd__buf_1
+XFILLER_306_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_548_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53464_ _53464_/A _53463_/X VGND VGND VPWR VPWR _53464_/Y sky130_fd_sc_hd__nand2_2
+XPHY_35619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38398_ _38278_/A _38405_/B VGND VGND VPWR VPWR _38398_/X sky130_fd_sc_hd__or2_2
+X_50676_ _62491_/A _50668_/X VGND VGND VPWR VPWR _50679_/A sky130_fd_sc_hd__nand2_2
+XFILLER_658_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81496_ _81499_/CLK _81496_/D VGND VGND VPWR VPWR _57107_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_94_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_161_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_557_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_440_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_495_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55203_ _55171_/A _55197_/Y _55203_/C VGND VGND VPWR VPWR _55205_/A sky130_fd_sc_hd__nor3_2
+XFILLER_671_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_90_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52415_ _52413_/Y _52414_/X VGND VGND VPWR VPWR _77553_/D sky130_fd_sc_hd__nand2_2
+XFILLER_495_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_181_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_355_400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80447_ _80414_/CLK _76405_/Y VGND VGND VPWR VPWR _80447_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_590_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56183_ _56171_/A _56183_/B VGND VGND VPWR VPWR _56184_/B sky130_fd_sc_hd__nor2_2
+XFILLER_577_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68169_ _67676_/A _68167_/Y _68169_/C VGND VGND VPWR VPWR _68169_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_491_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53395_ _77296_/Q _53381_/B VGND VGND VPWR VPWR _53395_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_356_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_706_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_178_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70200_ _70200_/A _70200_/B VGND VGND VPWR VPWR _70200_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_571_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_276_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55134_ _55108_/X VGND VGND VPWR VPWR _55134_/Y sky130_fd_sc_hd__inv_8
+XFILLER_675_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_33_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_428_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40360_ _40358_/X _40360_/B VGND VGND VPWR VPWR _79889_/D sky130_fd_sc_hd__nand2_2
+XFILLER_319_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_298_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52346_ _52346_/A _52357_/B VGND VGND VPWR VPWR _52349_/A sky130_fd_sc_hd__nand2_2
+XFILLER_495_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71180_ _71416_/A _71180_/B VGND VGND VPWR VPWR _71181_/B sky130_fd_sc_hd__nor2_2
+XFILLER_671_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_571_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80378_ _80436_/CLK _38426_/Y VGND VGND VPWR VPWR _66242_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_519_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_343_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_11_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39019_ _38512_/A _39029_/B VGND VGND VPWR VPWR _39021_/A sky130_fd_sc_hd__or2_2
+XFILLER_495_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70131_ _70156_/A _70023_/X VGND VGND VPWR VPWR _70131_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_222_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59942_ _76938_/Q _60269_/B VGND VGND VPWR VPWR _59944_/B sky130_fd_sc_hd__nor2_2
+XPHY_23738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55065_ _70785_/X _46896_/X VGND VGND VPWR VPWR _55065_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_159_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40291_ _40288_/X _40290_/Y VGND VGND VPWR VPWR _40291_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_120_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_551_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_519_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52277_ _52277_/A _52277_/B VGND VGND VPWR VPWR _52277_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_3079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_450_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_382_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_551_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42030_ _42030_/A _42020_/Y _42029_/Y VGND VGND VPWR VPWR _42030_/Y sky130_fd_sc_hd__nor3_2
+XPHY_13248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54016_ _54016_/A _54016_/B VGND VGND VPWR VPWR _77130_/D sky130_fd_sc_hd__nand2_2
+XFILLER_352_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51228_ _53139_/A _51310_/B VGND VGND VPWR VPWR _51229_/A sky130_fd_sc_hd__or2_2
+XPHY_12514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70062_ _70169_/A VGND VGND VPWR VPWR _70109_/A sky130_fd_sc_hd__buf_1
+XPHY_13259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59873_ _59713_/A _59869_/Y _59873_/C VGND VGND VPWR VPWR _59874_/C sky130_fd_sc_hd__nor3_2
+XFILLER_194_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_235_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_81_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_725_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_351_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_134_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_432_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_469_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_150_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58824_ _58824_/A _58824_/B _58824_/C VGND VGND VPWR VPWR _58828_/B sky130_fd_sc_hd__nor3_2
+XFILLER_513_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51159_ _51157_/Y _51158_/X VGND VGND VPWR VPWR _77880_/D sky130_fd_sc_hd__nand2_2
+XFILLER_46_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74870_ _74874_/A _74878_/B _67532_/A VGND VGND VPWR VPWR _74871_/B sky130_fd_sc_hd__nand3_2
+XFILLER_469_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_587_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73821_ _73877_/A VGND VGND VPWR VPWR _73821_/X sky130_fd_sc_hd__buf_1
+XFILLER_669_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58755_ _80621_/Q _56447_/B VGND VGND VPWR VPWR _58757_/B sky130_fd_sc_hd__nor2_2
+XFILLER_484_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43981_ _43959_/A _43973_/Y _43980_/Y VGND VGND VPWR VPWR _79273_/D sky130_fd_sc_hd__nor3_2
+XPHY_7110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55967_ _41663_/C _55959_/B VGND VGND VPWR VPWR _55967_/X sky130_fd_sc_hd__or2_2
+XPHY_11879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45720_ _42198_/Y _46009_/B _45710_/X _45719_/Y VGND VGND VPWR VPWR _45721_/B sky130_fd_sc_hd__o22a_4
+XFILLER_387_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_385_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57706_ _57541_/A _57706_/B _57705_/Y VGND VGND VPWR VPWR _57706_/X sky130_fd_sc_hd__or3_2
+X_76540_ VGND VGND VPWR VPWR _76540_/HI la_data_out[32] sky130_fd_sc_hd__conb_1
+XPHY_7143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42932_ _42930_/X _42932_/B VGND VGND VPWR VPWR _79431_/D sky130_fd_sc_hd__nand2_2
+XFILLER_549_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54918_ _54946_/A _54930_/B VGND VGND VPWR VPWR _54918_/X sky130_fd_sc_hd__or2_2
+XFILLER_98_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73752_ _73865_/B VGND VGND VPWR VPWR _73756_/B sky130_fd_sc_hd__buf_1
+XFILLER_49_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_437_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_150_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58686_ _58753_/A _58684_/Y _58685_/Y VGND VGND VPWR VPWR _58687_/C sky130_fd_sc_hd__nor3_2
+X_70964_ _72007_/A _70963_/X VGND VGND VPWR VPWR _70964_/X sky130_fd_sc_hd__and2_2
+XFILLER_163_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_725_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55898_ _69581_/B _69607_/B VGND VGND VPWR VPWR _55898_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_465_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_582_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_24_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_40_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_561_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72703_ _72700_/A _72703_/B _64605_/A VGND VGND VPWR VPWR _72703_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_40_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_448_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45651_ _72039_/A _45542_/X VGND VGND VPWR VPWR _45652_/C sky130_fd_sc_hd__nor2_2
+X_57637_ _57637_/A VGND VGND VPWR VPWR _57879_/A sky130_fd_sc_hd__buf_1
+XFILLER_236_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76471_ VGND VGND VPWR VPWR _76471_/HI io_oeb[31] sky130_fd_sc_hd__conb_1
+XPHY_6453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54849_ _54961_/A _54828_/A VGND VGND VPWR VPWR _54849_/X sky130_fd_sc_hd__or2_2
+X_42863_ _42830_/Y _42862_/X VGND VGND VPWR VPWR _42864_/C sky130_fd_sc_hd__and2_2
+XFILLER_706_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73683_ _73926_/A _73680_/B VGND VGND VPWR VPWR _73683_/X sky130_fd_sc_hd__or2_2
+XFILLER_59_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_287_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70895_ _71128_/A VGND VGND VPWR VPWR _71792_/A sky130_fd_sc_hd__buf_1
+XFILLER_699_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_209_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_166_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78210_ _77689_/CLK _49925_/Y VGND VGND VPWR VPWR _62541_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_445_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_406_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_264_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44602_ _44572_/A _44596_/Y _44601_/Y VGND VGND VPWR VPWR _44602_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75422_ _74398_/B VGND VGND VPWR VPWR _38344_/A sky130_fd_sc_hd__buf_1
+XFILLER_268_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_441_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_91_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41814_ _41814_/A VGND VGND VPWR VPWR _41814_/Y sky130_fd_sc_hd__inv_8
+XPHY_38234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72634_ _72754_/A _72622_/X VGND VGND VPWR VPWR _72636_/A sky130_fd_sc_hd__or2_2
+XFILLER_385_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48370_ _48367_/Y _48369_/X VGND VGND VPWR VPWR _78612_/D sky130_fd_sc_hd__nand2_2
+XPHY_5752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_99_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79190_ _79185_/CLK _79190_/D VGND VGND VPWR VPWR _44803_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_508_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45582_ _45582_/A _45589_/B VGND VGND VPWR VPWR _45584_/B sky130_fd_sc_hd__nor2_2
+XPHY_37500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57568_ _80094_/Q _57568_/B VGND VGND VPWR VPWR _57568_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_406_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42794_ _64695_/A _42552_/B VGND VGND VPWR VPWR _42796_/B sky130_fd_sc_hd__nor2_2
+XFILLER_229_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_543_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47321_ _49237_/A VGND VGND VPWR VPWR _47437_/A sky130_fd_sc_hd__buf_1
+X_59307_ _54183_/A _59307_/B VGND VGND VPWR VPWR _59307_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_723_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78141_ _78156_/CLK _50181_/Y VGND VGND VPWR VPWR _61770_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_109_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44533_ _44531_/A _44533_/B VGND VGND VPWR VPWR _44534_/B sky130_fd_sc_hd__nor2_2
+XFILLER_346_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56519_ _56519_/A _56518_/X VGND VGND VPWR VPWR _56519_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_229_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75353_ _75351_/X _75353_/B VGND VGND VPWR VPWR _80706_/D sky130_fd_sc_hd__nand2_2
+XFILLER_461_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_622_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41745_ _41740_/Y _41744_/X VGND VGND VPWR VPWR _79565_/D sky130_fd_sc_hd__nand2_2
+XPHY_37544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72565_ _72571_/A _72571_/B _65453_/A VGND VGND VPWR VPWR _72566_/B sky130_fd_sc_hd__nand3_2
+XPHY_27021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57499_ _57419_/A _57499_/B VGND VGND VPWR VPWR _57499_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_183_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_92_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74304_ _74024_/X _74307_/B VGND VGND VPWR VPWR _74306_/A sky130_fd_sc_hd__or2_2
+XPHY_37577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59238_ _59238_/A VGND VGND VPWR VPWR _62091_/A sky130_fd_sc_hd__buf_1
+X_47252_ _42272_/A VGND VGND VPWR VPWR _47293_/B sky130_fd_sc_hd__buf_1
+X_71516_ _71516_/A VGND VGND VPWR VPWR _71517_/B sky130_fd_sc_hd__inv_8
+XFILLER_578_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78072_ _78062_/CLK _50451_/Y VGND VGND VPWR VPWR _78072_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_36843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44464_ _44400_/A VGND VGND VPWR VPWR _44639_/A sky130_fd_sc_hd__buf_1
+X_75284_ _75282_/X _75284_/B VGND VGND VPWR VPWR _75284_/Y sky130_fd_sc_hd__nand2_2
+XPHY_27065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41676_ _76829_/Q _41670_/X _41675_/X VGND VGND VPWR VPWR _41676_/X sky130_fd_sc_hd__or3_2
+XPHY_36854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72496_ _72494_/X _72495_/Y VGND VGND VPWR VPWR _72496_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_32_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_414_0_u_core.clock clkbuf_9_415_0_u_core.clock/A VGND VGND VPWR VPWR _79425_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_347_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_3061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_591_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46203_ _46202_/X VGND VGND VPWR VPWR _46203_/X sky130_fd_sc_hd__buf_1
+XFILLER_582_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77023_ _76998_/CLK _54425_/Y VGND VGND VPWR VPWR _77023_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_26353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_3023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43415_ _43377_/A VGND VGND VPWR VPWR _43415_/X sky130_fd_sc_hd__buf_1
+XFILLER_34_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74235_ _74181_/A VGND VGND VPWR VPWR _74235_/X sky130_fd_sc_hd__buf_1
+X_40627_ _40627_/A _40626_/Y VGND VGND VPWR VPWR _79826_/D sky130_fd_sc_hd__nand2_2
+XPHY_161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47183_ _47167_/B VGND VGND VPWR VPWR _47184_/C sky130_fd_sc_hd__buf_1
+XFILLER_220_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59169_ _59240_/A VGND VGND VPWR VPWR _59889_/A sky130_fd_sc_hd__buf_1
+X_71447_ _71519_/A _70379_/A VGND VGND VPWR VPWR _71447_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_559_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44395_ _74950_/A _44395_/B VGND VGND VPWR VPWR _44396_/C sky130_fd_sc_hd__nor2_2
+XPHY_172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_26375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_396_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_158_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61200_ _60090_/A VGND VGND VPWR VPWR _61201_/B sky130_fd_sc_hd__buf_1
+XPHY_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46134_ _46134_/A _46123_/B _46133_/X VGND VGND VPWR VPWR _46187_/C sky130_fd_sc_hd__or3_2
+XFILLER_144_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43346_ _71947_/B _43346_/B VGND VGND VPWR VPWR _43346_/X sky130_fd_sc_hd__or2_2
+XFILLER_723_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62180_ _59818_/X VGND VGND VPWR VPWR _62180_/X sky130_fd_sc_hd__buf_1
+XFILLER_563_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74166_ _74164_/X _74165_/Y VGND VGND VPWR VPWR _74166_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_420_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40558_ _40576_/A VGND VGND VPWR VPWR _40563_/A sky130_fd_sc_hd__buf_1
+XFILLER_574_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71378_ _71378_/A _71108_/B VGND VGND VPWR VPWR _71378_/X sky130_fd_sc_hd__and2_2
+XFILLER_396_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_51_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_724_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61131_ _61758_/A _61127_/Y _61131_/C VGND VGND VPWR VPWR _61131_/Y sky130_fd_sc_hd__nor3_2
+XPHY_24962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73117_ _73102_/A _73112_/B _73117_/C VGND VGND VPWR VPWR _73120_/A sky130_fd_sc_hd__nand3_2
+XFILLER_199_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_671_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_297_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46065_ _72017_/A _46055_/Y VGND VGND VPWR VPWR _46065_/Y sky130_fd_sc_hd__nor2_2
+X_70329_ _79473_/Q _70328_/Y _70020_/B _70231_/B VGND VGND VPWR VPWR _70329_/X sky130_fd_sc_hd__o22a_4
+XFILLER_455_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43277_ _42916_/A _43277_/B VGND VGND VPWR VPWR _43277_/X sky130_fd_sc_hd__or2_2
+XFILLER_696_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74097_ _74020_/A VGND VGND VPWR VPWR _74118_/B sky130_fd_sc_hd__buf_1
+XFILLER_255_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78974_ _79565_/CLK _47097_/A VGND VGND VPWR VPWR _78974_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_236_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_435_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40489_ _40396_/A VGND VGND VPWR VPWR _40503_/B sky130_fd_sc_hd__buf_1
+XFILLER_562_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_125_0_u_core.clock clkbuf_6_62_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_251_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_537_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45016_ _45016_/A VGND VGND VPWR VPWR _45449_/C sky130_fd_sc_hd__buf_1
+XPHY_14483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_515_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_275_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42228_ _42047_/A _42222_/Y _42228_/C VGND VGND VPWR VPWR _79501_/D sky130_fd_sc_hd__nor3_2
+XFILLER_236_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61062_ _59470_/A VGND VGND VPWR VPWR _61074_/A sky130_fd_sc_hd__buf_1
+XFILLER_585_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77925_ _76768_/CLK _50992_/Y VGND VGND VPWR VPWR _61683_/A sky130_fd_sc_hd__dfxtp_4
+X_73048_ _42940_/A _73051_/B VGND VGND VPWR VPWR _73049_/B sky130_fd_sc_hd__or2_2
+XFILLER_114_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_417_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_326_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_8_185_0_u_core.clock clkbuf_7_92_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_371_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_361_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_45_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_113_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60013_ _59376_/A _60013_/B _60012_/Y VGND VGND VPWR VPWR _60018_/B sky130_fd_sc_hd__nor3_2
+XFILLER_504_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_452_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49824_ _61756_/A _49820_/B VGND VGND VPWR VPWR _49827_/A sky130_fd_sc_hd__nand2_2
+XFILLER_315_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65870_ _65870_/A _65202_/B VGND VGND VPWR VPWR _65870_/Y sky130_fd_sc_hd__nor2_2
+X_42159_ _71046_/A _71045_/Y VGND VGND VPWR VPWR _42159_/X sky130_fd_sc_hd__and2_2
+X_77856_ _77854_/CLK _51263_/Y VGND VGND VPWR VPWR _77856_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_173_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_218_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_370_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_42_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_62_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64821_ _64821_/A _64239_/B VGND VGND VPWR VPWR _64821_/Y sky130_fd_sc_hd__nor2_2
+X_76807_ _76800_/CLK _76807_/D VGND VGND VPWR VPWR _71514_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_636_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49755_ _49699_/A _49758_/B VGND VGND VPWR VPWR _49755_/X sky130_fd_sc_hd__or2_2
+XFILLER_64_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46967_ _46967_/A _46967_/B _46967_/C VGND VGND VPWR VPWR _46968_/B sky130_fd_sc_hd__or3_2
+XFILLER_708_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77787_ _77787_/CLK _51534_/Y VGND VGND VPWR VPWR _51532_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_151_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_724_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74999_ _74999_/A _74995_/X VGND VGND VPWR VPWR _74999_/X sky130_fd_sc_hd__or2_2
+XFILLER_67_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_651_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48706_ _63954_/A _48706_/B VGND VGND VPWR VPWR _48709_/A sky130_fd_sc_hd__nand2_2
+XFILLER_3_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67540_ _65894_/A VGND VGND VPWR VPWR _67550_/A sky130_fd_sc_hd__buf_1
+XFILLER_428_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_41_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79526_ _79119_/CLK _42096_/Y VGND VGND VPWR VPWR _42094_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_364_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_329_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45918_ _45911_/X _45918_/B _45917_/Y VGND VGND VPWR VPWR _79070_/D sky130_fd_sc_hd__nor3_2
+XFILLER_132_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64752_ _64752_/A _64752_/B _64751_/Y VGND VGND VPWR VPWR _64752_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_417_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_267_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76738_ _78860_/CLK _61198_/Y VGND VGND VPWR VPWR _45134_/A sky130_fd_sc_hd__dfxtp_4
+X_49686_ _49714_/A _49704_/B VGND VGND VPWR VPWR _49687_/B sky130_fd_sc_hd__or2_2
+X_61964_ _59597_/A VGND VGND VPWR VPWR _61965_/B sky130_fd_sc_hd__buf_1
+XFILLER_23_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46898_ _70239_/Y _46898_/B VGND VGND VPWR VPWR _46898_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_368_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_58_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_231_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_110_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63703_ _63687_/Y _63703_/B VGND VGND VPWR VPWR _63704_/B sky130_fd_sc_hd__nor2_2
+XFILLER_485_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_608_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60915_ _58912_/X VGND VGND VPWR VPWR _60923_/A sky130_fd_sc_hd__buf_1
+XFILLER_286_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67471_ _66984_/A _67470_/Y VGND VGND VPWR VPWR _67471_/Y sky130_fd_sc_hd__nor2_2
+X_48637_ _63117_/A _48614_/B VGND VGND VPWR VPWR _48637_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_642_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79457_ _76842_/CLK _79457_/D VGND VGND VPWR VPWR _69992_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_39_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_605_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45849_ _45815_/A VGND VGND VPWR VPWR _45850_/B sky130_fd_sc_hd__buf_2
+X_64683_ _65363_/A _64683_/B _64683_/C VGND VGND VPWR VPWR _64687_/B sky130_fd_sc_hd__nor3_2
+XFILLER_509_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76669_ _79384_/CLK _76669_/D VGND VGND VPWR VPWR _76669_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_427_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61895_ _61895_/A _61894_/X VGND VGND VPWR VPWR _61895_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_444_3073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_212_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_624_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69210_ _69210_/A _69209_/Y VGND VGND VPWR VPWR _69210_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_114_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66422_ _68068_/A VGND VGND VPWR VPWR _66427_/A sky130_fd_sc_hd__buf_1
+X_78408_ _78403_/CLK _78408_/D VGND VGND VPWR VPWR _49155_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_633_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_425_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39370_ _39370_/A _39370_/B VGND VGND VPWR VPWR _39370_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_93_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63634_ _63634_/A _63634_/B _63633_/Y VGND VGND VPWR VPWR _63634_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_409_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_54_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60846_ _60055_/A VGND VGND VPWR VPWR _60888_/A sky130_fd_sc_hd__buf_1
+XFILLER_58_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48568_ _48478_/X _48568_/B VGND VGND VPWR VPWR _48569_/B sky130_fd_sc_hd__or2_2
+XFILLER_620_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79388_ _79575_/CLK _43293_/Y VGND VGND VPWR VPWR _67602_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_520_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38321_ _38285_/A VGND VGND VPWR VPWR _38323_/A sky130_fd_sc_hd__buf_1
+XFILLER_479_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69141_ _69141_/A _69002_/B VGND VGND VPWR VPWR _69279_/A sky130_fd_sc_hd__nor2_2
+XFILLER_264_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_698_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47519_ _47647_/A _47508_/X VGND VGND VPWR VPWR _47520_/B sky130_fd_sc_hd__or2_2
+X_66353_ _80090_/Q _66352_/X VGND VGND VPWR VPWR _66354_/C sky130_fd_sc_hd__nor2_2
+XFILLER_364_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78339_ _77888_/CLK _49435_/Y VGND VGND VPWR VPWR _62638_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_659_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63565_ _63565_/A _59107_/B VGND VGND VPWR VPWR _63565_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_424_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60777_ _60315_/A _60774_/Y _60776_/Y VGND VGND VPWR VPWR _60777_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_586_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48499_ _63727_/A _48491_/X VGND VGND VPWR VPWR _48502_/A sky130_fd_sc_hd__nand2_2
+XFILLER_577_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_405_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_596_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_620_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_323_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65304_ _79732_/Q _65126_/B VGND VGND VPWR VPWR _65305_/C sky130_fd_sc_hd__nor2_2
+XFILLER_247_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38252_ _76251_/A _38239_/X VGND VGND VPWR VPWR _38252_/X sky130_fd_sc_hd__or2_2
+XFILLER_597_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62516_ _62350_/A _62516_/B _62515_/Y VGND VGND VPWR VPWR _62516_/Y sky130_fd_sc_hd__nor3_2
+X_50530_ _50527_/Y _50529_/X VGND VGND VPWR VPWR _78050_/D sky130_fd_sc_hd__nand2_2
+X_81350_ _81351_/CLK _72746_/Y VGND VGND VPWR VPWR _81350_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_659_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69072_ _69212_/A _69072_/B _69072_/C VGND VGND VPWR VPWR _69138_/B sky130_fd_sc_hd__nor3_2
+XFILLER_229_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66284_ _68958_/A VGND VGND VPWR VPWR _66285_/B sky130_fd_sc_hd__buf_1
+XFILLER_369_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_637_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63496_ _62875_/A _63496_/B _63495_/Y VGND VGND VPWR VPWR _63496_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_425_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_23_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_108_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_71_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80301_ _80332_/CLK _38749_/Y VGND VGND VPWR VPWR _80301_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68023_ _44344_/B _67855_/B VGND VGND VPWR VPWR _68026_/A sky130_fd_sc_hd__nor2_2
+XFILLER_162_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_149_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65235_ _65892_/A _65235_/B _65234_/Y VGND VGND VPWR VPWR _65236_/C sky130_fd_sc_hd__nor3_2
+XFILLER_148_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_574_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50461_ _48518_/X _50369_/B VGND VGND VPWR VPWR _50461_/X sky130_fd_sc_hd__or2_2
+XFILLER_572_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62447_ _62447_/A _62440_/Y _62447_/C VGND VGND VPWR VPWR _62448_/C sky130_fd_sc_hd__nor3_2
+X_81281_ _81255_/CLK _73041_/Y VGND VGND VPWR VPWR _67420_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_280_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_71_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_516_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_672_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52200_ _61422_/A _52195_/X VGND VGND VPWR VPWR _52200_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_577_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80232_ _80226_/CLK _39010_/Y VGND VGND VPWR VPWR _80232_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53180_ _53180_/A _53179_/Y VGND VGND VPWR VPWR _77351_/D sky130_fd_sc_hd__nand2_2
+X_65166_ _65166_/A _64987_/X VGND VGND VPWR VPWR _65166_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_590_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62378_ _61597_/A VGND VGND VPWR VPWR _62378_/X sky130_fd_sc_hd__buf_1
+XFILLER_400_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50392_ _50276_/A _50389_/B VGND VGND VPWR VPWR _50393_/B sky130_fd_sc_hd__or2_2
+XFILLER_418_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_492_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_499_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_397_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_365_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_653_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_176_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_635_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_581_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52131_ _77626_/Q _52138_/B VGND VGND VPWR VPWR _52134_/A sky130_fd_sc_hd__nand2_2
+X_64117_ _64117_/A VGND VGND VPWR VPWR _65384_/A sky130_fd_sc_hd__buf_1
+XFILLER_379_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_160_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_490_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61329_ _51565_/A _61167_/B VGND VGND VPWR VPWR _61331_/B sky130_fd_sc_hd__nor2_2
+XFILLER_336_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80163_ _80163_/CLK _80163_/D VGND VGND VPWR VPWR _80163_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_238_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_178_1539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65097_ _67837_/A VGND VGND VPWR VPWR _65629_/A sky130_fd_sc_hd__buf_1
+XFILLER_371_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69974_ _55113_/A VGND VGND VPWR VPWR _69974_/Y sky130_fd_sc_hd__inv_8
+XFILLER_611_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_175_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_473_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_713_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52062_ _52062_/A _52059_/B VGND VGND VPWR VPWR _52064_/A sky130_fd_sc_hd__nand2_2
+X_68925_ _68925_/A _68925_/B VGND VGND VPWR VPWR _68926_/B sky130_fd_sc_hd__nor2_2
+XFILLER_305_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_30_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64048_ _64048_/A _63521_/X VGND VGND VPWR VPWR _64048_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_536_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80094_ _80094_/CLK _39560_/Y VGND VGND VPWR VPWR _80094_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_353_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_293_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_67_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51013_ _62173_/A _50994_/X VGND VGND VPWR VPWR _51016_/A sky130_fd_sc_hd__nand2_2
+XPHY_11109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_47_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_416_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68856_ _80714_/Q _68687_/B VGND VGND VPWR VPWR _68859_/B sky130_fd_sc_hd__nor2_2
+X_56870_ _56860_/Y _56870_/B VGND VGND VPWR VPWR _56871_/B sky130_fd_sc_hd__nor2_2
+XPHY_20909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_631_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55821_ _51167_/A _55821_/B VGND VGND VPWR VPWR _55821_/X sky130_fd_sc_hd__or2_2
+XFILLER_549_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67807_ _67790_/Y _67807_/B VGND VGND VPWR VPWR _67807_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_24_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_493_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68787_ _80969_/Q _68787_/B VGND VGND VPWR VPWR _68789_/B sky130_fd_sc_hd__nor2_2
+XFILLER_369_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65999_ _79992_/Q _66495_/B VGND VGND VPWR VPWR _66001_/B sky130_fd_sc_hd__nor2_2
+XFILLER_113_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_561_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_414_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39706_ _39217_/A _39701_/X VGND VGND VPWR VPWR _39708_/A sky130_fd_sc_hd__or2_2
+XFILLER_286_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_561_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58540_ _38641_/C _58462_/B VGND VGND VPWR VPWR _58542_/B sky130_fd_sc_hd__nor2_2
+XFILLER_115_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_566_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55752_ _55752_/A _55741_/B VGND VGND VPWR VPWR _55754_/A sky130_fd_sc_hd__nand2_2
+X_67738_ _68061_/A _67738_/B VGND VGND VPWR VPWR _67772_/B sky130_fd_sc_hd__nor2_2
+XFILLER_63_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_419_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52964_ _52964_/A _52963_/X VGND VGND VPWR VPWR _77406_/D sky130_fd_sc_hd__nand2_2
+XFILLER_664_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80996_ _80809_/CLK _74201_/Y VGND VGND VPWR VPWR _80996_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_249_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_720_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54703_ _54703_/A VGND VGND VPWR VPWR _54718_/B sky130_fd_sc_hd__buf_1
+XFILLER_100_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51915_ _51915_/A _51914_/X VGND VGND VPWR VPWR _51915_/Y sky130_fd_sc_hd__nand2_2
+X_39637_ _39656_/A VGND VGND VPWR VPWR _39653_/B sky130_fd_sc_hd__buf_1
+X_58471_ _81321_/Q _58471_/B VGND VGND VPWR VPWR _58473_/B sky130_fd_sc_hd__nor2_2
+XFILLER_245_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_705_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55683_ _55666_/X _55682_/X VGND VGND VPWR VPWR _76793_/D sky130_fd_sc_hd__nand2_2
+XFILLER_664_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67669_ _66846_/A VGND VGND VPWR VPWR _67838_/B sky130_fd_sc_hd__buf_1
+XFILLER_22_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52895_ _77423_/Q _52898_/B VGND VGND VPWR VPWR _52895_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_58_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_543_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57422_ _56615_/A VGND VGND VPWR VPWR _57689_/A sky130_fd_sc_hd__buf_1
+X_69408_ _39110_/C _69408_/B VGND VGND VPWR VPWR _69408_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_416_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54634_ _58928_/A _54616_/B VGND VGND VPWR VPWR _54636_/A sky130_fd_sc_hd__nand2_2
+XFILLER_527_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51846_ _51846_/A _51849_/B VGND VGND VPWR VPWR _51848_/A sky130_fd_sc_hd__nand2_2
+X_39568_ _39194_/A _39565_/B VGND VGND VPWR VPWR _39568_/X sky130_fd_sc_hd__or2_2
+XPHY_5059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70680_ _70680_/A _70680_/B VGND VGND VPWR VPWR _70680_/X sky130_fd_sc_hd__or2_2
+XFILLER_623_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_205 _74705_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_430_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_216 _75585_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_508_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_720_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_227 _75303_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_243_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_595_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38519_ _38516_/X _38519_/B VGND VGND VPWR VPWR _38519_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_325_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_238 _76187_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_501_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57353_ _66475_/A _57029_/B VGND VGND VPWR VPWR _57353_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_96_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69339_ _64733_/A _69335_/Y _69338_/Y VGND VGND VPWR VPWR _69339_/Y sky130_fd_sc_hd__nor3_2
+XPHY_3613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54565_ _54565_/A _54565_/B VGND VGND VPWR VPWR _76986_/D sky130_fd_sc_hd__nand2_2
+XFILLER_347_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_249 _38554_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51777_ _51770_/A VGND VGND VPWR VPWR _51790_/B sky130_fd_sc_hd__buf_1
+X_39499_ _39505_/A _39505_/B _58801_/A VGND VGND VPWR VPWR _39500_/B sky130_fd_sc_hd__nand3_2
+XPHY_4369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_701_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56304_ _56304_/A VGND VGND VPWR VPWR _58049_/A sky130_fd_sc_hd__buf_1
+XPHY_3646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_306_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41530_ _41530_/A _41517_/B _79595_/Q VGND VGND VPWR VPWR _41530_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_595_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53516_ _53514_/Y _53515_/X VGND VGND VPWR VPWR _53516_/Y sky130_fd_sc_hd__nand2_2
+XPHY_2912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72350_ _72453_/B VGND VGND VPWR VPWR _72350_/X sky130_fd_sc_hd__buf_1
+XPHY_3657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50728_ _50877_/A VGND VGND VPWR VPWR _50785_/A sky130_fd_sc_hd__buf_1
+X_57284_ _57194_/A _57284_/B _57284_/C VGND VGND VPWR VPWR _57288_/B sky130_fd_sc_hd__nor3_2
+XFILLER_39_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_161_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54496_ _54467_/A _54511_/B VGND VGND VPWR VPWR _54496_/X sky130_fd_sc_hd__or2_2
+XFILLER_718_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59023_ _59238_/A VGND VGND VPWR VPWR _59023_/X sky130_fd_sc_hd__buf_1
+X_71301_ _71301_/A VGND VGND VPWR VPWR _71301_/X sky130_fd_sc_hd__buf_1
+XFILLER_186_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56235_ _58537_/A VGND VGND VPWR VPWR _56666_/B sky130_fd_sc_hd__buf_1
+XFILLER_126_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41461_ _41453_/X _41454_/X _66783_/A VGND VGND VPWR VPWR _41461_/Y sky130_fd_sc_hd__nand3_2
+XPHY_34704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53447_ _53447_/A VGND VGND VPWR VPWR _53448_/B sky130_fd_sc_hd__buf_1
+XFILLER_221_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72281_ _72279_/X _72280_/Y VGND VGND VPWR VPWR _72281_/Y sky130_fd_sc_hd__nand2_2
+XPHY_2967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50659_ _50657_/Y _50658_/X VGND VGND VPWR VPWR _50659_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81479_ _81457_/CLK _72202_/Y VGND VGND VPWR VPWR _68446_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_298_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_260_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_41_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_276_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_601_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_224_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_243_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43200_ _79447_/Q _43194_/Y _43197_/X _43199_/Y VGND VGND VPWR VPWR _43201_/C sky130_fd_sc_hd__o22a_4
+XFILLER_636_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74020_ _74020_/A VGND VGND VPWR VPWR _74026_/B sky130_fd_sc_hd__buf_1
+XPHY_34737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40412_ _40410_/X _40411_/Y VGND VGND VPWR VPWR _79878_/D sky130_fd_sc_hd__nand2_2
+XFILLER_278_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71232_ _42430_/B VGND VGND VPWR VPWR _71233_/A sky130_fd_sc_hd__buf_1
+XFILLER_475_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44180_ _44018_/A _44132_/X VGND VGND VPWR VPWR _44180_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_259_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_52_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56166_ _56172_/A _56166_/B VGND VGND VPWR VPWR _56167_/B sky130_fd_sc_hd__nor2_2
+XFILLER_495_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_220_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41392_ _75705_/B _40881_/B VGND VGND VPWR VPWR _41392_/X sky130_fd_sc_hd__or2_2
+XFILLER_166_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53378_ _53376_/Y _53378_/B VGND VGND VPWR VPWR _77301_/D sky130_fd_sc_hd__nand2_2
+XFILLER_339_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_122_1549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_573_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_456_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_354_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_554_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43131_ _43130_/Y _78955_/Q VGND VGND VPWR VPWR _43131_/X sky130_fd_sc_hd__or2_2
+XFILLER_178_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55117_ _55228_/C _55113_/X _41669_/A _55209_/B VGND VGND VPWR VPWR _76847_/D sky130_fd_sc_hd__o22a_4
+X_40343_ _40341_/X _40342_/Y VGND VGND VPWR VPWR _79894_/D sky130_fd_sc_hd__nand2_2
+XFILLER_358_2686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_291_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52329_ _52776_/A VGND VGND VPWR VPWR _52330_/A sky130_fd_sc_hd__buf_1
+XFILLER_712_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71163_ _71757_/A _71161_/Y _71163_/C VGND VGND VPWR VPWR _71163_/Y sky130_fd_sc_hd__nor3_2
+XPHY_13001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56097_ _56097_/A VGND VGND VPWR VPWR _56116_/A sky130_fd_sc_hd__buf_1
+XPHY_23535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_538_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_339_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70114_ _70102_/X _70111_/X _70112_/X _70113_/X VGND VGND VPWR VPWR _70114_/X sky130_fd_sc_hd__o22a_4
+XFILLER_315_149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43062_ _43062_/A _43056_/A _43062_/C _43062_/D VGND VGND VPWR VPWR _43063_/C sky130_fd_sc_hd__or4_2
+XFILLER_560_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59925_ _77313_/Q _59446_/B VGND VGND VPWR VPWR _59926_/C sky130_fd_sc_hd__nor2_2
+XFILLER_46_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55048_ _55047_/A _46850_/B VGND VGND VPWR VPWR _55048_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_159_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40274_ _40155_/A _40261_/X VGND VGND VPWR VPWR _40274_/X sky130_fd_sc_hd__or2_2
+XFILLER_135_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75971_ _76008_/A VGND VGND VPWR VPWR _75983_/B sky130_fd_sc_hd__buf_1
+XFILLER_491_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71094_ _71073_/B _71130_/B _70898_/X VGND VGND VPWR VPWR _71094_/X sky130_fd_sc_hd__o21a_4
+XPHY_12311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42013_ wbs_dat_i[19] VGND VGND VPWR VPWR _42013_/Y sky130_fd_sc_hd__inv_8
+XPHY_13078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77710_ _77211_/CLK _77710_/D VGND VGND VPWR VPWR _77710_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_276_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74922_ _74915_/A _74919_/B _65227_/A VGND VGND VPWR VPWR _74923_/B sky130_fd_sc_hd__nand3_2
+XPHY_12344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70045_ _70558_/B _70045_/B VGND VGND VPWR VPWR _70045_/X sky130_fd_sc_hd__or2_2
+XFILLER_458_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47870_ _47870_/A _47869_/X VGND VGND VPWR VPWR _47870_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_712_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59856_ _59856_/A _59855_/Y VGND VGND VPWR VPWR _59856_/Y sky130_fd_sc_hd__nor2_2
+XPHY_11610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78690_ _78209_/CLK _78690_/D VGND VGND VPWR VPWR _63748_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_513_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_3027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_500_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46821_ _46818_/B _46820_/Y _46737_/B VGND VGND VPWR VPWR _46821_/Y sky130_fd_sc_hd__nor3_2
+XPHY_12388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58807_ _58740_/A _58807_/B _58807_/C VGND VGND VPWR VPWR _58811_/B sky130_fd_sc_hd__nor3_2
+XFILLER_313_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_532_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77641_ _77660_/CLK _77641_/D VGND VGND VPWR VPWR _77641_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_81_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_688_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_204_0_u_core.clock clkbuf_9_205_0_u_core.clock/A VGND VGND VPWR VPWR _76708_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_74853_ _74851_/X _74852_/Y VGND VGND VPWR VPWR _80839_/D sky130_fd_sc_hd__nand2_2
+XPHY_12399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59787_ _59787_/A VGND VGND VPWR VPWR _59943_/B sky130_fd_sc_hd__buf_1
+XPHY_10920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56999_ _57163_/A _56999_/B _56998_/Y VGND VGND VPWR VPWR _56999_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_49_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_81_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_104_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_646_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_673_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_122_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49540_ _49626_/A _49552_/B VGND VGND VPWR VPWR _49541_/B sky130_fd_sc_hd__or2_2
+X_73804_ _73926_/A _73804_/B VGND VGND VPWR VPWR _73804_/X sky130_fd_sc_hd__or2_2
+XFILLER_467_3084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_20_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_7_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58738_ _38989_/C _58536_/B VGND VGND VPWR VPWR _58738_/Y sky130_fd_sc_hd__nor2_2
+X_46752_ _46752_/A _46755_/A VGND VGND VPWR VPWR _46753_/C sky130_fd_sc_hd__nor2_2
+XPHY_11698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77572_ _78559_/CLK _77572_/D VGND VGND VPWR VPWR _52346_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_660_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43964_ _72959_/A _43957_/B VGND VGND VPWR VPWR _43965_/C sky130_fd_sc_hd__nor2_2
+XFILLER_27_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74784_ _75274_/A _74779_/X VGND VGND VPWR VPWR _74786_/A sky130_fd_sc_hd__or2_2
+XFILLER_584_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_118_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_467_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_330_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_215_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_608_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71996_ _71759_/X _71995_/Y VGND VGND VPWR VPWR _71997_/C sky130_fd_sc_hd__nor2_2
+XFILLER_27_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_453_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79311_ _79307_/CLK _43634_/Y VGND VGND VPWR VPWR _71804_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_368_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45703_ _42819_/B _45696_/X VGND VGND VPWR VPWR _45703_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_365_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76523_ VGND VGND VPWR VPWR _76523_/HI la_data_out[15] sky130_fd_sc_hd__conb_1
+XFILLER_584_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_373_0_u_core.clock clkbuf_9_373_0_u_core.clock/A VGND VGND VPWR VPWR _78186_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_10997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42915_ _42933_/A VGND VGND VPWR VPWR _42930_/B sky130_fd_sc_hd__buf_1
+XFILLER_614_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49471_ _49341_/X _49477_/B VGND VGND VPWR VPWR _49471_/X sky130_fd_sc_hd__or2_2
+X_73735_ _73724_/X _73735_/B _64899_/A VGND VGND VPWR VPWR _73735_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_77_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46683_ _46626_/A VGND VGND VPWR VPWR _46683_/X sky130_fd_sc_hd__buf_1
+XFILLER_549_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58669_ _58530_/X _58669_/B _58668_/Y VGND VGND VPWR VPWR _58669_/Y sky130_fd_sc_hd__nor3_2
+X_70947_ _70718_/X _70730_/X VGND VGND VPWR VPWR _70948_/B sky130_fd_sc_hd__or2_2
+XFILLER_98_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43895_ _43895_/A VGND VGND VPWR VPWR _43909_/A sky130_fd_sc_hd__inv_8
+XPHY_6250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_94_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_642_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_723_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48422_ _47915_/A _48335_/B VGND VGND VPWR VPWR _48422_/X sky130_fd_sc_hd__or2_2
+XFILLER_365_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60700_ _60065_/A _60700_/B _60699_/Y VGND VGND VPWR VPWR _60700_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_346_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79242_ _79271_/CLK _79242_/D VGND VGND VPWR VPWR _44034_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_149_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45634_ _45537_/X VGND VGND VPWR VPWR _45638_/B sky130_fd_sc_hd__buf_1
+XPHY_38020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76454_ VGND VGND VPWR VPWR _76454_/HI io_oeb[14] sky130_fd_sc_hd__conb_1
+XFILLER_36_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42846_ _43180_/A _42832_/B VGND VGND VPWR VPWR _42851_/B sky130_fd_sc_hd__nor2_2
+XFILLER_602_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61680_ _61680_/A _61519_/B VGND VGND VPWR VPWR _61680_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_79_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73666_ _73656_/A _73656_/B _81125_/Q VGND VGND VPWR VPWR _73667_/B sky130_fd_sc_hd__nand3_2
+XFILLER_236_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70878_ _70879_/A _70877_/X VGND VGND VPWR VPWR _70880_/B sky130_fd_sc_hd__nor2_2
+XFILLER_508_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75405_ _75403_/X _75404_/Y VGND VGND VPWR VPWR _80692_/D sky130_fd_sc_hd__nand2_2
+XFILLER_504_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60631_ _60311_/A _60628_/Y _60631_/C VGND VGND VPWR VPWR _60631_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_625_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72617_ _72609_/A _72620_/B _68589_/A VGND VGND VPWR VPWR _72618_/B sky130_fd_sc_hd__nand3_2
+XPHY_19962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48353_ _48411_/A _48353_/B VGND VGND VPWR VPWR _48353_/X sky130_fd_sc_hd__or2_2
+XPHY_5582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79173_ _76684_/CLK _79173_/D VGND VGND VPWR VPWR _42050_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_53_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45565_ _71259_/A _45572_/B VGND VGND VPWR VPWR _45566_/C sky130_fd_sc_hd__nor2_2
+XPHY_38075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76385_ _76403_/A VGND VGND VPWR VPWR _76397_/B sky130_fd_sc_hd__buf_1
+XFILLER_578_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_568_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42777_ _42773_/Y _42777_/B _42776_/X _42777_/D VGND VGND VPWR VPWR _42777_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_248_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73597_ _73607_/A _73597_/B _81143_/Q VGND VGND VPWR VPWR _73597_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_409_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_445_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_3107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47304_ _49332_/A VGND VGND VPWR VPWR _47304_/X sky130_fd_sc_hd__buf_1
+XFILLER_178_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_226_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78124_ _78127_/CLK _50246_/Y VGND VGND VPWR VPWR _62865_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_18_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44516_ _44487_/A _44526_/A VGND VGND VPWR VPWR _44517_/C sky130_fd_sc_hd__and2_2
+X_63350_ _63350_/A _63038_/B VGND VGND VPWR VPWR _63351_/C sky130_fd_sc_hd__nor2_2
+X_75336_ _75372_/A VGND VGND VPWR VPWR _75351_/B sky130_fd_sc_hd__buf_1
+XFILLER_422_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41728_ _41726_/A VGND VGND VPWR VPWR _41758_/A sky130_fd_sc_hd__buf_1
+XPHY_37374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48284_ _48284_/A _48258_/X VGND VGND VPWR VPWR _48285_/B sky130_fd_sc_hd__or2_2
+X_60562_ _60247_/A _60560_/Y _60562_/C VGND VGND VPWR VPWR _60562_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_324_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72548_ _72548_/A _72548_/B VGND VGND VPWR VPWR _72548_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_242_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_489_0_u_core.clock clkbuf_9_489_0_u_core.clock/A VGND VGND VPWR VPWR _80279_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_105_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45496_ _45496_/A VGND VGND VPWR VPWR _45520_/A sky130_fd_sc_hd__buf_1
+XPHY_36640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_33_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62301_ _62301_/A _62149_/X VGND VGND VPWR VPWR _62301_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47235_ _41969_/A VGND VGND VPWR VPWR _47238_/A sky130_fd_sc_hd__inv_8
+XFILLER_422_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78055_ _78062_/CLK _50510_/Y VGND VGND VPWR VPWR _62055_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44447_ _44406_/X _44447_/B _44447_/C VGND VGND VPWR VPWR _79231_/D sky130_fd_sc_hd__nor3_2
+XFILLER_474_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63281_ _63580_/A _63275_/Y _63281_/C VGND VGND VPWR VPWR _63281_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_695_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75267_ _75387_/A _75256_/B VGND VGND VPWR VPWR _75269_/A sky130_fd_sc_hd__or2_2
+XFILLER_203_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41659_ wbs_adr_i[17] wbs_adr_i[16] wbs_adr_i[19] wbs_adr_i[18] VGND VGND VPWR VPWR
++ _41660_/B sky130_fd_sc_hd__or4_2
+XPHY_36684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60493_ _60493_/A _60793_/B VGND VGND VPWR VPWR _60493_/Y sky130_fd_sc_hd__nor2_2
+X_72479_ _72479_/A _72478_/Y VGND VGND VPWR VPWR _81420_/D sky130_fd_sc_hd__nand2_2
+XFILLER_20_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65020_ _64851_/A _64978_/Y _65020_/C VGND VGND VPWR VPWR _65021_/C sky130_fd_sc_hd__nor3_2
+XFILLER_439_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77006_ _78386_/CLK _54484_/Y VGND VGND VPWR VPWR _77006_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_26183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62232_ _62078_/A _62228_/Y _62232_/C VGND VGND VPWR VPWR _62233_/C sky130_fd_sc_hd__nor3_2
+XPHY_35972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74218_ _74207_/A _74221_/B _67087_/A VGND VGND VPWR VPWR _74218_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_400_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_3077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_179_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47166_ _46369_/B _47171_/A VGND VGND VPWR VPWR _47167_/C sky130_fd_sc_hd__nor2_2
+XFILLER_242_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44378_ _79237_/Q _44390_/C _44378_/C VGND VGND VPWR VPWR _44378_/X sky130_fd_sc_hd__and3_2
+XFILLER_179_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75198_ _75184_/X _75201_/B _80747_/Q VGND VGND VPWR VPWR _75198_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_34_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_220_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_725_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_537_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_515_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_536_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_118_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_160_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46117_ _46114_/A _43085_/X _46113_/Y _46116_/Y VGND VGND VPWR VPWR _46117_/X sky130_fd_sc_hd__a211o_4
+XFILLER_173_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43329_ _43333_/A _43337_/B _65956_/A VGND VGND VPWR VPWR _43329_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_337_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62163_ _62163_/A _61852_/X VGND VGND VPWR VPWR _62163_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_591_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74149_ _74147_/X _74149_/B VGND VGND VPWR VPWR _81008_/D sky130_fd_sc_hd__nand2_2
+XFILLER_9_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47097_ _47097_/A VGND VGND VPWR VPWR _47097_/X sky130_fd_sc_hd__buf_1
+XFILLER_619_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_157_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61114_ _77577_/Q _61113_/X VGND VGND VPWR VPWR _61114_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_491_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46048_ _46047_/X VGND VGND VPWR VPWR _46048_/X sky130_fd_sc_hd__buf_1
+XFILLER_86_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66971_ _81310_/Q _66971_/B VGND VGND VPWR VPWR _66972_/C sky130_fd_sc_hd__nor2_2
+XPHY_14280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62094_ _62251_/A _62094_/B _62093_/Y VGND VGND VPWR VPWR _62094_/Y sky130_fd_sc_hd__nor3_2
+X_78957_ _78897_/CLK _78957_/D VGND VGND VPWR VPWR _46783_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_474_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_392_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_275_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_290_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_173_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68710_ _67063_/A VGND VGND VPWR VPWR _68878_/B sky130_fd_sc_hd__buf_1
+XFILLER_504_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_632_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65922_ _66258_/A _65922_/B _65922_/C VGND VGND VPWR VPWR _65923_/C sky130_fd_sc_hd__nor3_2
+X_61045_ _60966_/Y _61045_/B VGND VGND VPWR VPWR _76737_/D sky130_fd_sc_hd__nor2_2
+XFILLER_60_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77908_ _78400_/CLK _77908_/D VGND VGND VPWR VPWR _51059_/A sky130_fd_sc_hd__dfxtp_4
+X_38870_ _38864_/X _38881_/B _80269_/Q VGND VGND VPWR VPWR _38870_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_353_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69690_ _69690_/A _69690_/B VGND VGND VPWR VPWR _69691_/C sky130_fd_sc_hd__nor2_2
+XFILLER_302_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_29_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78888_ _78890_/CLK _78888_/D VGND VGND VPWR VPWR _46424_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_153_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49807_ _49807_/A _49807_/B VGND VGND VPWR VPWR _78242_/D sky130_fd_sc_hd__nand2_2
+X_68641_ _64377_/X VGND VGND VPWR VPWR _68641_/X sky130_fd_sc_hd__buf_1
+XFILLER_335_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65853_ _57004_/A _66187_/B VGND VGND VPWR VPWR _65853_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_231_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77839_ _77854_/CLK _51331_/Y VGND VGND VPWR VPWR _60867_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_190_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47999_ _47996_/Y _47999_/B VGND VGND VPWR VPWR _78704_/D sky130_fd_sc_hd__nand2_2
+XFILLER_468_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_313_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_214_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_155_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64804_ _56551_/A _64804_/B VGND VGND VPWR VPWR _64804_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_381_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80850_ _80844_/CLK _80850_/D VGND VGND VPWR VPWR _64870_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49738_ _49738_/A VGND VGND VPWR VPWR _49760_/B sky130_fd_sc_hd__buf_1
+XFILLER_64_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68572_ _68902_/A _68570_/Y _68571_/Y VGND VGND VPWR VPWR _68572_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_429_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_481_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65784_ _65629_/A _65779_/Y _65784_/C VGND VGND VPWR VPWR _65785_/C sky130_fd_sc_hd__nor3_2
+XFILLER_133_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62996_ _62804_/X _62995_/Y VGND VGND VPWR VPWR _62996_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_9_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_228_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_268_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_99_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_45_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67523_ _66700_/A VGND VGND VPWR VPWR _67524_/B sky130_fd_sc_hd__buf_1
+XFILLER_446_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79509_ _79506_/CLK _79509_/D VGND VGND VPWR VPWR _79509_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_665_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64735_ _64735_/A _64735_/B VGND VGND VPWR VPWR _64736_/B sky130_fd_sc_hd__nor2_2
+XFILLER_411_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61947_ _61636_/A _61943_/Y _61946_/Y VGND VGND VPWR VPWR _61955_/B sky130_fd_sc_hd__nor3_2
+X_49669_ _49699_/A _49673_/B VGND VGND VPWR VPWR _49670_/B sky130_fd_sc_hd__or2_2
+XFILLER_80_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80781_ _80845_/CLK _75069_/Y VGND VGND VPWR VPWR _80781_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_23_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_417_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39422_ _39162_/A _39422_/B VGND VGND VPWR VPWR _39425_/A sky130_fd_sc_hd__or2_2
+X_51700_ _60542_/A _51700_/B VGND VGND VPWR VPWR _51700_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_186_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_485_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_524_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67454_ _65808_/A VGND VGND VPWR VPWR _67454_/X sky130_fd_sc_hd__buf_1
+XFILLER_129_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52680_ _52696_/B VGND VGND VPWR VPWR _52693_/B sky130_fd_sc_hd__buf_1
+XFILLER_329_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_605_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64666_ _64366_/X _64664_/Y _64665_/Y VGND VGND VPWR VPWR _64666_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_215_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_509_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61878_ _61878_/A _61254_/B VGND VGND VPWR VPWR _61880_/B sky130_fd_sc_hd__nor2_2
+XFILLER_604_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_604_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_262_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_227_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_208_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66405_ _66562_/A _66405_/B _66405_/C VGND VGND VPWR VPWR _66413_/B sky130_fd_sc_hd__nor3_2
+XFILLER_70_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_659_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_660_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63617_ _63617_/A _63161_/B VGND VGND VPWR VPWR _63619_/B sky130_fd_sc_hd__nor2_2
+X_39353_ _39346_/A _39366_/B _65357_/A VGND VGND VPWR VPWR _39353_/Y sky130_fd_sc_hd__nand3_2
+X_51631_ _77761_/Q _51619_/X VGND VGND VPWR VPWR _51631_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_270_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60829_ _60829_/A _61303_/B VGND VGND VPWR VPWR _60829_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_423_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_3_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67385_ _66727_/A _67385_/B _67384_/Y VGND VGND VPWR VPWR _67394_/B sky130_fd_sc_hd__nor3_2
+XFILLER_440_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64597_ _64769_/A _64593_/Y _64597_/C VGND VGND VPWR VPWR _64597_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_596_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38304_ _38304_/A VGND VGND VPWR VPWR _38305_/B sky130_fd_sc_hd__buf_1
+XFILLER_596_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_81402_ _81338_/CLK _72548_/Y VGND VGND VPWR VPWR _81402_/Q sky130_fd_sc_hd__dfxtp_4
+X_69124_ _80171_/Q _68827_/B VGND VGND VPWR VPWR _69125_/C sky130_fd_sc_hd__nor2_2
+XPHY_2208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54350_ _54366_/B VGND VGND VPWR VPWR _54360_/B sky130_fd_sc_hd__buf_1
+XPHY_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66336_ _66167_/A _66336_/B _66336_/C VGND VGND VPWR VPWR _66340_/B sky130_fd_sc_hd__nor3_2
+XFILLER_604_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39284_ _39288_/A _39292_/B _80167_/Q VGND VGND VPWR VPWR _39284_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_440_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51562_ _51711_/A VGND VGND VPWR VPWR _51563_/A sky130_fd_sc_hd__buf_1
+XPHY_2219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63548_ _63810_/A _63544_/Y _63547_/Y VGND VGND VPWR VPWR _63548_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_36_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_586_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_655_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_546_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53301_ _53301_/A _53298_/B VGND VGND VPWR VPWR _53303_/A sky130_fd_sc_hd__nand2_2
+XFILLER_500_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38235_ _38235_/A _38225_/X VGND VGND VPWR VPWR _38235_/X sky130_fd_sc_hd__or2_2
+XFILLER_574_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_299_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50513_ _50513_/A _50512_/X VGND VGND VPWR VPWR _50513_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_620_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81333_ _81306_/CLK _72809_/Y VGND VGND VPWR VPWR _65457_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_396_174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69055_ _69055_/A _64487_/B VGND VGND VPWR VPWR _69057_/B sky130_fd_sc_hd__nor2_2
+XFILLER_260_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66267_ _65766_/A _66267_/B _66266_/Y VGND VGND VPWR VPWR _66267_/Y sky130_fd_sc_hd__nor3_2
+X_54281_ _54252_/X _54265_/A VGND VGND VPWR VPWR _54281_/X sky130_fd_sc_hd__or2_2
+XFILLER_279_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_418_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63479_ _48059_/A _63161_/B VGND VGND VPWR VPWR _63479_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_500_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51493_ _77797_/Q _51490_/B VGND VGND VPWR VPWR _51495_/A sky130_fd_sc_hd__nand2_2
+XPHY_1529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_637_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_10_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68006_ _68162_/A _68000_/Y _68006_/C VGND VGND VPWR VPWR _68015_/B sky130_fd_sc_hd__nor3_2
+XFILLER_440_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56020_ _55641_/B _56014_/X VGND VGND VPWR VPWR _56021_/C sky130_fd_sc_hd__nor2_2
+XFILLER_596_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65218_ _67044_/A VGND VGND VPWR VPWR _65884_/A sky130_fd_sc_hd__buf_1
+X_53232_ _53204_/A _53238_/B VGND VGND VPWR VPWR _53233_/B sky130_fd_sc_hd__or2_2
+XFILLER_259_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50444_ _50409_/X _50443_/X VGND VGND VPWR VPWR _50445_/B sky130_fd_sc_hd__or2_2
+XFILLER_195_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_538_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81264_ _81263_/CLK _81264_/D VGND VGND VPWR VPWR _64584_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_326_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_143_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_6_38_0_u_core.clock clkbuf_6_38_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_77_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_140_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66198_ _39064_/C _66684_/B VGND VGND VPWR VPWR _66199_/C sky130_fd_sc_hd__nor2_2
+XFILLER_475_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_527_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_393_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80215_ _80226_/CLK _80215_/D VGND VGND VPWR VPWR _39072_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_104_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53163_ _41824_/Y _53148_/X _41825_/Y _53149_/X VGND VGND VPWR VPWR _53327_/A sky130_fd_sc_hd__o22a_4
+XPHY_32609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65149_ _65149_/A _64804_/B VGND VGND VPWR VPWR _65149_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_615_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50375_ _78091_/Q _50371_/B VGND VGND VPWR VPWR _50377_/A sky130_fd_sc_hd__nand2_2
+XFILLER_295_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_69_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81195_ _81228_/CLK _73402_/Y VGND VGND VPWR VPWR _69055_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_526_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_203_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_611_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52114_ _52114_/A _52114_/B VGND VGND VPWR VPWR _52114_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_703_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80146_ _80147_/CLK _80146_/D VGND VGND VPWR VPWR _65007_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_516_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57971_ _57173_/A VGND VGND VPWR VPWR _57974_/A sky130_fd_sc_hd__buf_1
+XFILLER_371_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53094_ _53066_/A _53103_/B VGND VGND VPWR VPWR _53095_/B sky130_fd_sc_hd__or2_2
+X_69957_ _69957_/A VGND VGND VPWR VPWR _69957_/X sky130_fd_sc_hd__buf_1
+XPHY_31919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_151_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_128_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_89_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59710_ _77176_/Q _59388_/B VGND VGND VPWR VPWR _59710_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_2_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_713_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52045_ _52043_/Y _52044_/X VGND VGND VPWR VPWR _77651_/D sky130_fd_sc_hd__nand2_2
+XFILLER_336_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56922_ _56922_/A _57160_/B VGND VGND VPWR VPWR _56922_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_666_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68908_ _81194_/Q _68908_/B VGND VGND VPWR VPWR _68911_/B sky130_fd_sc_hd__nor2_2
+XPHY_21429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80077_ _80109_/CLK _80077_/D VGND VGND VPWR VPWR _58735_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_305_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_234_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69888_ _69888_/A _42453_/A VGND VGND VPWR VPWR _69889_/A sky130_fd_sc_hd__or2_2
+XFILLER_173_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_293_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_238_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_494_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_105_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59641_ _76928_/Q _59800_/B VGND VGND VPWR VPWR _59641_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_82_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_416_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56853_ _65533_/A _56518_/X VGND VGND VPWR VPWR _56853_/Y sky130_fd_sc_hd__nor2_2
+XPHY_20739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68839_ _68818_/Y _68839_/B VGND VGND VPWR VPWR _68840_/B sky130_fd_sc_hd__nor2_2
+XFILLER_710_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38999_ _38996_/X _38998_/Y VGND VGND VPWR VPWR _80235_/D sky130_fd_sc_hd__nand2_2
+XFILLER_683_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55804_ _76771_/Q _55797_/B VGND VGND VPWR VPWR _55807_/A sky130_fd_sc_hd__nand2_2
+XFILLER_291_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_219_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59572_ _62226_/A VGND VGND VPWR VPWR _59573_/B sky130_fd_sc_hd__buf_1
+XFILLER_59_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71850_ _70664_/X _71724_/B VGND VGND VPWR VPWR _71850_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_217_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56784_ _56469_/A _56784_/B _56783_/Y VGND VGND VPWR VPWR _56794_/A sky130_fd_sc_hd__nor3_2
+XFILLER_466_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53996_ _53938_/A _53987_/B VGND VGND VPWR VPWR _53997_/B sky130_fd_sc_hd__or2_2
+XFILLER_386_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_154_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_7_71_0_u_core.clock clkbuf_7_71_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_71_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_267_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58523_ _58523_/A _58522_/Y VGND VGND VPWR VPWR _58523_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_98_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70801_ _69770_/A _76645_/Q VGND VGND VPWR VPWR _70803_/A sky130_fd_sc_hd__nor2_2
+XFILLER_475_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_274_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55735_ _55722_/Y _55731_/Y _55735_/C VGND VGND VPWR VPWR _55735_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_644_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_609_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40961_ _40967_/A _40975_/B _40961_/C VGND VGND VPWR VPWR _40961_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_527_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_505_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52947_ _53031_/A _52965_/B VGND VGND VPWR VPWR _52948_/B sky130_fd_sc_hd__or2_2
+XFILLER_95_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71781_ _71235_/X _71781_/B VGND VGND VPWR VPWR _71781_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_625_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_609_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80979_ _80929_/CLK _80979_/D VGND VGND VPWR VPWR _80979_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_429_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_683_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_407_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_566_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42700_ _42691_/A _42700_/B VGND VGND VPWR VPWR _42700_/X sky130_fd_sc_hd__and2_2
+XFILLER_347_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73520_ _73506_/X VGND VGND VPWR VPWR _73521_/A sky130_fd_sc_hd__buf_1
+XFILLER_249_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_724_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58454_ _56348_/A VGND VGND VPWR VPWR _58735_/B sky130_fd_sc_hd__buf_1
+X_70732_ _70718_/X _70745_/B VGND VGND VPWR VPWR _70733_/B sky130_fd_sc_hd__and2_2
+XFILLER_562_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_7_18_0_u_core.clock clkbuf_6_9_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_37_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_284_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43680_ _43754_/A VGND VGND VPWR VPWR _69144_/A sky130_fd_sc_hd__inv_8
+XPHY_4100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55666_ _71959_/A _55666_/B VGND VGND VPWR VPWR _55666_/X sky130_fd_sc_hd__or2_2
+XFILLER_160_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40892_ _40889_/A _40889_/B _69221_/A VGND VGND VPWR VPWR _40892_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_185_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52878_ _77428_/Q _52892_/B VGND VGND VPWR VPWR _52881_/A sky130_fd_sc_hd__nand2_2
+XPHY_29759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_540_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1498 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_37_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57405_ _39566_/C _57568_/B VGND VGND VPWR VPWR _57407_/B sky130_fd_sc_hd__nor2_2
+XPHY_4133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42631_ _42631_/A _42630_/X VGND VGND VPWR VPWR _42631_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_699_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54617_ _54617_/A _54617_/B VGND VGND VPWR VPWR _54617_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_57_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73451_ _73441_/A _73444_/X _81181_/Q VGND VGND VPWR VPWR _73451_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_76_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51829_ _51829_/A _51829_/B VGND VGND VPWR VPWR _51829_/Y sky130_fd_sc_hd__nand2_2
+XPHY_18524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58385_ _68614_/A _58227_/X VGND VGND VPWR VPWR _58386_/C sky130_fd_sc_hd__nor2_2
+XPHY_19269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70663_ _70662_/X VGND VGND VPWR VPWR _70663_/Y sky130_fd_sc_hd__inv_8
+XFILLER_388_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_406_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55597_ _55304_/A _45252_/B VGND VGND VPWR VPWR _55597_/X sky130_fd_sc_hd__or2_2
+XFILLER_343_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_620_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_657_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72402_ _72239_/A _72412_/B VGND VGND VPWR VPWR _72404_/A sky130_fd_sc_hd__or2_2
+XPHY_17812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45350_ _45376_/A _45349_/Y VGND VGND VPWR VPWR _45350_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_655_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57336_ _57658_/A _57336_/B _57336_/C VGND VGND VPWR VPWR _57337_/C sky130_fd_sc_hd__nor3_2
+X_76170_ _76146_/A VGND VGND VPWR VPWR _76171_/B sky130_fd_sc_hd__buf_1
+XFILLER_423_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42562_ _42562_/A VGND VGND VPWR VPWR _42606_/A sky130_fd_sc_hd__buf_1
+X_54548_ _54545_/Y _54548_/B VGND VGND VPWR VPWR _76990_/D sky130_fd_sc_hd__nand2_2
+XFILLER_325_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73382_ _75058_/A _73382_/B VGND VGND VPWR VPWR _73383_/B sky130_fd_sc_hd__or2_2
+XFILLER_41_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_76_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_270_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70594_ _70593_/X VGND VGND VPWR VPWR _70594_/X sky130_fd_sc_hd__buf_1
+XFILLER_560_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_435_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_501_0_u_core.clock clkbuf_9_501_0_u_core.clock/A VGND VGND VPWR VPWR _80543_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_35202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_497_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_74_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44301_ _44285_/A _44301_/B _44301_/C VGND VGND VPWR VPWR _79241_/D sky130_fd_sc_hd__nor3_2
+XFILLER_54_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_360_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75121_ _75119_/X _75120_/Y VGND VGND VPWR VPWR _75121_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_576_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41513_ _41512_/X VGND VGND VPWR VPWR _41514_/B sky130_fd_sc_hd__buf_1
+XFILLER_204_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_595_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72333_ _72116_/A VGND VGND VPWR VPWR _72977_/A sky130_fd_sc_hd__buf_1
+XPHY_3487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_395_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45281_ _45281_/A VGND VGND VPWR VPWR _45281_/Y sky130_fd_sc_hd__inv_8
+XPHY_35235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57267_ _80282_/Q _57495_/B VGND VGND VPWR VPWR _57268_/C sky130_fd_sc_hd__nor2_2
+XFILLER_144_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42493_ _42457_/A VGND VGND VPWR VPWR _42493_/X sky130_fd_sc_hd__buf_1
+XFILLER_718_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54479_ _59482_/A _54479_/B VGND VGND VPWR VPWR _54481_/A sky130_fd_sc_hd__nand2_2
+XPHY_34501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_204_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_690_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_557_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_677_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_202_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47020_ _47028_/A _47020_/B VGND VGND VPWR VPWR _78920_/D sky130_fd_sc_hd__nor2_2
+X_59006_ _58950_/X VGND VGND VPWR VPWR _59340_/A sky130_fd_sc_hd__buf_1
+XFILLER_224_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44232_ _79249_/Q _44264_/B VGND VGND VPWR VPWR _44237_/B sky130_fd_sc_hd__nor2_2
+XFILLER_35_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56218_ _56322_/A VGND VGND VPWR VPWR _58525_/A sky130_fd_sc_hd__buf_1
+XFILLER_169_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75052_ _75052_/A _75058_/B VGND VGND VPWR VPWR _75052_/X sky130_fd_sc_hd__or2_2
+X_41444_ _41444_/A _41443_/Y VGND VGND VPWR VPWR _41444_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_319_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72264_ _72262_/X _72263_/Y VGND VGND VPWR VPWR _81468_/D sky130_fd_sc_hd__nand2_2
+XFILLER_401_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_602_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57198_ _57198_/A _57196_/Y _57197_/Y VGND VGND VPWR VPWR _57198_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_204_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_103_0_u_core.clock clkbuf_7_51_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_207_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_34545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_439_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_163_0_u_core.clock clkbuf_8_81_0_u_core.clock/X VGND VGND VPWR VPWR _79095_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_356_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74003_ _73999_/A _74015_/B _81036_/Q VGND VGND VPWR VPWR _74003_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_518_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_259_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71215_ _71471_/A _71215_/B _71214_/Y VGND VGND VPWR VPWR _71215_/X sky130_fd_sc_hd__or3_2
+XFILLER_683_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_358_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44163_ _44123_/X _44160_/Y _67520_/A _44162_/X VGND VGND VPWR VPWR _44164_/B sky130_fd_sc_hd__o22a_4
+XFILLER_122_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56149_ _56129_/A _56149_/B VGND VGND VPWR VPWR _56150_/B sky130_fd_sc_hd__nor2_2
+XFILLER_532_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79860_ _79837_/CLK _40496_/Y VGND VGND VPWR VPWR _65348_/A sky130_fd_sc_hd__dfxtp_4
+X_41375_ _41375_/A _41375_/B VGND VGND VPWR VPWR _41375_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_202_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72195_ _72195_/A _72176_/X VGND VGND VPWR VPWR _72195_/X sky130_fd_sc_hd__or2_2
+XFILLER_298_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_239_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_51_0_u_core.clock clkbuf_8_51_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_51_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_5_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_143_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43114_ _43108_/A _43101_/B _43114_/C VGND VGND VPWR VPWR _43208_/C sky130_fd_sc_hd__or3_2
+XFILLER_354_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78811_ _78307_/CLK _47580_/Y VGND VGND VPWR VPWR _63912_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_33877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40326_ _40326_/A _40326_/B _57285_/A VGND VGND VPWR VPWR _40327_/B sky130_fd_sc_hd__nand3_2
+XFILLER_649_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71146_ _70156_/A _71146_/B VGND VGND VPWR VPWR _71151_/B sky130_fd_sc_hd__nor2_2
+XFILLER_475_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48971_ _48971_/A _48970_/X VGND VGND VPWR VPWR _48971_/Y sky130_fd_sc_hd__nand2_2
+X_44094_ _43834_/A _44085_/A _44094_/C VGND VGND VPWR VPWR _44097_/B sky130_fd_sc_hd__and3_2
+XFILLER_237_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_678_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79791_ _79788_/CLK _79791_/D VGND VGND VPWR VPWR _64364_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_174_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_534_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_469_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47922_ _78723_/Q _47929_/B VGND VGND VPWR VPWR _47922_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_452_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_430_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43045_ _43045_/A VGND VGND VPWR VPWR _43045_/Y sky130_fd_sc_hd__inv_8
+X_59908_ _77289_/Q _59751_/B VGND VGND VPWR VPWR _59910_/B sky130_fd_sc_hd__nor2_2
+XFILLER_139_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78742_ _78739_/CLK _47852_/Y VGND VGND VPWR VPWR _47849_/A sky130_fd_sc_hd__dfxtp_4
+X_40257_ _40257_/A _40362_/B VGND VGND VPWR VPWR _40257_/X sky130_fd_sc_hd__or2_2
+XFILLER_272_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75954_ _75835_/A _75948_/X VGND VGND VPWR VPWR _75956_/A sky130_fd_sc_hd__or2_2
+XFILLER_520_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71077_ _70085_/X _71075_/X _71076_/Y VGND VGND VPWR VPWR _71077_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_26_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_135_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_117_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_219_0_u_core.clock clkbuf_8_218_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_439_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_21941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_151_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_486_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_279_0_u_core.clock clkbuf_9_279_0_u_core.clock/A VGND VGND VPWR VPWR _77254_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_289_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74905_ _74905_/A _74904_/Y VGND VGND VPWR VPWR _80825_/D sky130_fd_sc_hd__nand2_2
+XFILLER_497_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_3200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70028_ _70025_/X VGND VGND VPWR VPWR _70133_/A sky130_fd_sc_hd__inv_8
+XFILLER_332_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47853_ _78741_/Q _47826_/B VGND VGND VPWR VPWR _47853_/Y sky130_fd_sc_hd__nand2_2
+X_59839_ _59512_/A _59839_/B _59838_/Y VGND VGND VPWR VPWR _59839_/Y sky130_fd_sc_hd__nor3_2
+XPHY_11440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78673_ _78671_/CLK _78673_/D VGND VGND VPWR VPWR _48117_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_313_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40188_ _40188_/A VGND VGND VPWR VPWR _40202_/B sky130_fd_sc_hd__buf_1
+XFILLER_69_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75885_ _75883_/X _75885_/B VGND VGND VPWR VPWR _75885_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_512_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_250_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_500_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_152_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46804_ _46799_/B _46804_/B _46803_/X VGND VGND VPWR VPWR _46804_/Y sky130_fd_sc_hd__nor3_2
+X_77624_ _77639_/CLK _52140_/Y VGND VGND VPWR VPWR _77624_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_284_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_111_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_155_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62850_ _63003_/A _62850_/B _62850_/C VGND VGND VPWR VPWR _62851_/C sky130_fd_sc_hd__nor3_2
+XPHY_11484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74836_ _75318_/A _74835_/X VGND VGND VPWR VPWR _74838_/A sky130_fd_sc_hd__or2_2
+XFILLER_584_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47784_ _78757_/Q _47763_/B VGND VGND VPWR VPWR _47786_/A sky130_fd_sc_hd__nand2_2
+XFILLER_61_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44996_ _44938_/A _44996_/B _44995_/Y VGND VGND VPWR VPWR _44996_/X sky130_fd_sc_hd__or3_2
+XFILLER_187_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_204_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61801_ _61801_/A _61801_/B VGND VGND VPWR VPWR _61801_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_185_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49523_ _49523_/A _49523_/B VGND VGND VPWR VPWR _49523_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_326_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46735_ _46727_/X VGND VGND VPWR VPWR _46735_/Y sky130_fd_sc_hd__inv_8
+XPHY_10783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77555_ _78070_/CLK _77555_/D VGND VGND VPWR VPWR _52406_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_438_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43947_ _43944_/A _43956_/A VGND VGND VPWR VPWR _43949_/B sky130_fd_sc_hd__nor2_2
+XFILLER_365_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62781_ _76773_/Q _63401_/B VGND VGND VPWR VPWR _62782_/C sky130_fd_sc_hd__nor2_2
+XFILLER_20_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74767_ _74749_/A _74767_/B _80858_/Q VGND VGND VPWR VPWR _74768_/B sky130_fd_sc_hd__nand3_2
+XFILLER_545_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71979_ _75566_/A VGND VGND VPWR VPWR _73246_/A sky130_fd_sc_hd__buf_1
+XFILLER_643_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64520_ _67238_/A VGND VGND VPWR VPWR _64563_/A sky130_fd_sc_hd__buf_1
+XFILLER_399_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_76506_ VGND VGND VPWR VPWR _76506_/HI io_out[36] sky130_fd_sc_hd__conb_1
+X_61732_ _78101_/Q _61732_/B VGND VGND VPWR VPWR _61732_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_280_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73718_ _73718_/A _73717_/Y VGND VGND VPWR VPWR _73718_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_447_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49454_ _49452_/Y _49453_/X VGND VGND VPWR VPWR _78333_/D sky130_fd_sc_hd__nand2_2
+XFILLER_623_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46666_ _46681_/A _46666_/B _46666_/C VGND VGND VPWR VPWR _46667_/C sky130_fd_sc_hd__nor3_2
+XFILLER_482_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_98_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77486_ _77434_/CLK _52666_/Y VGND VGND VPWR VPWR _52664_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_621_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43878_ _43739_/X _43875_/Y _43723_/A _43877_/Y VGND VGND VPWR VPWR _43878_/X sky130_fd_sc_hd__o22a_4
+XPHY_6080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74698_ _74698_/A _74716_/B _80873_/Q VGND VGND VPWR VPWR _74698_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_98_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_424_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_725_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_365_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48405_ _48306_/A _48411_/B VGND VGND VPWR VPWR _48405_/X sky130_fd_sc_hd__or2_2
+X_79225_ _81273_/CLK _44498_/Y VGND VGND VPWR VPWR _79225_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_642_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45617_ _71741_/A _45617_/B VGND VGND VPWR VPWR _45617_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_185_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64451_ _66222_/A VGND VGND VPWR VPWR _65231_/A sky130_fd_sc_hd__buf_1
+XFILLER_604_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76437_ _76430_/A _76426_/B _76437_/C VGND VGND VPWR VPWR _76438_/B sky130_fd_sc_hd__nand3_2
+XFILLER_441_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42829_ _42829_/A VGND VGND VPWR VPWR _46228_/B sky130_fd_sc_hd__buf_1
+XFILLER_602_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49385_ _49341_/X _49391_/B VGND VGND VPWR VPWR _49385_/X sky130_fd_sc_hd__or2_2
+X_61663_ _60094_/A VGND VGND VPWR VPWR _61664_/B sky130_fd_sc_hd__buf_1
+X_73649_ _73649_/A _73655_/B VGND VGND VPWR VPWR _73649_/X sky130_fd_sc_hd__or2_2
+Xclkbuf_9_31_0_u_core.clock clkbuf_9_31_0_u_core.clock/A VGND VGND VPWR VPWR _77891_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_46597_ _78995_/Q _46592_/B VGND VGND VPWR VPWR _46598_/C sky130_fd_sc_hd__nor2_2
+XFILLER_209_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_181_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_342_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_365_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63402_ _63554_/A _63400_/Y _63402_/C VGND VGND VPWR VPWR _63403_/C sky130_fd_sc_hd__nor3_2
+XFILLER_181_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_233_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_128_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60614_ _60614_/A _60614_/B _60614_/C VGND VGND VPWR VPWR _60615_/B sky130_fd_sc_hd__nor3_2
+X_48336_ _48394_/A _54105_/A VGND VGND VPWR VPWR _48336_/X sky130_fd_sc_hd__or2_2
+XPHY_19792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67170_ _67659_/A _67166_/Y _67169_/Y VGND VGND VPWR VPWR _67170_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_695_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79156_ _79163_/CLK _45451_/Y VGND VGND VPWR VPWR _69621_/D sky130_fd_sc_hd__dfxtp_4
+XFILLER_209_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_675_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45548_ _45545_/A _45548_/B _45548_/C VGND VGND VPWR VPWR _79140_/D sky130_fd_sc_hd__nor3_2
+XPHY_37160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64382_ _68231_/A VGND VGND VPWR VPWR _69328_/B sky130_fd_sc_hd__buf_1
+X_76368_ _76371_/A _76382_/B _76368_/C VGND VGND VPWR VPWR _76369_/B sky130_fd_sc_hd__nand3_2
+XFILLER_568_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61594_ _77700_/Q _61759_/B VGND VGND VPWR VPWR _61596_/B sky130_fd_sc_hd__nor2_2
+XFILLER_261_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_595_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_209_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_555_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66121_ _65950_/A _66121_/B _66121_/C VGND VGND VPWR VPWR _66122_/C sky130_fd_sc_hd__nor3_2
+XFILLER_410_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_21_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78107_ _78114_/CLK _50319_/Y VGND VGND VPWR VPWR _62668_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63333_ _63333_/A _63331_/Y _63333_/C VGND VGND VPWR VPWR _63337_/B sky130_fd_sc_hd__nor3_2
+XFILLER_221_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_656_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75319_ _75356_/A VGND VGND VPWR VPWR _75334_/A sky130_fd_sc_hd__buf_1
+XFILLER_398_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48267_ _78634_/Q _48259_/X VGND VGND VPWR VPWR _48267_/Y sky130_fd_sc_hd__nand2_2
+X_60545_ _60227_/A _60545_/B _60544_/Y VGND VGND VPWR VPWR _60545_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_398_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79087_ _79095_/CLK _79087_/D VGND VGND VPWR VPWR _79087_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_220_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_410_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45479_ _42084_/A _45489_/B VGND VGND VPWR VPWR _45483_/B sky130_fd_sc_hd__nor2_2
+XPHY_36470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76299_ _76299_/A _76291_/B _80475_/Q VGND VGND VPWR VPWR _76300_/B sky130_fd_sc_hd__nand3_2
+XFILLER_556_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_105_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47218_ _46445_/A _47218_/B VGND VGND VPWR VPWR _47218_/Y sky130_fd_sc_hd__nor2_2
+X_66052_ _66052_/A VGND VGND VPWR VPWR _66704_/A sky130_fd_sc_hd__buf_1
+XFILLER_177_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78038_ _78046_/CLK _50577_/Y VGND VGND VPWR VPWR _61878_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_476_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63264_ _49097_/A _62954_/B VGND VGND VPWR VPWR _63265_/C sky130_fd_sc_hd__nor2_2
+XFILLER_198_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48198_ _48198_/A _48197_/X VGND VGND VPWR VPWR _48198_/Y sky130_fd_sc_hd__nand2_2
+X_60476_ _59838_/A _60471_/Y _60475_/Y VGND VGND VPWR VPWR _60476_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_347_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_615_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_717_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65003_ _80082_/Q _64671_/X VGND VGND VPWR VPWR _65003_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_536_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_158_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62215_ _62023_/X _62214_/Y VGND VGND VPWR VPWR _62215_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_593_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47149_ _47149_/A _47149_/B _47149_/C VGND VGND VPWR VPWR _47149_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_552_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_536_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63195_ _78750_/Q _62884_/X VGND VGND VPWR VPWR _63197_/B sky130_fd_sc_hd__nor2_2
+XFILLER_337_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_725_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_157_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80000_ _80031_/CLK _80000_/D VGND VGND VPWR VPWR _80000_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_220_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_277_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69811_ _69836_/A _69766_/Y _69811_/C VGND VGND VPWR VPWR _69811_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_153_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50160_ _62704_/A _50170_/B VGND VGND VPWR VPWR _50162_/A sky130_fd_sc_hd__nand2_2
+XFILLER_146_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62146_ _61672_/X _62146_/B _62145_/Y VGND VGND VPWR VPWR _62146_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_122_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39971_ _39964_/A _39968_/B _79993_/Q VGND VGND VPWR VPWR _39971_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_337_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_133_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79989_ _80147_/CLK _79989_/D VGND VGND VPWR VPWR _79989_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_533_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_713_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_667_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_66_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38922_ _38922_/A VGND VGND VPWR VPWR _38926_/B sky130_fd_sc_hd__buf_1
+XFILLER_318_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69742_ _42133_/A VGND VGND VPWR VPWR _69805_/B sky130_fd_sc_hd__inv_8
+XFILLER_114_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50091_ _50091_/A VGND VGND VPWR VPWR _50091_/X sky130_fd_sc_hd__buf_1
+XFILLER_372_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62077_ _61919_/A _62077_/B _62076_/Y VGND VGND VPWR VPWR _62078_/C sky130_fd_sc_hd__nor3_2
+XFILLER_290_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66954_ _64296_/A VGND VGND VPWR VPWR _67607_/A sky130_fd_sc_hd__buf_1
+XFILLER_99_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_452_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_66_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65905_ _65905_/A VGND VGND VPWR VPWR _66566_/A sky130_fd_sc_hd__buf_1
+X_61028_ _60399_/A _61026_/Y _61028_/C VGND VGND VPWR VPWR _61028_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_272_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_135_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38853_ _38609_/A _38850_/B VGND VGND VPWR VPWR _38855_/A sky130_fd_sc_hd__or2_2
+X_69673_ _69656_/Y _69673_/B VGND VGND VPWR VPWR _72124_/A sky130_fd_sc_hd__or2_2
+XFILLER_151_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66885_ _66885_/A _67212_/B VGND VGND VPWR VPWR _66885_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_9_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_138_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_477_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_350_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80902_ _80902_/CLK _74581_/Y VGND VGND VPWR VPWR _80902_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_585_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68624_ _68621_/X _68622_/Y _68624_/C VGND VGND VPWR VPWR _68624_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_370_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_116_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53850_ _53850_/A _53841_/B VGND VGND VPWR VPWR _53850_/X sky130_fd_sc_hd__or2_2
+X_65836_ _66500_/A _65833_/Y _65835_/Y VGND VGND VPWR VPWR _65836_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_385_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38784_ _38787_/A _38798_/B _80292_/Q VGND VGND VPWR VPWR _38784_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_528_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_645_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_170_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_692_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_487_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52801_ _52794_/A VGND VGND VPWR VPWR _52811_/B sky130_fd_sc_hd__buf_1
+XFILLER_563_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_96_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80833_ _80862_/CLK _74875_/Y VGND VGND VPWR VPWR _67367_/A sky130_fd_sc_hd__dfxtp_4
+X_68555_ _66908_/A VGND VGND VPWR VPWR _68556_/B sky130_fd_sc_hd__buf_1
+XFILLER_249_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53781_ _53841_/A _53793_/B VGND VGND VPWR VPWR _53782_/B sky130_fd_sc_hd__or2_2
+X_65767_ _65439_/A _65767_/B _65766_/Y VGND VGND VPWR VPWR _65768_/C sky130_fd_sc_hd__nor3_2
+XFILLER_585_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50993_ _54815_/A _50965_/B VGND VGND VPWR VPWR _51027_/B sky130_fd_sc_hd__or2_2
+XFILLER_229_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62979_ _62489_/X _62979_/B _62978_/Y VGND VGND VPWR VPWR _62979_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_708_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_680_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_309_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_445_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_228_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_633_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67506_ _57810_/A _67018_/B VGND VGND VPWR VPWR _67506_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_481_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55520_ _55707_/A VGND VGND VPWR VPWR _55624_/A sky130_fd_sc_hd__buf_1
+XFILLER_168_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64718_ _64879_/A _64718_/B _64717_/Y VGND VGND VPWR VPWR _64735_/A sky130_fd_sc_hd__nor3_2
+X_52732_ _52732_/A _52732_/B VGND VGND VPWR VPWR _77468_/D sky130_fd_sc_hd__nand2_2
+XFILLER_283_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_266_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_3_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_3_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80764_ _80766_/CLK _80764_/D VGND VGND VPWR VPWR _75135_/C sky130_fd_sc_hd__dfxtp_4
+X_68486_ _58286_/A _68156_/B VGND VGND VPWR VPWR _68486_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_288_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_460_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_661_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65698_ _65698_/A _66028_/B VGND VGND VPWR VPWR _65700_/B sky130_fd_sc_hd__nor2_2
+XFILLER_383_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_509_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_417_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39405_ _39423_/A VGND VGND VPWR VPWR _39420_/A sky130_fd_sc_hd__buf_1
+XFILLER_442_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_621_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55451_ _71485_/A _55451_/B VGND VGND VPWR VPWR _55451_/X sky130_fd_sc_hd__or2_2
+XFILLER_724_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67437_ _67437_/A _67942_/B VGND VGND VPWR VPWR _67440_/B sky130_fd_sc_hd__nor2_2
+XFILLER_36_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52663_ _52663_/A _52663_/B VGND VGND VPWR VPWR _52663_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_348_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64649_ _64814_/A _64640_/Y _64649_/C VGND VGND VPWR VPWR _64650_/B sky130_fd_sc_hd__nor3_2
+XFILLER_266_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_509_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_344_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80695_ _80867_/CLK _75395_/Y VGND VGND VPWR VPWR _65717_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_604_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54402_ _54486_/A _54402_/B VGND VGND VPWR VPWR _54402_/X sky130_fd_sc_hd__or2_2
+XFILLER_70_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_309_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_205_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51614_ _51614_/A _51614_/B VGND VGND VPWR VPWR _51614_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_73_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39336_ _39336_/A _39336_/B VGND VGND VPWR VPWR _39336_/Y sky130_fd_sc_hd__nand2_2
+XPHY_17119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58170_ _58170_/A _58170_/B _58170_/C VGND VGND VPWR VPWR _58170_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_498_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_307_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67368_ _80865_/Q _67213_/B VGND VGND VPWR VPWR _67369_/C sky130_fd_sc_hd__nor2_2
+XFILLER_23_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55382_ _55393_/A _55382_/B VGND VGND VPWR VPWR _55382_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_702_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_494_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_459_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52594_ _60929_/A _52579_/X VGND VGND VPWR VPWR _52596_/A sky130_fd_sc_hd__nand2_2
+XFILLER_24_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_227_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_620_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_55_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_196_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_377_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57121_ _57110_/Y _57121_/B VGND VGND VPWR VPWR _57122_/B sky130_fd_sc_hd__nor2_2
+XFILLER_399_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69107_ _68968_/A _69105_/Y _69106_/Y VGND VGND VPWR VPWR _69107_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_24_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_58_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_592_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54333_ _54333_/A _54315_/B VGND VGND VPWR VPWR _54335_/A sky130_fd_sc_hd__nand2_2
+XFILLER_303_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66319_ _81306_/Q _65983_/B VGND VGND VPWR VPWR _66320_/C sky130_fd_sc_hd__nor2_2
+XFILLER_459_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_71_1510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39267_ _39119_/A _39283_/B VGND VGND VPWR VPWR _39267_/X sky130_fd_sc_hd__or2_2
+XFILLER_377_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51545_ _60858_/A _51551_/B VGND VGND VPWR VPWR _51545_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_36_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_11_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_225_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67299_ _68948_/A VGND VGND VPWR VPWR _67630_/A sky130_fd_sc_hd__buf_1
+XFILLER_420_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_500_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38218_ _38337_/A _38212_/B VGND VGND VPWR VPWR _38218_/X sky130_fd_sc_hd__or2_2
+XFILLER_279_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_320_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57052_ _57052_/A _56721_/B VGND VGND VPWR VPWR _57052_/Y sky130_fd_sc_hd__nor2_2
+X_69038_ _69038_/A _69038_/B VGND VGND VPWR VPWR _69039_/B sky130_fd_sc_hd__nor2_2
+X_81316_ _81306_/CLK _81316_/D VGND VGND VPWR VPWR _81316_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_295_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54264_ _77066_/Q _54271_/B VGND VGND VPWR VPWR _54264_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_123_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_3083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51476_ _51476_/A _51475_/X VGND VGND VPWR VPWR _77803_/D sky130_fd_sc_hd__nand2_2
+XPHY_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39198_ _39198_/A _39189_/X VGND VGND VPWR VPWR _39198_/X sky130_fd_sc_hd__or2_2
+XPHY_15739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_418_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_338_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56003_ _55982_/X _56002_/X io_out[26] _55980_/X VGND VGND VPWR VPWR wbs_dat_o[3]
++ sky130_fd_sc_hd__o22a_4
+XFILLER_203_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_459_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53215_ _77342_/Q _53218_/B VGND VGND VPWR VPWR _53215_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_372_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_561_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_355_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50427_ _50426_/X _50410_/X VGND VGND VPWR VPWR _50428_/B sky130_fd_sc_hd__or2_2
+XFILLER_472_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81247_ _81273_/CLK _81247_/D VGND VGND VPWR VPWR _67097_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_515_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54195_ _54067_/A _54210_/B VGND VGND VPWR VPWR _54196_/B sky130_fd_sc_hd__or2_2
+XFILLER_365_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_535_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_88_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_123_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_475_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_179_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71000_ _70993_/Y _70999_/Y VGND VGND VPWR VPWR _71000_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_234_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_715_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41160_ _41008_/A _41270_/B VGND VGND VPWR VPWR _41160_/X sky130_fd_sc_hd__or2_2
+XFILLER_158_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53146_ _53146_/A _53173_/B VGND VGND VPWR VPWR _53146_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_175_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50358_ _62004_/A _50343_/B VGND VGND VPWR VPWR _50360_/A sky130_fd_sc_hd__nand2_2
+XFILLER_238_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_158_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81178_ _81206_/CLK _81178_/D VGND VGND VPWR VPWR _66271_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_136_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_533_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_380_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40111_ _40075_/A VGND VGND VPWR VPWR _40112_/A sky130_fd_sc_hd__buf_1
+XPHY_9601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_685_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_513_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80129_ _80157_/CLK _39429_/Y VGND VGND VPWR VPWR _80129_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_21215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53077_ _60531_/A _53077_/B VGND VGND VPWR VPWR _53079_/A sky130_fd_sc_hd__nand2_2
+X_57954_ _57872_/A _57954_/B _57954_/C VGND VGND VPWR VPWR _57962_/A sky130_fd_sc_hd__nor3_2
+XFILLER_43_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41091_ _41081_/X _41076_/X _66622_/A VGND VGND VPWR VPWR _41091_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_238_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50289_ _62669_/A _50284_/X VGND VGND VPWR VPWR _50291_/A sky130_fd_sc_hd__nand2_2
+XFILLER_117_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_336_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_216_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40042_ _40281_/A _40041_/X VGND VGND VPWR VPWR _40044_/A sky130_fd_sc_hd__or2_2
+XFILLER_279_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56905_ _56806_/X _56901_/Y _56904_/Y VGND VGND VPWR VPWR _56905_/Y sky130_fd_sc_hd__nor3_2
+XPHY_9656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52028_ _52028_/A _52028_/B VGND VGND VPWR VPWR _52028_/Y sky130_fd_sc_hd__nand2_2
+XPHY_21259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72951_ _73965_/A _72956_/B VGND VGND VPWR VPWR _72951_/X sky130_fd_sc_hd__or2_2
+XPHY_9667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57885_ _57731_/A _57883_/Y _57885_/C VGND VGND VPWR VPWR _57889_/B sky130_fd_sc_hd__nor3_2
+XFILLER_62_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_215_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_251_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_483_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59624_ _59461_/A _59624_/B _59623_/Y VGND VGND VPWR VPWR _59624_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_294_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71902_ _70701_/X _71724_/B VGND VGND VPWR VPWR _71903_/B sky130_fd_sc_hd__nor2_2
+XFILLER_117_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44850_ _65708_/A _44700_/A _44850_/C VGND VGND VPWR VPWR _44850_/X sky130_fd_sc_hd__and3_2
+XPHY_8966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56836_ _56322_/A VGND VGND VPWR VPWR _56836_/X sky130_fd_sc_hd__buf_1
+XFILLER_726_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75670_ _75670_/A _75669_/Y VGND VGND VPWR VPWR _80633_/D sky130_fd_sc_hd__nand2_2
+XFILLER_464_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_609_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72882_ _72952_/A VGND VGND VPWR VPWR _72882_/X sky130_fd_sc_hd__buf_1
+XFILLER_78_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_486_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43801_ _43801_/A _43938_/A VGND VGND VPWR VPWR _43801_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_47_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74621_ _74491_/A _74613_/X VGND VGND VPWR VPWR _74621_/X sky130_fd_sc_hd__or2_2
+XFILLER_349_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_460_0_u_core.clock clkbuf_9_461_0_u_core.clock/A VGND VGND VPWR VPWR _81004_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_293_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_4_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_486_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_3065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59555_ _59719_/A _59555_/B _59555_/C VGND VGND VPWR VPWR _59559_/B sky130_fd_sc_hd__nor3_2
+XFILLER_425_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71833_ _70657_/A _71228_/A VGND VGND VPWR VPWR _71838_/B sky130_fd_sc_hd__nor2_2
+XFILLER_232_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44781_ _44781_/A _44752_/X VGND VGND VPWR VPWR _44781_/Y sky130_fd_sc_hd__nor2_2
+X_56767_ _56767_/A VGND VGND VPWR VPWR _57094_/A sky130_fd_sc_hd__buf_1
+XFILLER_482_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_726_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_644_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41993_ _42288_/B _41987_/Y _41993_/C VGND VGND VPWR VPWR _41993_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_130_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53979_ _53976_/X VGND VGND VPWR VPWR _53980_/B sky130_fd_sc_hd__buf_1
+XFILLER_115_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_581_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46520_ _46520_/A _46520_/B VGND VGND VPWR VPWR _46521_/A sky130_fd_sc_hd__or2_2
+X_58506_ _79978_/Q _58648_/B VGND VGND VPWR VPWR _58508_/B sky130_fd_sc_hd__nor2_2
+XPHY_29523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77340_ _77333_/CLK _77340_/D VGND VGND VPWR VPWR _53223_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_219_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43732_ _43678_/X _43732_/B _43732_/C VGND VGND VPWR VPWR _43736_/B sky130_fd_sc_hd__nor3_2
+XFILLER_99_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55718_ _55403_/A _55717_/Y VGND VGND VPWR VPWR _55718_/X sky130_fd_sc_hd__or2_2
+XFILLER_581_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74552_ _74552_/A _74552_/B VGND VGND VPWR VPWR _74552_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_423_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_679_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40944_ _40944_/A _40943_/Y VGND VGND VPWR VPWR _79743_/D sky130_fd_sc_hd__nand2_2
+XFILLER_249_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59486_ _77327_/Q _59486_/B VGND VGND VPWR VPWR _59487_/C sky130_fd_sc_hd__nor2_2
+X_71764_ _71764_/A _71732_/B _71764_/C VGND VGND VPWR VPWR _71765_/C sky130_fd_sc_hd__nor3_2
+XFILLER_171_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56698_ _56698_/A VGND VGND VPWR VPWR _56779_/B sky130_fd_sc_hd__buf_1
+XFILLER_245_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_407_0_u_core.clock clkbuf_9_406_0_u_core.clock/A VGND VGND VPWR VPWR _80884_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_186_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_605_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_720_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73503_ _73503_/A VGND VGND VPWR VPWR _73750_/A sky130_fd_sc_hd__buf_1
+XPHY_28822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46451_ _47100_/B VGND VGND VPWR VPWR _46451_/Y sky130_fd_sc_hd__inv_8
+XFILLER_651_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58437_ _68815_/A _58437_/B VGND VGND VPWR VPWR _58440_/B sky130_fd_sc_hd__nor2_2
+XFILLER_284_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70715_ _70720_/A _69793_/A _69954_/A VGND VGND VPWR VPWR _70715_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_678_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77271_ _77274_/CLK _53492_/Y VGND VGND VPWR VPWR _77271_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_76_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43663_ _43646_/X _43661_/Y _43663_/C VGND VGND VPWR VPWR _43663_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_429_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55649_ _55426_/C _55703_/B _55333_/B _55734_/A VGND VGND VPWR VPWR _55650_/B sky130_fd_sc_hd__o22a_4
+XFILLER_611_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74483_ _66582_/A VGND VGND VPWR VPWR _74484_/A sky130_fd_sc_hd__inv_8
+XPHY_19055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_523_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40875_ _40875_/A _40874_/Y VGND VGND VPWR VPWR _79760_/D sky130_fd_sc_hd__nand2_2
+XPHY_29589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71695_ _71539_/X _71681_/X _71548_/X _42691_/B VGND VGND VPWR VPWR _71696_/A sky130_fd_sc_hd__o22a_4
+XFILLER_222_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79010_ _78988_/Q _79010_/D _46512_/X VGND VGND VPWR VPWR _46497_/B sky130_fd_sc_hd__dfrtp_4
+X_45402_ _45236_/A _45401_/X VGND VGND VPWR VPWR _45402_/X sky130_fd_sc_hd__or2_2
+XPHY_28866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76222_ _76222_/A _76221_/Y VGND VGND VPWR VPWR _80495_/D sky130_fd_sc_hd__nand2_2
+XFILLER_403_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42614_ _42547_/A _42614_/B VGND VGND VPWR VPWR _42614_/X sky130_fd_sc_hd__and2_2
+XFILLER_501_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73434_ _73434_/A _73433_/X VGND VGND VPWR VPWR _73434_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_262_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49170_ _64055_/A _49169_/X VGND VGND VPWR VPWR _49170_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70646_ _70601_/Y _70646_/B VGND VGND VPWR VPWR _70646_/Y sky130_fd_sc_hd__nor2_2
+X_46382_ _46378_/X _46382_/B VGND VGND VPWR VPWR _46382_/Y sky130_fd_sc_hd__nand2_2
+X_58368_ _58134_/A _58368_/B _58367_/Y VGND VGND VPWR VPWR _58368_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_265_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43594_ _43591_/X _43594_/B _43594_/C VGND VGND VPWR VPWR _79322_/D sky130_fd_sc_hd__nor3_2
+XFILLER_141_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_577_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48121_ _48060_/A _48121_/B VGND VGND VPWR VPWR _48122_/B sky130_fd_sc_hd__or2_2
+XPHY_3262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_388_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45333_ _45332_/Y _45032_/X VGND VGND VPWR VPWR _45338_/B sky130_fd_sc_hd__nor2_2
+XFILLER_245_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57319_ _57243_/A _57317_/Y _57318_/Y VGND VGND VPWR VPWR _57319_/Y sky130_fd_sc_hd__nor3_2
+X_76153_ _76153_/A _76153_/B _80510_/Q VGND VGND VPWR VPWR _76153_/Y sky130_fd_sc_hd__nand3_2
+XPHY_17653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42545_ _42510_/X _42544_/X _70217_/Y _42514_/X VGND VGND VPWR VPWR _42545_/X sky130_fd_sc_hd__o22a_4
+XFILLER_395_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73365_ _73371_/A _73371_/B _81204_/Q VGND VGND VPWR VPWR _73367_/A sky130_fd_sc_hd__nand3_2
+XPHY_35021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58299_ _58299_/A VGND VGND VPWR VPWR _58471_/B sky130_fd_sc_hd__buf_1
+X_70577_ _70566_/X _70576_/Y VGND VGND VPWR VPWR _70648_/A sky130_fd_sc_hd__nor2_2
+XFILLER_638_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_694_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_118_0_u_core.clock clkbuf_6_59_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_118_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_17686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75104_ _75101_/X _75103_/Y VGND VGND VPWR VPWR _80772_/D sky130_fd_sc_hd__nand2_2
+XFILLER_497_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48052_ _63748_/A _48044_/X VGND VGND VPWR VPWR _48052_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_375_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60330_ _54221_/A _60791_/B VGND VGND VPWR VPWR _60331_/C sky130_fd_sc_hd__nor2_2
+XFILLER_579_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72316_ _72314_/X _72316_/B VGND VGND VPWR VPWR _72316_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_302_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_692_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_178_0_u_core.clock clkbuf_7_89_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_357_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_50_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45264_ _45264_/A VGND VGND VPWR VPWR _45267_/A sky130_fd_sc_hd__buf_1
+XFILLER_674_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76084_ _76084_/A VGND VGND VPWR VPWR _76136_/A sky130_fd_sc_hd__buf_1
+XFILLER_616_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_403_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42476_ _42628_/A VGND VGND VPWR VPWR _42476_/X sky130_fd_sc_hd__buf_1
+XFILLER_187_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73296_ _74974_/A _73284_/B VGND VGND VPWR VPWR _73297_/B sky130_fd_sc_hd__or2_2
+XFILLER_156_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_391_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47003_ _47028_/A _47003_/B VGND VGND VPWR VPWR _78922_/D sky130_fd_sc_hd__nor2_2
+XFILLER_506_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_35_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44215_ _44263_/A _44214_/X VGND VGND VPWR VPWR _79252_/D sky130_fd_sc_hd__nor2_2
+XFILLER_317_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75035_ _75035_/A _75039_/B VGND VGND VPWR VPWR _75035_/X sky130_fd_sc_hd__or2_2
+X_79912_ _79907_/CLK _40276_/Y VGND VGND VPWR VPWR _58393_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_176_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41427_ _41042_/X _41430_/B VGND VGND VPWR VPWR _41427_/X sky130_fd_sc_hd__or2_2
+XPHY_34364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60261_ _76956_/Q _59615_/B VGND VGND VPWR VPWR _60263_/B sky130_fd_sc_hd__nor2_2
+X_72247_ _72263_/A _72263_/B _67137_/A VGND VGND VPWR VPWR _72248_/B sky130_fd_sc_hd__nand3_2
+XFILLER_291_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_573_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_538_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_506_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_356_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45195_ _58850_/A _45195_/B VGND VGND VPWR VPWR _45195_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_651_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_707_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_376_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62000_ _76768_/Q _61999_/X VGND VGND VPWR VPWR _62001_/C sky130_fd_sc_hd__nor2_2
+XPHY_34397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_636_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44146_ _44228_/A _44146_/B VGND VGND VPWR VPWR _44146_/X sky130_fd_sc_hd__or2_2
+XFILLER_570_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79843_ _79940_/CLK _40567_/Y VGND VGND VPWR VPWR _67824_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_344_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41358_ _41107_/X _41358_/B VGND VGND VPWR VPWR _41358_/X sky130_fd_sc_hd__or2_2
+XFILLER_616_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60192_ _60192_/A _59866_/B VGND VGND VPWR VPWR _60194_/B sky130_fd_sc_hd__nor2_2
+X_72178_ _72166_/A _72160_/X _69088_/A VGND VGND VPWR VPWR _72178_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_115_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_193_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40309_ _40306_/X _40309_/B VGND VGND VPWR VPWR _40309_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_256_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_452_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_304_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71129_ _71073_/B _71130_/B VGND VGND VPWR VPWR _71129_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_170_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48954_ _48954_/A _48954_/B VGND VGND VPWR VPWR _78461_/D sky130_fd_sc_hd__nand2_2
+X_44077_ _43879_/A _44077_/B VGND VGND VPWR VPWR _79269_/D sky130_fd_sc_hd__nor2_4
+XFILLER_291_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79774_ _79805_/CLK _40824_/Y VGND VGND VPWR VPWR _57560_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_83_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41289_ _41284_/A _41277_/B _58638_/A VGND VGND VPWR VPWR _41290_/B sky130_fd_sc_hd__nand3_2
+XFILLER_633_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76986_ _76993_/CLK _76986_/D VGND VGND VPWR VPWR _54562_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_331_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_458_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_586_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47905_ _47903_/Y _47904_/X VGND VGND VPWR VPWR _78728_/D sky130_fd_sc_hd__nand2_2
+X_43028_ _43027_/Y _43028_/B VGND VGND VPWR VPWR _43028_/X sky130_fd_sc_hd__or2_2
+XFILLER_675_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78725_ _78717_/CLK _47914_/Y VGND VGND VPWR VPWR _62999_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_611_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63951_ _48075_/A _63408_/X VGND VGND VPWR VPWR _63952_/C sky130_fd_sc_hd__nor2_2
+XPHY_22494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75937_ _76057_/A _75937_/B VGND VGND VPWR VPWR _75937_/X sky130_fd_sc_hd__or2_2
+XFILLER_26_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_449_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48885_ _62924_/A _48867_/B VGND VGND VPWR VPWR _48887_/A sky130_fd_sc_hd__nand2_2
+XFILLER_111_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_69_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_9_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_662_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_113_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62902_ _63054_/A _62900_/Y _62901_/Y VGND VGND VPWR VPWR _62902_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_690_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47836_ _47832_/Y _47835_/X VGND VGND VPWR VPWR _78746_/D sky130_fd_sc_hd__nand2_2
+XPHY_21793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66670_ _66509_/A _66670_/B _66669_/Y VGND VGND VPWR VPWR _66670_/Y sky130_fd_sc_hd__nor3_2
+XPHY_11270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78656_ _78638_/CLK _48189_/Y VGND VGND VPWR VPWR _78656_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_285_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_465_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63882_ _63620_/A _63882_/B _63882_/C VGND VGND VPWR VPWR _63883_/C sky130_fd_sc_hd__nor3_2
+X_75868_ _75988_/A _75876_/B VGND VGND VPWR VPWR _75868_/X sky130_fd_sc_hd__or2_2
+XPHY_11281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_674_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_418_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_29_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_647_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_586_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65621_ _65621_/A _65621_/B _65621_/C VGND VGND VPWR VPWR _65621_/Y sky130_fd_sc_hd__nor3_2
+X_77607_ _77606_/CLK _52215_/Y VGND VGND VPWR VPWR _77607_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_211_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62833_ _63301_/A _62833_/B _62833_/C VGND VGND VPWR VPWR _62833_/Y sky130_fd_sc_hd__nor3_2
+X_74819_ _74819_/A VGND VGND VPWR VPWR _74820_/A sky130_fd_sc_hd__buf_1
+XFILLER_469_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_284_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47767_ _47765_/Y _47766_/X VGND VGND VPWR VPWR _78763_/D sky130_fd_sc_hd__nand2_2
+XFILLER_133_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_130_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78587_ _78580_/CLK _78587_/D VGND VGND VPWR VPWR _78587_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_725_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44979_ _44978_/X VGND VGND VPWR VPWR _44980_/A sky130_fd_sc_hd__buf_1
+XFILLER_623_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_75799_ _75803_/A _75792_/B _75799_/C VGND VGND VPWR VPWR _75800_/B sky130_fd_sc_hd__nand3_2
+XFILLER_26_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49506_ _49809_/A VGND VGND VPWR VPWR _49629_/A sky130_fd_sc_hd__buf_1
+XFILLER_662_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_365_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68340_ _68340_/A _68021_/B VGND VGND VPWR VPWR _68340_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_225_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46718_ _46105_/B _46717_/X VGND VGND VPWR VPWR _46718_/X sky130_fd_sc_hd__or2_2
+X_65552_ _65552_/A _65202_/B VGND VGND VPWR VPWR _65552_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_599_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_482_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77538_ _77528_/CLK _77538_/D VGND VGND VPWR VPWR _61254_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_623_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62764_ _59266_/A VGND VGND VPWR VPWR _63236_/B sky130_fd_sc_hd__buf_1
+XFILLER_289_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_168_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_380_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47698_ _58990_/A _47698_/B _58962_/A VGND VGND VPWR VPWR _47699_/B sky130_fd_sc_hd__or3_2
+XFILLER_365_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_447_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_59_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_263_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64503_ _64496_/X _64499_/Y _64503_/C VGND VGND VPWR VPWR _64513_/B sky130_fd_sc_hd__nor3_2
+XFILLER_365_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61715_ _77989_/Q _61714_/X VGND VGND VPWR VPWR _61715_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_146_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49437_ _49428_/A VGND VGND VPWR VPWR _49444_/B sky130_fd_sc_hd__buf_1
+XFILLER_722_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_365_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68271_ _58254_/A _68271_/B VGND VGND VPWR VPWR _68271_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_640_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_623_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46649_ _46633_/X _46649_/B _46641_/X _46648_/X VGND VGND VPWR VPWR _46650_/B sky130_fd_sc_hd__or4_2
+XFILLER_111_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65483_ _64840_/A VGND VGND VPWR VPWR _65483_/X sky130_fd_sc_hd__buf_1
+X_77469_ _77454_/CLK _77469_/D VGND VGND VPWR VPWR _60425_/A sky130_fd_sc_hd__dfxtp_4
+X_62695_ _78203_/Q _62225_/B VGND VGND VPWR VPWR _62697_/B sky130_fd_sc_hd__nor2_2
+XFILLER_72_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_640_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_599_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_52_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67222_ _67056_/A _67222_/B _67221_/Y VGND VGND VPWR VPWR _67227_/B sky130_fd_sc_hd__nor3_2
+XFILLER_248_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79208_ _79207_/CLK _79208_/D VGND VGND VPWR VPWR _44618_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_228_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_206_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_621_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64434_ _66052_/A VGND VGND VPWR VPWR _65034_/A sky130_fd_sc_hd__buf_1
+XFILLER_398_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_59_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61646_ _61646_/A _61646_/B _61646_/C VGND VGND VPWR VPWR _61668_/A sky130_fd_sc_hd__nor3_2
+X_49368_ _49316_/A _49367_/X VGND VGND VPWR VPWR _49370_/A sky130_fd_sc_hd__or2_2
+X_80480_ _80477_/CLK _76282_/Y VGND VGND VPWR VPWR _80480_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_181_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_209_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39121_ _39119_/X _39120_/Y VGND VGND VPWR VPWR _80203_/D sky130_fd_sc_hd__nand2_2
+XFILLER_280_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_55_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_339_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48319_ _47522_/A VGND VGND VPWR VPWR _48320_/A sky130_fd_sc_hd__buf_1
+XFILLER_695_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67153_ _67136_/Y _67153_/B VGND VGND VPWR VPWR _67153_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_410_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79139_ _76714_/CLK _45551_/Y VGND VGND VPWR VPWR _45549_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64365_ _64365_/A _64365_/B _64365_/C VGND VGND VPWR VPWR _64365_/Y sky130_fd_sc_hd__nor3_2
+X_49299_ _49299_/A _49299_/B VGND VGND VPWR VPWR _49299_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_33_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61577_ _61278_/A _61577_/B _61576_/Y VGND VGND VPWR VPWR _61577_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_717_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_339_336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_595_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_343_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66104_ _66104_/A _65763_/B VGND VGND VPWR VPWR _66104_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_296_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51330_ _51358_/A _51333_/B VGND VGND VPWR VPWR _51331_/B sky130_fd_sc_hd__or2_2
+XFILLER_656_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63316_ _63316_/A _63872_/B VGND VGND VPWR VPWR _63319_/B sky130_fd_sc_hd__nor2_2
+X_39052_ _38862_/X VGND VGND VPWR VPWR _39071_/A sky130_fd_sc_hd__buf_1
+XFILLER_200_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_124_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60528_ _60046_/A _60526_/Y _60528_/C VGND VGND VPWR VPWR _60533_/B sky130_fd_sc_hd__nor3_2
+XFILLER_367_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67084_ _68731_/A VGND VGND VPWR VPWR _67572_/B sky130_fd_sc_hd__buf_1
+XFILLER_222_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64296_ _64296_/A VGND VGND VPWR VPWR _64305_/A sky130_fd_sc_hd__buf_1
+XFILLER_500_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_359_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81101_ _81133_/CLK _73757_/Y VGND VGND VPWR VPWR _81101_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_556_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_519_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_509_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_543_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66035_ _65375_/A _65997_/Y _66034_/Y VGND VGND VPWR VPWR _66036_/C sky130_fd_sc_hd__nor3_2
+XFILLER_141_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51261_ _51273_/A _51385_/A VGND VGND VPWR VPWR _51261_/X sky130_fd_sc_hd__or2_2
+XFILLER_198_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63247_ _63554_/A _63247_/B _63247_/C VGND VGND VPWR VPWR _63248_/C sky130_fd_sc_hd__nor3_2
+XFILLER_292_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_105_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_307_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60459_ _60459_/A _60459_/B VGND VGND VPWR VPWR _60460_/B sky130_fd_sc_hd__nor2_2
+XFILLER_524_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_339_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_356_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_109_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53000_ _53028_/A _53018_/B VGND VGND VPWR VPWR _53001_/B sky130_fd_sc_hd__or2_2
+XFILLER_88_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50212_ _50221_/A VGND VGND VPWR VPWR _50220_/B sky130_fd_sc_hd__buf_1
+XFILLER_317_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_513_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81032_ _81004_/CLK _81032_/D VGND VGND VPWR VPWR _68574_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_537_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_256_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51192_ _51164_/A _51192_/B VGND VGND VPWR VPWR _51193_/B sky130_fd_sc_hd__or2_2
+XFILLER_66_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63178_ _78654_/Q _63178_/B VGND VGND VPWR VPWR _63178_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_118_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_317_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_530_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_634_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_712_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50143_ _50111_/X _50149_/B VGND VGND VPWR VPWR _50144_/B sky130_fd_sc_hd__or2_2
+XFILLER_337_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62129_ _61500_/A _62126_/Y _62128_/Y VGND VGND VPWR VPWR _62133_/B sky130_fd_sc_hd__nor3_2
+XFILLER_689_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39954_ _40313_/A _39947_/B VGND VGND VPWR VPWR _39954_/X sky130_fd_sc_hd__or2_2
+XFILLER_362_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_697_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67986_ _68475_/A _67982_/Y _67986_/C VGND VGND VPWR VPWR _67995_/B sky130_fd_sc_hd__nor3_2
+XFILLER_318_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_523_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38905_ _38915_/A _38915_/B _80260_/Q VGND VGND VPWR VPWR _38905_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_678_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69725_ _69725_/A _69725_/B VGND VGND VPWR VPWR _69725_/X sky130_fd_sc_hd__and2_2
+XFILLER_413_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50074_ _50071_/Y _50074_/B VGND VGND VPWR VPWR _50074_/Y sky130_fd_sc_hd__nand2_2
+X_54951_ _59744_/A _54948_/B VGND VGND VPWR VPWR _54953_/A sky130_fd_sc_hd__nand2_2
+XFILLER_333_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66937_ _66757_/X _66933_/Y _66936_/Y VGND VGND VPWR VPWR _66937_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_161_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39885_ _39885_/A _39880_/B _64349_/A VGND VGND VPWR VPWR _39885_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_66_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_114_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_553_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_686_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_476_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53902_ _53900_/Y _53902_/B VGND VGND VPWR VPWR _77160_/D sky130_fd_sc_hd__nand2_2
+XFILLER_276_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_569_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_449_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38836_ _38586_/A _38829_/X VGND VGND VPWR VPWR _38836_/X sky130_fd_sc_hd__or2_2
+XFILLER_492_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57670_ _57419_/A _57670_/B VGND VGND VPWR VPWR _57671_/C sky130_fd_sc_hd__nor2_2
+X_69656_ _72586_/A VGND VGND VPWR VPWR _69656_/Y sky130_fd_sc_hd__inv_8
+XFILLER_216_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_628_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_99_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54882_ _54889_/A VGND VGND VPWR VPWR _54898_/B sky130_fd_sc_hd__buf_1
+X_66868_ _43723_/A _66868_/B VGND VGND VPWR VPWR _66868_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_9_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_276_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_565_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56621_ _56332_/A VGND VGND VPWR VPWR _56622_/B sky130_fd_sc_hd__buf_1
+X_68607_ _58416_/A _69081_/B VGND VGND VPWR VPWR _68608_/C sky130_fd_sc_hd__nor2_2
+XFILLER_483_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_468_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53833_ _53833_/A _53840_/B VGND VGND VPWR VPWR _53835_/A sky130_fd_sc_hd__nand2_2
+XPHY_39309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65819_ _38951_/C _66326_/B VGND VGND VPWR VPWR _65821_/B sky130_fd_sc_hd__nor2_2
+XFILLER_309_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38767_ _38498_/A _38770_/B VGND VGND VPWR VPWR _38767_/X sky130_fd_sc_hd__or2_2
+XFILLER_211_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69587_ _69587_/A _69587_/B VGND VGND VPWR VPWR _69588_/A sky130_fd_sc_hd__or2_2
+XFILLER_263_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_607_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_480_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66799_ _81469_/Q _66798_/X VGND VGND VPWR VPWR _66799_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_641_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_641_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_582_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59340_ _59340_/A VGND VGND VPWR VPWR _59499_/B sky130_fd_sc_hd__buf_1
+XPHY_38608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_366_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56552_ _56359_/A _56552_/B _56552_/C VGND VGND VPWR VPWR _56552_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_405_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68538_ _68198_/A _68536_/Y _68537_/Y VGND VGND VPWR VPWR _68538_/Y sky130_fd_sc_hd__nor3_2
+X_80816_ _80844_/CLK _74936_/Y VGND VGND VPWR VPWR _64454_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_99_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53764_ _53797_/B VGND VGND VPWR VPWR _53783_/B sky130_fd_sc_hd__buf_1
+XFILLER_426_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50976_ _50919_/A _50988_/B VGND VGND VPWR VPWR _50976_/X sky130_fd_sc_hd__or2_2
+XFILLER_99_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38698_ _38695_/X _38697_/Y VGND VGND VPWR VPWR _38698_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_83_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_524_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_680_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_461_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55503_ _55559_/A _55505_/B VGND VGND VPWR VPWR _55504_/C sky130_fd_sc_hd__and2_2
+XFILLER_186_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52715_ _52744_/A _52718_/B VGND VGND VPWR VPWR _52715_/X sky130_fd_sc_hd__or2_2
+XFILLER_271_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_433_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59271_ _59271_/A _59271_/B _59270_/Y VGND VGND VPWR VPWR _59271_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_465_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80747_ _80714_/CLK _80747_/D VGND VGND VPWR VPWR _80747_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_363_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56483_ _56483_/A _58809_/B VGND VGND VPWR VPWR _56483_/Y sky130_fd_sc_hd__nor2_2
+X_68469_ _80007_/Q _68803_/B VGND VGND VPWR VPWR _68469_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_284_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_481_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_164_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53695_ _53667_/A _53701_/B VGND VGND VPWR VPWR _53695_/X sky130_fd_sc_hd__or2_2
+XFILLER_424_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_77_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_524_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70500_ _70468_/X _70480_/B VGND VGND VPWR VPWR _70651_/B sky130_fd_sc_hd__nor2_2
+XFILLER_232_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58222_ _81318_/Q _58222_/B VGND VGND VPWR VPWR _58224_/B sky130_fd_sc_hd__nor2_2
+XFILLER_364_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_459_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55434_ _55426_/A _55301_/X _55434_/C VGND VGND VPWR VPWR _55434_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_246_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40660_ _40658_/X _40659_/Y VGND VGND VPWR VPWR _40660_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_199_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52646_ _52609_/X _52667_/B VGND VGND VPWR VPWR _52647_/B sky130_fd_sc_hd__or2_2
+XFILLER_309_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_262_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_541_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71480_ _71480_/A _71480_/B VGND VGND VPWR VPWR _71480_/X sky130_fd_sc_hd__and2_2
+XFILLER_658_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_129_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80678_ _80641_/CLK _75470_/Y VGND VGND VPWR VPWR _80678_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_26705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_322_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_52_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_26727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_502_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39319_ _39185_/A _39316_/B VGND VGND VPWR VPWR _39319_/X sky130_fd_sc_hd__or2_2
+XPHY_16204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58153_ _58075_/X _58151_/Y _58153_/C VGND VGND VPWR VPWR _58154_/C sky130_fd_sc_hd__nor3_2
+X_70431_ _70427_/X _70431_/B VGND VGND VPWR VPWR _70431_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_181_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_70_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55365_ _55352_/A _45207_/B VGND VGND VPWR VPWR _55365_/Y sky130_fd_sc_hd__nor2_2
+XPHY_16215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52577_ _52278_/X VGND VGND VPWR VPWR _52670_/B sky130_fd_sc_hd__buf_1
+XFILLER_322_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40591_ _40577_/A _40581_/B _57393_/A VGND VGND VPWR VPWR _40592_/B sky130_fd_sc_hd__nand3_2
+XFILLER_574_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_639_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_576_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57104_ _56698_/A VGND VGND VPWR VPWR _57275_/B sky130_fd_sc_hd__buf_1
+XPHY_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_386_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54316_ _54316_/A _54315_/X VGND VGND VPWR VPWR _54316_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42330_ _79491_/Q VGND VGND VPWR VPWR _42331_/B sky130_fd_sc_hd__inv_8
+XFILLER_212_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73150_ _74974_/A _73135_/B VGND VGND VPWR VPWR _73151_/B sky130_fd_sc_hd__or2_2
+XPHY_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_358_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51528_ _77788_/Q _51527_/X VGND VGND VPWR VPWR _51528_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58084_ _67969_/A _57916_/B VGND VGND VPWR VPWR _58085_/C sky130_fd_sc_hd__nor2_2
+XFILLER_157_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70362_ _70667_/C VGND VGND VPWR VPWR _70675_/C sky130_fd_sc_hd__buf_1
+XPHY_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55296_ _55363_/A VGND VGND VPWR VPWR _55296_/X sky130_fd_sc_hd__buf_1
+XFILLER_656_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_250_0_u_core.clock clkbuf_9_251_0_u_core.clock/A VGND VGND VPWR VPWR _79805_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_338_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72101_ _70074_/X _72098_/X _72100_/X VGND VGND VPWR VPWR _72101_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_637_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_546_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57035_ _38951_/C _56709_/B VGND VGND VPWR VPWR _57035_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_156_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42261_ _42259_/Y _46240_/B VGND VGND VPWR VPWR _42262_/B sky130_fd_sc_hd__nor2_2
+X_54247_ _77070_/Q _54243_/B VGND VGND VPWR VPWR _54247_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_123_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73081_ _73079_/Y _73080_/X VGND VGND VPWR VPWR _81270_/D sky130_fd_sc_hd__nand2_2
+XFILLER_303_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51459_ _60881_/A _51465_/B VGND VGND VPWR VPWR _51461_/A sky130_fd_sc_hd__nand2_2
+XPHY_14824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70293_ _70199_/A _70288_/X _70162_/X _70292_/X VGND VGND VPWR VPWR _70293_/X sky130_fd_sc_hd__o22a_4
+XFILLER_527_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_418_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44000_ _69423_/A _44000_/B VGND VGND VPWR VPWR _44067_/B sky130_fd_sc_hd__nor2_2
+XPHY_14846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41212_ _41074_/X _41205_/B VGND VGND VPWR VPWR _41212_/X sky130_fd_sc_hd__or2_2
+XPHY_32214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72032_ _72032_/A _72032_/B VGND VGND VPWR VPWR _72032_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_166_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_472_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_613_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_300_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42192_ _42192_/A VGND VGND VPWR VPWR _42262_/C sky130_fd_sc_hd__buf_1
+XFILLER_574_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54178_ _54088_/A _54178_/B VGND VGND VPWR VPWR _54179_/B sky130_fd_sc_hd__or2_2
+XFILLER_704_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_218_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_689_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_256_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_515_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_153_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41143_ _41266_/A _41147_/B VGND VGND VPWR VPWR _41143_/X sky130_fd_sc_hd__or2_2
+XFILLER_152_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53129_ _53129_/A _53128_/X VGND VGND VPWR VPWR _53129_/Y sky130_fd_sc_hd__nand2_2
+X_76840_ _76842_/CLK _76840_/D VGND VGND VPWR VPWR _55156_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_10_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58986_ _58986_/A VGND VGND VPWR VPWR _63636_/A sky130_fd_sc_hd__buf_1
+XFILLER_49_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_657_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_366_0_u_core.clock clkbuf_8_183_0_u_core.clock/X VGND VGND VPWR VPWR _77203_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_9442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45951_ _45873_/X VGND VGND VPWR VPWR _45958_/B sky130_fd_sc_hd__buf_1
+XFILLER_117_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_156_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41074_ _39174_/A VGND VGND VPWR VPWR _41074_/X sky130_fd_sc_hd__buf_1
+XPHY_30834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57937_ _57937_/A _57933_/Y _57937_/C VGND VGND VPWR VPWR _57937_/Y sky130_fd_sc_hd__nor3_2
+XPHY_31579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76771_ _76768_/CLK _76771_/D VGND VGND VPWR VPWR _76771_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_136_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73983_ _73983_/A _73987_/B _64536_/A VGND VGND VPWR VPWR _73983_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_316_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_121_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_675_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78510_ _78530_/CLK _78510_/D VGND VGND VPWR VPWR _63106_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_583_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_568_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40025_ _40025_/A _40024_/Y VGND VGND VPWR VPWR _79979_/D sky130_fd_sc_hd__nand2_2
+X_44902_ _72977_/A _44546_/A _44893_/Y _44901_/X VGND VGND VPWR VPWR _44903_/C sky130_fd_sc_hd__o22a_4
+XPHY_8741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_59_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75722_ _75962_/A _75725_/B VGND VGND VPWR VPWR _75722_/X sky130_fd_sc_hd__or2_2
+XFILLER_456_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72934_ _72930_/A _72948_/B _57184_/A VGND VGND VPWR VPWR _72935_/B sky130_fd_sc_hd__nand3_2
+XPHY_8752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48670_ _48670_/A _48670_/B VGND VGND VPWR VPWR _48670_/Y sky130_fd_sc_hd__nand2_2
+XPHY_20355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79490_ _78988_/Q _79490_/D _42349_/X VGND VGND VPWR VPWR _42343_/A sky130_fd_sc_hd__dfstp_4
+XFILLER_212_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45882_ _45878_/A _45879_/Y _45882_/C VGND VGND VPWR VPWR _79082_/D sky130_fd_sc_hd__nor3_2
+X_57868_ _57629_/X _57868_/B _57868_/C VGND VGND VPWR VPWR _57872_/B sky130_fd_sc_hd__nor3_2
+XFILLER_62_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_583_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47621_ _47621_/A _47611_/X VGND VGND VPWR VPWR _47621_/X sky130_fd_sc_hd__or2_2
+X_59607_ _59607_/A _59603_/Y _59607_/C VGND VGND VPWR VPWR _59607_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_152_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_483_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78441_ _78102_/CLK _78441_/D VGND VGND VPWR VPWR _63560_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_8796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44833_ _44848_/A _44833_/B VGND VGND VPWR VPWR _44833_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_282_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56819_ _56819_/A _56816_/Y _56819_/C VGND VGND VPWR VPWR _56820_/C sky130_fd_sc_hd__nor3_2
+XFILLER_188_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75653_ _76014_/A _75650_/B VGND VGND VPWR VPWR _75653_/X sky130_fd_sc_hd__or2_2
+XFILLER_627_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72865_ _72863_/X _72864_/Y VGND VGND VPWR VPWR _81320_/D sky130_fd_sc_hd__nand2_2
+XFILLER_95_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57799_ _57649_/A _57798_/Y VGND VGND VPWR VPWR _57799_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_427_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_689_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_671_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_546_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_482_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74604_ _74567_/A VGND VGND VPWR VPWR _74605_/B sky130_fd_sc_hd__buf_1
+XFILLER_21_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47552_ _47644_/A _47548_/X VGND VGND VPWR VPWR _47553_/B sky130_fd_sc_hd__or2_2
+X_59538_ _77215_/Q _59375_/B VGND VGND VPWR VPWR _59539_/C sky130_fd_sc_hd__nor2_2
+XFILLER_75_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71816_ _70842_/A _70939_/C VGND VGND VPWR VPWR _71817_/C sky130_fd_sc_hd__nor2_2
+XFILLER_644_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_366_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78372_ _78102_/CLK _78372_/D VGND VGND VPWR VPWR _78372_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_75_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_507_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44764_ _44720_/A _44764_/B VGND VGND VPWR VPWR _44764_/Y sky130_fd_sc_hd__nor2_2
+XPHY_29320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75584_ _74820_/A VGND VGND VPWR VPWR _76068_/A sky130_fd_sc_hd__buf_1
+XFILLER_56_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_208_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_624_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_75_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41976_ _41976_/A VGND VGND VPWR VPWR _42014_/B sky130_fd_sc_hd__buf_1
+X_72796_ _72673_/A _72790_/B VGND VGND VPWR VPWR _72796_/X sky130_fd_sc_hd__or2_2
+XFILLER_286_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_78_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_624_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46503_ _46506_/C _46619_/A VGND VGND VPWR VPWR _46509_/B sky130_fd_sc_hd__or2_2
+XFILLER_286_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77323_ _77318_/CLK _77323_/D VGND VGND VPWR VPWR _77323_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_249_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43715_ _43706_/Y _43935_/A VGND VGND VPWR VPWR _43928_/A sky130_fd_sc_hd__or2_4
+X_74535_ _80912_/Q VGND VGND VPWR VPWR _74536_/A sky130_fd_sc_hd__inv_8
+XFILLER_280_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_622_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40927_ _40917_/A _40924_/B _58017_/A VGND VGND VPWR VPWR _40927_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71747_ _79313_/Q _71675_/B VGND VGND VPWR VPWR _71747_/X sky130_fd_sc_hd__and2_2
+X_47483_ _78829_/Q _47480_/B VGND VGND VPWR VPWR _47483_/Y sky130_fd_sc_hd__nand2_2
+X_59469_ _64014_/A _59469_/B _59469_/C VGND VGND VPWR VPWR _59493_/A sky130_fd_sc_hd__nor3_2
+XFILLER_581_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44695_ _44607_/C _44695_/B _44694_/X VGND VGND VPWR VPWR _44696_/C sky130_fd_sc_hd__nor3_2
+XFILLER_225_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_424_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_327_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_613_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_600_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61500_ _61500_/A _61500_/B _61500_/C VGND VGND VPWR VPWR _61504_/B sky130_fd_sc_hd__nor3_2
+X_49222_ _63041_/A _49201_/B VGND VGND VPWR VPWR _49222_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_61_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_182_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46434_ _46434_/A _46433_/Y _46434_/C _46408_/Y VGND VGND VPWR VPWR _46461_/B sky130_fd_sc_hd__or4_2
+XPHY_28663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77254_ _77254_/CLK _53553_/Y VGND VGND VPWR VPWR _77254_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_585_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43646_ _43791_/A VGND VGND VPWR VPWR _43646_/X sky130_fd_sc_hd__buf_1
+XFILLER_362_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62480_ _62480_/A _62014_/B VGND VGND VPWR VPWR _62480_/Y sky130_fd_sc_hd__nor2_2
+X_74466_ _74466_/A _74450_/B VGND VGND VPWR VPWR _74467_/B sky130_fd_sc_hd__or2_2
+XFILLER_305_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40858_ _40867_/A _40867_/B _56846_/A VGND VGND VPWR VPWR _40859_/B sky130_fd_sc_hd__nand3_2
+XFILLER_206_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71678_ _71678_/A _71484_/X VGND VGND VPWR VPWR _71678_/Y sky130_fd_sc_hd__nor2_2
+XPHY_18151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_62_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_579_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76205_ _76205_/A VGND VGND VPWR VPWR _76330_/A sky130_fd_sc_hd__buf_1
+XFILLER_323_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61431_ _61278_/A _61431_/B _61430_/Y VGND VGND VPWR VPWR _61431_/Y sky130_fd_sc_hd__nor3_2
+X_49153_ _49211_/A _49156_/B VGND VGND VPWR VPWR _49154_/B sky130_fd_sc_hd__or2_2
+XFILLER_692_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_3092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73417_ _73377_/A VGND VGND VPWR VPWR _73417_/X sky130_fd_sc_hd__buf_1
+XFILLER_403_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46365_ _46359_/C _46365_/B _46365_/C _46464_/A VGND VGND VPWR VPWR _46390_/B sky130_fd_sc_hd__or4_2
+X_70629_ _70629_/A _70061_/A VGND VGND VPWR VPWR _70629_/X sky130_fd_sc_hd__or2_2
+XFILLER_241_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77185_ _77214_/CLK _77185_/D VGND VGND VPWR VPWR _59871_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43577_ _43577_/A _43577_/B VGND VGND VPWR VPWR _43579_/B sky130_fd_sc_hd__nor2_2
+XFILLER_559_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_488_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74397_ _72985_/A _69853_/B VGND VGND VPWR VPWR _74398_/B sky130_fd_sc_hd__or2_2
+XPHY_18195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40789_ _40405_/A _40777_/B VGND VGND VPWR VPWR _40789_/X sky130_fd_sc_hd__or2_2
+XFILLER_245_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_577_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48104_ _48014_/A _48103_/X VGND VGND VPWR VPWR _48104_/X sky130_fd_sc_hd__or2_2
+XPHY_17472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45316_ _45366_/A _45499_/B VGND VGND VPWR VPWR _45316_/Y sky130_fd_sc_hd__nor2_2
+X_76136_ _76136_/A VGND VGND VPWR VPWR _76156_/B sky130_fd_sc_hd__buf_1
+X_64150_ _67885_/A VGND VGND VPWR VPWR _64150_/X sky130_fd_sc_hd__buf_1
+XFILLER_297_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_15_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42528_ _42528_/A _42528_/B VGND VGND VPWR VPWR _42528_/X sky130_fd_sc_hd__and2_2
+XFILLER_376_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61362_ _52704_/A _61206_/B VGND VGND VPWR VPWR _61362_/Y sky130_fd_sc_hd__nor2_2
+X_49084_ _63699_/A _49075_/B VGND VGND VPWR VPWR _49088_/A sky130_fd_sc_hd__nand2_2
+X_73348_ _75025_/A _73348_/B VGND VGND VPWR VPWR _73349_/B sky130_fd_sc_hd__or2_2
+XFILLER_375_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46296_ _79021_/Q _46286_/B VGND VGND VPWR VPWR _46296_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_364_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_129_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_699_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_565_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63101_ _62955_/A _63099_/Y _63100_/Y VGND VGND VPWR VPWR _63101_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_54_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_223_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48035_ _48033_/Y _48034_/X VGND VGND VPWR VPWR _78695_/D sky130_fd_sc_hd__nand2_2
+XFILLER_525_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60313_ _60313_/A _59669_/B VGND VGND VPWR VPWR _60313_/Y sky130_fd_sc_hd__nor2_2
+XPHY_16782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_519_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_458_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45247_ _45235_/Y _45246_/Y _45154_/X VGND VGND VPWR VPWR _45247_/Y sky130_fd_sc_hd__nor3_2
+XPHY_34150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64081_ _69419_/B VGND VGND VPWR VPWR _64082_/B sky130_fd_sc_hd__buf_1
+X_76067_ _76084_/A VGND VGND VPWR VPWR _76080_/B sky130_fd_sc_hd__buf_1
+XFILLER_106_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42459_ _42459_/A VGND VGND VPWR VPWR _42587_/A sky130_fd_sc_hd__buf_1
+X_61293_ _61761_/A _61293_/B _61292_/Y VGND VGND VPWR VPWR _61297_/B sky130_fd_sc_hd__nor3_2
+XFILLER_506_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73279_ _73269_/A VGND VGND VPWR VPWR _73354_/A sky130_fd_sc_hd__buf_1
+XPHY_34161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_239_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_714_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63032_ _62875_/A _63029_/Y _63031_/Y VGND VGND VPWR VPWR _63032_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_67_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75018_ _75018_/A _75017_/X VGND VGND VPWR VPWR _75018_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_357_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60244_ _59437_/A VGND VGND VPWR VPWR _60247_/A sky130_fd_sc_hd__buf_1
+XFILLER_15_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_395_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45178_ _76753_/Q VGND VGND VPWR VPWR _45178_/Y sky130_fd_sc_hd__inv_8
+XPHY_33460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_278_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_63_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_651_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_1549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_534_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_293_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_631_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_561_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44129_ _44218_/A _44128_/Y VGND VGND VPWR VPWR _44129_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_217_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67840_ _67186_/A _67840_/B _67840_/C VGND VGND VPWR VPWR _67846_/B sky130_fd_sc_hd__nor3_2
+XFILLER_176_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79826_ _79824_/CLK _79826_/D VGND VGND VPWR VPWR _56584_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_139_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60175_ _60334_/A _60173_/Y _60175_/C VGND VGND VPWR VPWR _60175_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_533_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49986_ _78194_/Q _49986_/B VGND VGND VPWR VPWR _49986_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_505_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_664_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48937_ _48999_/A _48937_/B VGND VGND VPWR VPWR _48938_/B sky130_fd_sc_hd__or2_2
+X_67771_ _67600_/A _67770_/Y VGND VGND VPWR VPWR _67771_/Y sky130_fd_sc_hd__nor2_2
+XPHY_22280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79757_ _79757_/CLK _40890_/Y VGND VGND VPWR VPWR _58774_/A sky130_fd_sc_hd__dfxtp_4
+X_64983_ _64191_/A _64983_/B _64983_/C VGND VGND VPWR VPWR _64990_/B sky130_fd_sc_hd__nor3_2
+XFILLER_226_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76969_ _76963_/CLK _76969_/D VGND VGND VPWR VPWR _76969_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_312_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_301_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69510_ _72835_/C _64328_/B VGND VGND VPWR VPWR _69510_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_312_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_301_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_48_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66722_ _66722_/A _67055_/B VGND VGND VPWR VPWR _66723_/C sky130_fd_sc_hd__nor2_2
+XFILLER_285_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78708_ _78708_/CLK _47982_/Y VGND VGND VPWR VPWR _47978_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39670_ _39171_/A _39677_/B VGND VGND VPWR VPWR _39670_/X sky130_fd_sc_hd__or2_2
+XFILLER_668_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63934_ _63934_/A _63934_/B VGND VGND VPWR VPWR _76756_/D sky130_fd_sc_hd__nor2_2
+XFILLER_312_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48868_ _48868_/A _48867_/X VGND VGND VPWR VPWR _48868_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_69_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79688_ _79626_/CLK _41182_/Y VGND VGND VPWR VPWR _58410_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_26_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_112_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_230_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_135_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38621_ _38621_/A _38740_/B VGND VGND VPWR VPWR _38634_/A sky130_fd_sc_hd__or2_2
+XFILLER_80_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69441_ _80558_/Q _69441_/B VGND VGND VPWR VPWR _69443_/B sky130_fd_sc_hd__nor2_2
+XFILLER_474_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47819_ _47819_/A VGND VGND VPWR VPWR _47886_/B sky130_fd_sc_hd__buf_1
+XFILLER_473_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66653_ _66167_/A _66653_/B _66653_/C VGND VGND VPWR VPWR _66660_/B sky130_fd_sc_hd__nor3_2
+XFILLER_289_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78639_ _78638_/CLK _78639_/D VGND VGND VPWR VPWR _63331_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63865_ _59092_/X _63865_/B _63864_/Y VGND VGND VPWR VPWR _63865_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_84_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48799_ _48042_/A _48576_/X VGND VGND VPWR VPWR _54553_/A sky130_fd_sc_hd__or2_2
+XFILLER_466_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_427_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_3093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_238_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65604_ _66258_/A _65604_/B _65604_/C VGND VGND VPWR VPWR _65605_/C sky130_fd_sc_hd__nor3_2
+XFILLER_230_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50830_ _50830_/A _50826_/X VGND VGND VPWR VPWR _50830_/X sky130_fd_sc_hd__or2_2
+X_38552_ _76159_/A VGND VGND VPWR VPWR _38554_/A sky130_fd_sc_hd__buf_1
+X_62816_ _62816_/A _63440_/B VGND VGND VPWR VPWR _62818_/B sky130_fd_sc_hd__nor2_2
+XFILLER_445_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69372_ _69512_/A _69368_/Y _69372_/C VGND VGND VPWR VPWR _69372_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_709_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66584_ _68230_/A VGND VGND VPWR VPWR _67256_/A sky130_fd_sc_hd__buf_1
+XFILLER_285_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_455_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_284_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63796_ _78826_/Q _63226_/B VGND VGND VPWR VPWR _63796_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_601_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_462_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_341_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80601_ _80597_/CLK _80601_/D VGND VGND VPWR VPWR _75788_/C sky130_fd_sc_hd__dfxtp_4
+X_68323_ _80166_/Q _68004_/B VGND VGND VPWR VPWR _68324_/C sky130_fd_sc_hd__nor2_2
+XFILLER_226_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_267_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65535_ _56852_/A _65854_/B VGND VGND VPWR VPWR _65535_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_423_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_165_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38483_ _38507_/A VGND VGND VPWR VPWR _38503_/B sky130_fd_sc_hd__buf_1
+X_50761_ _62770_/A _50765_/B VGND VGND VPWR VPWR _50764_/A sky130_fd_sc_hd__nand2_2
+X_62747_ _62591_/X _62744_/Y _62747_/C VGND VGND VPWR VPWR _62748_/C sky130_fd_sc_hd__nor3_2
+XFILLER_470_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_525_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_507_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_414_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_408_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52500_ _52556_/A _52518_/B VGND VGND VPWR VPWR _52500_/X sky130_fd_sc_hd__or2_2
+XFILLER_111_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80532_ _80535_/CLK _80532_/D VGND VGND VPWR VPWR _65237_/A sky130_fd_sc_hd__dfxtp_4
+X_68254_ _68254_/A _68245_/Y _68253_/Y VGND VGND VPWR VPWR _68254_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_603_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_588_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53480_ _53480_/A _53479_/X VGND VGND VPWR VPWR _53480_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_33_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65466_ _64218_/A VGND VGND VPWR VPWR _65488_/A sky130_fd_sc_hd__buf_1
+XFILLER_558_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50692_ _61710_/A _50692_/B VGND VGND VPWR VPWR _50692_/Y sky130_fd_sc_hd__nand2_2
+X_62678_ _78059_/Q _62055_/B VGND VGND VPWR VPWR _62678_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_368_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_658_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67205_ _80672_/Q _67037_/B VGND VGND VPWR VPWR _67206_/C sky130_fd_sc_hd__nor2_2
+XFILLER_34_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_206_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52431_ _77548_/Q _52430_/X VGND VGND VPWR VPWR _52431_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_529_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64417_ _64851_/A _64417_/B _64417_/C VGND VGND VPWR VPWR _64418_/C sky130_fd_sc_hd__nor3_2
+XFILLER_52_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61629_ _60058_/A VGND VGND VPWR VPWR _61636_/A sky130_fd_sc_hd__buf_1
+XFILLER_72_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80463_ _80495_/CLK _80463_/D VGND VGND VPWR VPWR _76341_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_107_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68185_ _67701_/A _68185_/B _68185_/C VGND VGND VPWR VPWR _68189_/B sky130_fd_sc_hd__nor3_2
+XFILLER_519_3028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_556_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65397_ _75160_/C _65042_/B VGND VGND VPWR VPWR _65399_/B sky130_fd_sc_hd__nor2_2
+XFILLER_421_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39104_ _39103_/X VGND VGND VPWR VPWR _39105_/B sky130_fd_sc_hd__buf_1
+XFILLER_224_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_244_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55150_ _70319_/A _55149_/X VGND VGND VPWR VPWR _55150_/Y sky130_fd_sc_hd__nor2_2
+X_67136_ _67790_/A _67126_/Y _67135_/Y VGND VGND VPWR VPWR _67136_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_410_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_55_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52362_ _52362_/A _52361_/X VGND VGND VPWR VPWR _77568_/D sky130_fd_sc_hd__nand2_2
+XFILLER_476_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64348_ _64348_/A _64186_/B VGND VGND VPWR VPWR _64350_/B sky130_fd_sc_hd__nor2_2
+XFILLER_584_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80394_ _80393_/CLK _80394_/D VGND VGND VPWR VPWR _80394_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54101_ _53347_/A VGND VGND VPWR VPWR _54102_/A sky130_fd_sc_hd__buf_1
+XFILLER_383_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39035_ _39045_/A _39038_/B _80225_/Q VGND VGND VPWR VPWR _39036_/B sky130_fd_sc_hd__nand3_2
+X_51313_ _51336_/B VGND VGND VPWR VPWR _51335_/B sky130_fd_sc_hd__buf_1
+XFILLER_528_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55081_ _55081_/A _55081_/B VGND VGND VPWR VPWR _76849_/D sky130_fd_sc_hd__nand2_2
+XFILLER_159_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67067_ _80447_/Q _67391_/B VGND VGND VPWR VPWR _67067_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_308_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_21_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64279_ _64306_/A VGND VGND VPWR VPWR _66654_/A sky130_fd_sc_hd__buf_1
+X_52293_ _52293_/A _52281_/X VGND VGND VPWR VPWR _52293_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_276_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_194_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_618_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_434_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_374_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_525_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_135_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_1_1_0_u_core.clock clkbuf_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_1_1_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_454_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66018_ _66674_/A _66016_/Y _66018_/C VGND VGND VPWR VPWR _66022_/B sky130_fd_sc_hd__nor3_2
+X_54032_ _53883_/A VGND VGND VPWR VPWR _54033_/B sky130_fd_sc_hd__buf_1
+XFILLER_105_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_267_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_434_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_89_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51244_ _46250_/A _49363_/X _46251_/A _49364_/X VGND VGND VPWR VPWR _51410_/A sky130_fd_sc_hd__o22a_4
+XPHY_13419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_292_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_68_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_415_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_101_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_200_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_11_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81015_ _81013_/CLK _74114_/Y VGND VGND VPWR VPWR _81015_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_172_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58840_ _56290_/A _58838_/Y _58839_/Y VGND VGND VPWR VPWR _58840_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_333_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_84_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_107_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51175_ _77875_/Q _51170_/X VGND VGND VPWR VPWR _51175_/Y sky130_fd_sc_hd__nand2_2
+XPHY_12729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_701_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_686_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_64_0_u_core.clock clkbuf_7_65_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_64_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_350_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_157_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50126_ _52037_/A _50061_/X VGND VGND VPWR VPWR _50152_/B sky130_fd_sc_hd__or2_2
+XFILLER_714_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_235_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39937_ _39941_/A _39945_/B _57870_/A VGND VGND VPWR VPWR _39938_/B sky130_fd_sc_hd__nand3_2
+X_58771_ _58771_/A _56333_/B VGND VGND VPWR VPWR _58771_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_255_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_689_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67969_ _67969_/A _67304_/X VGND VGND VPWR VPWR _67971_/B sky130_fd_sc_hd__nor2_2
+XPHY_8015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55983_ _56098_/A _44987_/B VGND VGND VPWR VPWR _55983_/X sky130_fd_sc_hd__or2_2
+XFILLER_565_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_274_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_217_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57722_ _57722_/A _57795_/B VGND VGND VPWR VPWR _57723_/C sky130_fd_sc_hd__nor2_2
+XFILLER_213_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69708_ _69723_/A _64083_/A VGND VGND VPWR VPWR _69709_/C sky130_fd_sc_hd__nor2_2
+XFILLER_235_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_700_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_432_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50057_ _50055_/Y _50057_/B VGND VGND VPWR VPWR _50057_/Y sky130_fd_sc_hd__nand2_2
+X_54934_ _54932_/Y _54934_/B VGND VGND VPWR VPWR _76885_/D sky130_fd_sc_hd__nand2_2
+XFILLER_467_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_385_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39868_ _39864_/A _39857_/B _39868_/C VGND VGND VPWR VPWR _39868_/Y sky130_fd_sc_hd__nand3_2
+XPHY_8059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70980_ _70979_/X VGND VGND VPWR VPWR _71310_/B sky130_fd_sc_hd__inv_8
+XFILLER_311_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_723_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_288_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_248_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_723_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_315_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_385_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38819_ _38783_/A VGND VGND VPWR VPWR _38820_/B sky130_fd_sc_hd__buf_1
+XFILLER_311_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57653_ _57731_/A _57653_/B _57653_/C VGND VGND VPWR VPWR _57659_/B sky130_fd_sc_hd__nor3_2
+XFILLER_465_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69639_ _69639_/A _69639_/B _69637_/X _69638_/X VGND VGND VPWR VPWR _69640_/B sky130_fd_sc_hd__nor4_2
+XFILLER_664_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54865_ _54949_/A _54874_/B VGND VGND VPWR VPWR _54866_/B sky130_fd_sc_hd__or2_2
+XFILLER_680_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39799_ _39165_/A VGND VGND VPWR VPWR _39799_/X sky130_fd_sc_hd__buf_1
+XPHY_7369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_3024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_276_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_25_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56604_ _56688_/A _56604_/B _56604_/C VGND VGND VPWR VPWR _56604_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53816_ _53844_/A _53819_/B VGND VGND VPWR VPWR _53816_/X sky130_fd_sc_hd__or2_2
+XFILLER_426_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41830_ _45396_/A VGND VGND VPWR VPWR _46647_/A sky130_fd_sc_hd__inv_8
+XPHY_39139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72650_ _72656_/A _72664_/B _67109_/A VGND VGND VPWR VPWR _72650_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_328_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_546_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_461_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57584_ _66970_/A _57584_/B VGND VGND VPWR VPWR _57585_/C sky130_fd_sc_hd__nor2_2
+XFILLER_363_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_681_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54796_ _54796_/A _54803_/B VGND VGND VPWR VPWR _54796_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_290_124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_543_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71601_ _70917_/B _71600_/X VGND VGND VPWR VPWR _71603_/B sky130_fd_sc_hd__and2_2
+XFILLER_480_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59323_ _58921_/X VGND VGND VPWR VPWR _59807_/A sky130_fd_sc_hd__buf_1
+XFILLER_96_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_366_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56535_ _56535_/A _56535_/B _56534_/Y VGND VGND VPWR VPWR _56536_/C sky130_fd_sc_hd__nor3_2
+XFILLER_582_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_543_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_502_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41761_ _45255_/A VGND VGND VPWR VPWR _42303_/A sky130_fd_sc_hd__inv_8
+XFILLER_723_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53747_ _53744_/Y _53747_/B VGND VGND VPWR VPWR _77202_/D sky130_fd_sc_hd__nand2_2
+XFILLER_272_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72581_ _72584_/A _72584_/B _64593_/A VGND VGND VPWR VPWR _72581_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_324_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_242_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50959_ _50988_/A _50956_/B VGND VGND VPWR VPWR _50959_/X sky130_fd_sc_hd__or2_2
+XFILLER_721_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_623_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_309_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_521_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_83_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_183_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_701_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43500_ _55307_/A _43492_/Y _43499_/Y VGND VGND VPWR VPWR _43500_/X sky130_fd_sc_hd__or3_2
+XFILLER_205_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74320_ _74320_/A _74320_/B _80964_/Q VGND VGND VPWR VPWR _74320_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_344_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40712_ _40710_/X _40711_/Y VGND VGND VPWR VPWR _79804_/D sky130_fd_sc_hd__nand2_2
+XPHY_27214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59254_ _58974_/X VGND VGND VPWR VPWR _59765_/A sky130_fd_sc_hd__buf_1
+X_71532_ _71301_/X _71518_/X _71309_/X _42636_/B VGND VGND VPWR VPWR _71533_/A sky130_fd_sc_hd__o22a_4
+XFILLER_704_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56466_ _56466_/A _56333_/B VGND VGND VPWR VPWR _56468_/B sky130_fd_sc_hd__nor2_2
+X_44480_ _44505_/A _44480_/B _44479_/X VGND VGND VPWR VPWR _79227_/D sky130_fd_sc_hd__nor3_2
+XPHY_27225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_598_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53678_ _47887_/B _53650_/B VGND VGND VPWR VPWR _53679_/A sky130_fd_sc_hd__or2_2
+X_41692_ _41692_/A VGND VGND VPWR VPWR _41693_/A sky130_fd_sc_hd__buf_1
+XPHY_37759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_578_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_188_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_610_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_164_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_156_0_u_core.clock clkbuf_8_78_0_u_core.clock/X VGND VGND VPWR VPWR _77814_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_305_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_348_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_51_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58205_ _58134_/A _58205_/B _58204_/Y VGND VGND VPWR VPWR _58205_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_404_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55417_ _55373_/A _55416_/Y VGND VGND VPWR VPWR _55417_/Y sky130_fd_sc_hd__nor2_2
+X_43431_ _43430_/X _43423_/X _66780_/A VGND VGND VPWR VPWR _43432_/B sky130_fd_sc_hd__nand3_2
+XFILLER_246_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74251_ _74243_/X _74235_/X _65609_/A VGND VGND VPWR VPWR _74252_/B sky130_fd_sc_hd__nand3_2
+XFILLER_38_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40643_ _40636_/A _40646_/B _79822_/Q VGND VGND VPWR VPWR _40644_/B sky130_fd_sc_hd__nand3_2
+XPHY_321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_231_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52629_ _52626_/Y _52628_/X VGND VGND VPWR VPWR _77496_/D sky130_fd_sc_hd__nand2_2
+X_59185_ _59100_/A VGND VGND VPWR VPWR _63151_/A sky130_fd_sc_hd__buf_1
+XPHY_27269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71463_ _70912_/D _71310_/B _70997_/B VGND VGND VPWR VPWR _71464_/B sky130_fd_sc_hd__o21a_4
+XFILLER_658_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56397_ _58049_/A VGND VGND VPWR VPWR _56397_/X sky130_fd_sc_hd__buf_1
+XPHY_26535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_44_0_u_core.clock clkbuf_7_22_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_89_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_16012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_224_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73202_ _73202_/A _73202_/B VGND VGND VPWR VPWR _81244_/D sky130_fd_sc_hd__nand2_2
+XFILLER_223_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46150_ _43133_/A _46147_/B _46146_/Y _46149_/Y VGND VGND VPWR VPWR _46185_/A sky130_fd_sc_hd__a211o_4
+XFILLER_103_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58136_ _56527_/A VGND VGND VPWR VPWR _58137_/B sky130_fd_sc_hd__buf_1
+XFILLER_279_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70414_ _69971_/X _70413_/Y VGND VGND VPWR VPWR _70414_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_185_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43362_ _38344_/A _40880_/A VGND VGND VPWR VPWR _43362_/X sky130_fd_sc_hd__or2_2
+XFILLER_392_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55348_ _55296_/X _55348_/B VGND VGND VPWR VPWR _55348_/Y sky130_fd_sc_hd__nor2_2
+X_74182_ _74169_/A _74185_/B _81001_/Q VGND VGND VPWR VPWR _74182_/Y sky130_fd_sc_hd__nand3_2
+XPHY_16045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40574_ _40572_/X _40573_/Y VGND VGND VPWR VPWR _40574_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71394_ _71394_/A _71393_/X VGND VGND VPWR VPWR _71395_/B sky130_fd_sc_hd__nor2_2
+XFILLER_639_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_515_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_420_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_675_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_439_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45101_ _45383_/A VGND VGND VPWR VPWR _45368_/A sky130_fd_sc_hd__buf_1
+XPHY_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42313_ _79008_/Q VGND VGND VPWR VPWR _42313_/Y sky130_fd_sc_hd__inv_8
+XFILLER_576_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73133_ _73123_/A _73112_/B _68913_/A VGND VGND VPWR VPWR _73136_/A sky130_fd_sc_hd__nand3_2
+XPHY_25867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58067_ _57808_/X _58067_/B _58067_/C VGND VGND VPWR VPWR _58068_/B sky130_fd_sc_hd__nor3_2
+XPHY_16089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46081_ _46080_/Y io_in[17] VGND VGND VPWR VPWR _46082_/B sky130_fd_sc_hd__nor2_2
+X_70345_ _70345_/A VGND VGND VPWR VPWR _70353_/B sky130_fd_sc_hd__buf_1
+XFILLER_200_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_385_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_459_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43293_ _43293_/A _43292_/Y VGND VGND VPWR VPWR _43293_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_671_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78990_ _78988_/Q _46619_/Y _46615_/X VGND VGND VPWR VPWR _44941_/A sky130_fd_sc_hd__dfstp_4
+X_55279_ _55307_/A _55279_/B VGND VGND VPWR VPWR _55279_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_608_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_172_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_32_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45032_ _45004_/B VGND VGND VPWR VPWR _45032_/X sky130_fd_sc_hd__buf_1
+XFILLER_652_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57018_ _57180_/A _57013_/Y _57018_/C VGND VGND VPWR VPWR _57019_/B sky130_fd_sc_hd__nor3_2
+XFILLER_437_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_177_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42244_ _42244_/A _42244_/B _42262_/C VGND VGND VPWR VPWR _42245_/C sky130_fd_sc_hd__nor3_2
+XFILLER_123_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_327_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73064_ _73082_/A VGND VGND VPWR VPWR _73079_/B sky130_fd_sc_hd__buf_1
+X_77941_ _77950_/CLK _77941_/D VGND VGND VPWR VPWR _61680_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_433_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70276_ _70265_/X _70275_/Y VGND VGND VPWR VPWR _70869_/A sky130_fd_sc_hd__nor2_2
+XFILLER_590_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_390_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_49_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_8 io_in[27] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_13931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_193_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72015_ _72013_/X _72015_/B VGND VGND VPWR VPWR _81489_/D sky130_fd_sc_hd__nand2_2
+XPHY_13942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49840_ _49837_/Y _49839_/X VGND VGND VPWR VPWR _78234_/D sky130_fd_sc_hd__nand2_2
+XFILLER_488_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_136_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42175_ _42178_/A _42175_/B VGND VGND VPWR VPWR _42175_/Y sky130_fd_sc_hd__nor2_2
+XPHY_13953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77872_ _77872_/CLK _51187_/Y VGND VGND VPWR VPWR _62200_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_589_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79611_ _79610_/CLK _79611_/D VGND VGND VPWR VPWR _57366_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_488_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41126_ _41253_/A _41131_/B VGND VGND VPWR VPWR _41126_/X sky130_fd_sc_hd__or2_2
+XPHY_32099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76823_ _76815_/CLK _76823_/D VGND VGND VPWR VPWR _41711_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_80_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49771_ _49714_/A _49789_/B VGND VGND VPWR VPWR _49771_/X sky130_fd_sc_hd__or2_2
+XPHY_31365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_10_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46983_ _70494_/X _46983_/B VGND VGND VPWR VPWR _46984_/C sky130_fd_sc_hd__and2_2
+XFILLER_253_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58969_ _58968_/X VGND VGND VPWR VPWR _58978_/A sky130_fd_sc_hd__buf_1
+XFILLER_502_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_513_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48722_ _48722_/A _48721_/X VGND VGND VPWR VPWR _48722_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79542_ _79540_/CLK _41993_/Y VGND VGND VPWR VPWR _46105_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_214_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45934_ _45934_/A _45943_/B VGND VGND VPWR VPWR _45936_/B sky130_fd_sc_hd__nor2_2
+X_41057_ _41056_/X _41044_/B VGND VGND VPWR VPWR _41057_/X sky130_fd_sc_hd__or2_2
+XPHY_30664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76754_ _78849_/CLK _76754_/D VGND VGND VPWR VPWR _45147_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61980_ _58881_/A VGND VGND VPWR VPWR _61980_/X sky130_fd_sc_hd__buf_1
+XFILLER_251_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73966_ _73892_/X VGND VGND VPWR VPWR _73980_/B sky130_fd_sc_hd__buf_1
+XFILLER_23_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_617_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40008_ _40030_/A VGND VGND VPWR VPWR _40121_/B sky130_fd_sc_hd__buf_1
+XFILLER_295_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75705_ _38859_/A _75705_/B VGND VGND VPWR VPWR _75706_/A sky130_fd_sc_hd__or2_2
+XFILLER_456_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60931_ _77360_/Q _61086_/B VGND VGND VPWR VPWR _60932_/C sky130_fd_sc_hd__nor2_2
+XFILLER_255_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48653_ _48711_/A _48650_/B VGND VGND VPWR VPWR _48653_/X sky130_fd_sc_hd__or2_2
+XPHY_8582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72917_ _74755_/A VGND VGND VPWR VPWR _73941_/A sky130_fd_sc_hd__buf_1
+XFILLER_485_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79473_ _79472_/CLK _42606_/Y VGND VGND VPWR VPWR _79473_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_212_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_329_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45865_ _45749_/B _45865_/B _45847_/C VGND VGND VPWR VPWR _45866_/C sky130_fd_sc_hd__nor3_2
+Xclkbuf_9_24_0_u_core.clock clkbuf_9_25_0_u_core.clock/A VGND VGND VPWR VPWR _78403_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_583_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76685_ _76689_/CLK _76685_/D VGND VGND VPWR VPWR _70814_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_8593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73897_ _73877_/A VGND VGND VPWR VPWR _73898_/A sky130_fd_sc_hd__buf_1
+XFILLER_368_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_255_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47604_ _47627_/B VGND VGND VPWR VPWR _47608_/B sky130_fd_sc_hd__buf_1
+X_78424_ _77915_/CLK _78424_/D VGND VGND VPWR VPWR _49093_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_62_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_583_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_470_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44816_ _44416_/A VGND VGND VPWR VPWR _44816_/X sky130_fd_sc_hd__buf_1
+XFILLER_97_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75636_ _75640_/A _75644_/B _80642_/Q VGND VGND VPWR VPWR _75636_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_466_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63650_ _63650_/A _63364_/X VGND VGND VPWR VPWR _63650_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_706_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60862_ _60862_/A _60388_/B VGND VGND VPWR VPWR _60863_/C sky130_fd_sc_hd__nor2_2
+X_48584_ _48581_/Y _48584_/B VGND VGND VPWR VPWR _48584_/Y sky130_fd_sc_hd__nand2_2
+XPHY_7892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72848_ _73643_/A _72857_/B VGND VGND VPWR VPWR _72850_/A sky130_fd_sc_hd__or2_2
+XFILLER_95_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45796_ _45796_/A _45810_/B VGND VGND VPWR VPWR _45798_/B sky130_fd_sc_hd__nor2_2
+XPHY_39640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_184_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_624_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_21_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62601_ _62446_/A _62601_/B _62601_/C VGND VGND VPWR VPWR _62602_/C sky130_fd_sc_hd__nor3_2
+XPHY_39662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47535_ _47531_/Y _47534_/X VGND VGND VPWR VPWR _47535_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_349_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78355_ _78849_/CLK _78355_/D VGND VGND VPWR VPWR _49377_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_503_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44747_ _44416_/A VGND VGND VPWR VPWR _44748_/B sky130_fd_sc_hd__buf_1
+XFILLER_698_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63581_ _78529_/Q _63581_/B VGND VGND VPWR VPWR _63581_/Y sky130_fd_sc_hd__nor2_2
+X_75567_ _76205_/A VGND VGND VPWR VPWR _75934_/A sky130_fd_sc_hd__buf_1
+XFILLER_345_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_63_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41959_ _41940_/Y _41957_/Y _41930_/X _41958_/X VGND VGND VPWR VPWR _41959_/X sky130_fd_sc_hd__o22a_4
+XPHY_39684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_281_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60793_ _60793_/A _60793_/B VGND VGND VPWR VPWR _60793_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_228_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72779_ _72799_/A VGND VGND VPWR VPWR _72790_/B sky130_fd_sc_hd__buf_1
+XFILLER_599_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_250_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_147_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65320_ _65320_/A _64804_/B VGND VGND VPWR VPWR _65320_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_612_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77306_ _76882_/CLK _77306_/D VGND VGND VPWR VPWR _77306_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_222_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_405_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62532_ _62532_/A _62532_/B VGND VGND VPWR VPWR _62532_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_411_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_369_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74518_ _74127_/A _74526_/B VGND VGND VPWR VPWR _74521_/A sky130_fd_sc_hd__or2_2
+XFILLER_679_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47466_ _47464_/Y _47465_/X VGND VGND VPWR VPWR _78835_/D sky130_fd_sc_hd__nand2_2
+X_78286_ _78287_/CLK _78286_/D VGND VGND VPWR VPWR _61949_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_38983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44678_ _44651_/Y _44794_/C VGND VGND VPWR VPWR _44786_/B sky130_fd_sc_hd__or2_2
+X_75498_ _75503_/A _75498_/B _80672_/Q VGND VGND VPWR VPWR _75498_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_557_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_91_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49205_ _49205_/A _49204_/X VGND VGND VPWR VPWR _49205_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_91_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46417_ _46417_/A VGND VGND VPWR VPWR _46418_/B sky130_fd_sc_hd__inv_8
+X_65251_ _65251_/A VGND VGND VPWR VPWR _65910_/B sky130_fd_sc_hd__buf_1
+X_77237_ _77241_/CLK _77237_/D VGND VGND VPWR VPWR _53611_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_397_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_264_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_600_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43629_ _71776_/A _43617_/X VGND VGND VPWR VPWR _43631_/B sky130_fd_sc_hd__nor2_2
+XFILLER_147_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62463_ _61993_/X _62463_/B _62462_/Y VGND VGND VPWR VPWR _62463_/Y sky130_fd_sc_hd__nor3_2
+X_74449_ _74540_/B VGND VGND VPWR VPWR _74450_/B sky130_fd_sc_hd__buf_1
+X_47397_ _47397_/A _47396_/X VGND VGND VPWR VPWR _78851_/D sky130_fd_sc_hd__nand2_2
+XFILLER_585_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_206_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_477_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64202_ _64168_/A _64200_/Y _64201_/Y VGND VGND VPWR VPWR _64202_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_147_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_457_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49136_ _49107_/A _49110_/X VGND VGND VPWR VPWR _49136_/X sky130_fd_sc_hd__or2_2
+XFILLER_91_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61414_ _77547_/Q _60943_/B VGND VGND VPWR VPWR _61415_/C sky130_fd_sc_hd__nor2_2
+XFILLER_245_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46348_ _46354_/A _46465_/A _46348_/C VGND VGND VPWR VPWR _46466_/A sky130_fd_sc_hd__nor3_2
+XFILLER_501_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65182_ _65182_/A _65182_/B VGND VGND VPWR VPWR _65184_/B sky130_fd_sc_hd__nor2_2
+XFILLER_378_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_566_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77168_ _77171_/CLK _77168_/D VGND VGND VPWR VPWR _59639_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_31_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62394_ _62243_/A _62394_/B _62393_/Y VGND VGND VPWR VPWR _62394_/Y sky130_fd_sc_hd__nor3_2
+XPHY_17280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_585_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64133_ _75420_/C _69441_/B VGND VGND VPWR VPWR _64137_/B sky130_fd_sc_hd__nor2_2
+XFILLER_15_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76119_ _76117_/X _76118_/Y VGND VGND VPWR VPWR _76119_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_30_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_336_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61345_ _51377_/A _60876_/B VGND VGND VPWR VPWR _61348_/B sky130_fd_sc_hd__nor2_2
+XFILLER_653_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49067_ _49065_/Y _49066_/X VGND VGND VPWR VPWR _49067_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_536_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46279_ _46259_/A _46279_/B _46278_/Y VGND VGND VPWR VPWR _79025_/D sky130_fd_sc_hd__nor3_2
+X_69990_ _70559_/A _70589_/A _70504_/A _70537_/A VGND VGND VPWR VPWR _69990_/X sky130_fd_sc_hd__or4_2
+X_77099_ _77093_/CLK _77099_/D VGND VGND VPWR VPWR _54139_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_51_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48018_ _48018_/A _48039_/B VGND VGND VPWR VPWR _48018_/X sky130_fd_sc_hd__or2_2
+XFILLER_258_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_301_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68941_ _68777_/X _68941_/B _68940_/Y VGND VGND VPWR VPWR _68942_/C sky130_fd_sc_hd__nor3_2
+X_64064_ _59088_/A _64064_/B _64064_/C VGND VGND VPWR VPWR _64065_/B sky130_fd_sc_hd__nor3_2
+XFILLER_293_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_119_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61276_ _77562_/Q _61276_/B VGND VGND VPWR VPWR _61277_/C sky130_fd_sc_hd__nor2_2
+XFILLER_631_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_50_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_451_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_85_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63015_ _63015_/A _62387_/B VGND VGND VPWR VPWR _63015_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_67_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60227_ _60227_/A _60227_/B _60226_/Y VGND VGND VPWR VPWR _60228_/C sky130_fd_sc_hd__nor3_2
+XFILLER_143_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_416_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68872_ _67223_/A VGND VGND VPWR VPWR _68873_/B sky130_fd_sc_hd__buf_1
+XFILLER_710_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_3109 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_588_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_8_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67823_ _67823_/A VGND VGND VPWR VPWR _67823_/X sky130_fd_sc_hd__buf_1
+XFILLER_333_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79809_ _79809_/CLK _40694_/Y VGND VGND VPWR VPWR _67485_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_28_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_131_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60158_ _60317_/A _60158_/B _60157_/Y VGND VGND VPWR VPWR _60178_/A sky130_fd_sc_hd__nor3_2
+XFILLER_271_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_410_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49969_ _49969_/A _49973_/B VGND VGND VPWR VPWR _49972_/A sky130_fd_sc_hd__nand2_2
+XFILLER_277_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_531_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_193_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39722_ _39719_/X _39721_/Y VGND VGND VPWR VPWR _39722_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_419_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_119_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_694_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67754_ _67915_/A _67754_/B _67754_/C VGND VGND VPWR VPWR _67770_/A sky130_fd_sc_hd__nor3_2
+XFILLER_63_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52980_ _52977_/Y _52980_/B VGND VGND VPWR VPWR _77402_/D sky130_fd_sc_hd__nand2_2
+X_64966_ _81298_/Q _64800_/B VGND VGND VPWR VPWR _64967_/C sky130_fd_sc_hd__nor2_2
+X_60089_ _59260_/A VGND VGND VPWR VPWR _60096_/A sky130_fd_sc_hd__buf_1
+XFILLER_44_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_531_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_654_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_83_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_170_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_217_1497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_410_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66705_ _66705_/A _66540_/B VGND VGND VPWR VPWR _66705_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_566_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_85_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51931_ _51931_/A _51930_/X VGND VGND VPWR VPWR _51931_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_664_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39653_ _39663_/A _39653_/B _58133_/A VGND VGND VPWR VPWR _39654_/B sky130_fd_sc_hd__nand3_2
+X_63917_ _78859_/Q _63521_/X VGND VGND VPWR VPWR _63918_/C sky130_fd_sc_hd__nor2_2
+XFILLER_547_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67685_ _64079_/X VGND VGND VPWR VPWR _68021_/B sky130_fd_sc_hd__buf_1
+XFILLER_44_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64897_ _64897_/A _64897_/B VGND VGND VPWR VPWR _64898_/B sky130_fd_sc_hd__nor2_2
+XFILLER_462_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_230_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38604_ _38604_/A _38468_/X VGND VGND VPWR VPWR _38604_/X sky130_fd_sc_hd__or2_2
+XFILLER_435_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69424_ _69423_/Y _65209_/A VGND VGND VPWR VPWR _69424_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_389_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54650_ _54650_/A _54649_/X VGND VGND VPWR VPWR _76962_/D sky130_fd_sc_hd__nand2_2
+XFILLER_527_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66636_ _72920_/C _65983_/B VGND VGND VPWR VPWR _66636_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_690_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51862_ _51862_/A _51861_/X VGND VGND VPWR VPWR _77699_/D sky130_fd_sc_hd__nand2_2
+X_39584_ _39572_/X _39573_/X _80087_/Q VGND VGND VPWR VPWR _39584_/Y sky130_fd_sc_hd__nand3_2
+XPHY_5219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63848_ _63979_/A _63846_/Y _63848_/C VGND VGND VPWR VPWR _63848_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_663_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_122_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_465_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_273_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_265_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_480_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53601_ _53601_/A _53601_/B VGND VGND VPWR VPWR _53601_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_3055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_603_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38535_ _38676_/A _38539_/B VGND VGND VPWR VPWR _38535_/X sky130_fd_sc_hd__or2_2
+X_50813_ _50785_/A _50813_/B VGND VGND VPWR VPWR _50814_/B sky130_fd_sc_hd__or2_2
+X_69355_ _79629_/Q _69355_/B VGND VGND VPWR VPWR _69355_/Y sky130_fd_sc_hd__nor2_2
+XPHY_4518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54581_ _54581_/A _54517_/B VGND VGND VPWR VPWR _54607_/B sky130_fd_sc_hd__or2_2
+XFILLER_341_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66567_ _80412_/Q _66732_/B VGND VGND VPWR VPWR _66569_/B sky130_fd_sc_hd__nor2_2
+XFILLER_642_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_525_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_505_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_96_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_409 _55936_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_599_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51793_ _51850_/A _51770_/A VGND VGND VPWR VPWR _51793_/X sky130_fd_sc_hd__or2_2
+XFILLER_214_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63779_ _59202_/A _63779_/B _63778_/Y VGND VGND VPWR VPWR _63783_/B sky130_fd_sc_hd__nor3_2
+XPHY_4529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_65_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_560_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56320_ _56295_/A VGND VGND VPWR VPWR _57173_/A sky130_fd_sc_hd__buf_1
+XFILLER_462_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68306_ _68475_/A _68299_/Y _68306_/C VGND VGND VPWR VPWR _68306_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_241_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53532_ _53539_/A VGND VGND VPWR VPWR _53551_/B sky130_fd_sc_hd__buf_1
+XFILLER_701_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65518_ _68810_/A VGND VGND VPWR VPWR _65518_/X sky130_fd_sc_hd__buf_1
+XFILLER_74_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38466_ _38493_/A VGND VGND VPWR VPWR _38466_/X sky130_fd_sc_hd__buf_1
+X_50744_ _50744_/A _50732_/X VGND VGND VPWR VPWR _50744_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_0_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_224_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_415_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69286_ _75593_/C _69148_/B VGND VGND VPWR VPWR _69288_/B sky130_fd_sc_hd__nor2_2
+XFILLER_443_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66498_ _40202_/C _66498_/B VGND VGND VPWR VPWR _66500_/B sky130_fd_sc_hd__nor2_2
+XFILLER_658_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_39_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_345_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_80_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_13_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80515_ _80473_/CLK _76130_/Y VGND VGND VPWR VPWR _67723_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56251_ _56616_/A VGND VGND VPWR VPWR _56251_/X sky130_fd_sc_hd__buf_1
+X_68237_ _68738_/A _68237_/B _68237_/C VGND VGND VPWR VPWR _68255_/A sky130_fd_sc_hd__nor3_2
+XFILLER_213_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53463_ _53463_/A _53447_/A VGND VGND VPWR VPWR _53463_/X sky130_fd_sc_hd__or2_2
+XFILLER_74_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65449_ _73083_/C _65449_/B VGND VGND VPWR VPWR _65449_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_126_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38397_ _38415_/A VGND VGND VPWR VPWR _38405_/B sky130_fd_sc_hd__buf_1
+X_50675_ _50675_/A _50674_/X VGND VGND VPWR VPWR _78011_/D sky130_fd_sc_hd__nand2_2
+XFILLER_228_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_675_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81495_ _81499_/CLK _71830_/Y VGND VGND VPWR VPWR _65810_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_306_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_241_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_601_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55202_ _69987_/A _55201_/Y VGND VGND VPWR VPWR _55203_/C sky130_fd_sc_hd__nor2_2
+XFILLER_224_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_368_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52414_ _52358_/A _52420_/B VGND VGND VPWR VPWR _52414_/X sky130_fd_sc_hd__or2_2
+XFILLER_495_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_206_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56182_ _45090_/Y _56157_/X VGND VGND VPWR VPWR _56183_/B sky130_fd_sc_hd__nor2_2
+X_80446_ _80420_/CLK _76408_/Y VGND VGND VPWR VPWR _66909_/A sky130_fd_sc_hd__dfxtp_4
+X_68168_ _80229_/Q _67843_/X VGND VGND VPWR VPWR _68169_/C sky130_fd_sc_hd__nor2_2
+XFILLER_179_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53394_ _53392_/Y _53393_/X VGND VGND VPWR VPWR _77297_/D sky130_fd_sc_hd__nand2_2
+XFILLER_72_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_126_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_671_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_328_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_593_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_716_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55133_ _55133_/A VGND VGND VPWR VPWR _55161_/A sky130_fd_sc_hd__buf_1
+X_67119_ _57693_/A _66615_/X VGND VGND VPWR VPWR _67119_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_241_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52345_ _52347_/A VGND VGND VPWR VPWR _52357_/B sky130_fd_sc_hd__buf_1
+XFILLER_237_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_178_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80377_ _80436_/CLK _38429_/Y VGND VGND VPWR VPWR _38428_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_571_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68099_ _68254_/A _68091_/Y _68098_/Y VGND VGND VPWR VPWR _68099_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_712_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_3025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_428_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39018_ _39018_/A _39017_/Y VGND VGND VPWR VPWR _39018_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_438_2870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70130_ _69974_/Y VGND VGND VPWR VPWR _70156_/A sky130_fd_sc_hd__buf_1
+XFILLER_11_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59941_ _59461_/A _59941_/B _59940_/Y VGND VGND VPWR VPWR _59949_/B sky130_fd_sc_hd__nor3_2
+X_55064_ _55064_/A _46870_/A VGND VGND VPWR VPWR _55066_/B sky130_fd_sc_hd__nor2_2
+XFILLER_374_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40290_ _40290_/A _40301_/B _58083_/A VGND VGND VPWR VPWR _40290_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_391_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52276_ _52192_/A _52276_/B VGND VGND VPWR VPWR _52277_/B sky130_fd_sc_hd__or2_2
+XFILLER_355_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_364_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54015_ _53926_/A _54014_/X VGND VGND VPWR VPWR _54016_/B sky130_fd_sc_hd__or2_2
+XFILLER_200_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51227_ _51524_/A VGND VGND VPWR VPWR _51310_/B sky130_fd_sc_hd__buf_1
+XFILLER_434_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70061_ _70061_/A VGND VGND VPWR VPWR _70169_/A sky130_fd_sc_hd__buf_1
+XPHY_13249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59872_ _59390_/A _59872_/B _59871_/Y VGND VGND VPWR VPWR _59873_/C sky130_fd_sc_hd__nor3_2
+XFILLER_415_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_698_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_176_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_292_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_453_0_u_core.clock clkbuf_9_453_0_u_core.clock/A VGND VGND VPWR VPWR _80790_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_444_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58823_ _38867_/C _56448_/B VGND VGND VPWR VPWR _58824_/C sky130_fd_sc_hd__nor2_2
+XFILLER_313_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51158_ _51158_/A _51155_/B VGND VGND VPWR VPWR _51158_/X sky130_fd_sc_hd__or2_2
+XPHY_11814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_686_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_235_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_608_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50109_ _50106_/Y _50108_/X VGND VGND VPWR VPWR _78161_/D sky130_fd_sc_hd__nand2_2
+XFILLER_489_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73820_ _74013_/A VGND VGND VPWR VPWR _73877_/A sky130_fd_sc_hd__buf_1
+XFILLER_122_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58754_ _58754_/A _58754_/B _58754_/C VGND VGND VPWR VPWR _58762_/A sky130_fd_sc_hd__nor3_2
+XFILLER_289_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_682_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43980_ _43951_/A _43978_/Y _43979_/Y VGND VGND VPWR VPWR _43980_/Y sky130_fd_sc_hd__nor3_2
+XPHY_7100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55966_ _55984_/A VGND VGND VPWR VPWR _56118_/A sky130_fd_sc_hd__buf_1
+X_51089_ _50998_/A _51110_/B VGND VGND VPWR VPWR _51090_/B sky130_fd_sc_hd__or2_2
+XFILLER_7_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_647_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_298_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57705_ _57705_/A _57704_/Y VGND VGND VPWR VPWR _57705_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_231_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_88_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42931_ _42938_/A _42938_/B _67632_/A VGND VGND VPWR VPWR _42932_/B sky130_fd_sc_hd__nand3_2
+X_54917_ _54908_/A VGND VGND VPWR VPWR _54930_/B sky130_fd_sc_hd__buf_1
+X_73751_ _73748_/X VGND VGND VPWR VPWR _73865_/B sky130_fd_sc_hd__buf_1
+XFILLER_276_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_103_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_209_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58685_ _69228_/A _56289_/B VGND VGND VPWR VPWR _58685_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_311_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70963_ _70959_/Y _72026_/A VGND VGND VPWR VPWR _70963_/X sky130_fd_sc_hd__or2_2
+XFILLER_489_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55897_ _55901_/A _55897_/B _69585_/B VGND VGND VPWR VPWR _55953_/B sky130_fd_sc_hd__and3_2
+XFILLER_287_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_467_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_98_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_628_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72702_ _72823_/A _72699_/B VGND VGND VPWR VPWR _72702_/X sky130_fd_sc_hd__or2_2
+XPHY_7177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45650_ _79111_/Q _45539_/X VGND VGND VPWR VPWR _45650_/Y sky130_fd_sc_hd__nor2_2
+X_57636_ _57715_/A _57636_/B _57636_/C VGND VGND VPWR VPWR _57648_/A sky130_fd_sc_hd__nor3_2
+XFILLER_660_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76470_ VGND VGND VPWR VPWR _76470_/HI io_oeb[30] sky130_fd_sc_hd__conb_1
+XPHY_6443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42862_ _46670_/A _42847_/X _41841_/Y _42848_/X VGND VGND VPWR VPWR _42862_/X sky130_fd_sc_hd__o22a_4
+X_54848_ _53347_/A VGND VGND VPWR VPWR _54961_/A sky130_fd_sc_hd__buf_1
+X_73682_ _73680_/X _73682_/B VGND VGND VPWR VPWR _73682_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_264_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70894_ _70080_/X _70893_/X VGND VGND VPWR VPWR _71128_/A sky130_fd_sc_hd__or2_2
+XFILLER_76_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_641_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_441_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_418_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44601_ _44586_/A _44599_/Y _44601_/C VGND VGND VPWR VPWR _44601_/Y sky130_fd_sc_hd__nor3_2
+XPHY_5731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75421_ _75419_/X _75420_/Y VGND VGND VPWR VPWR _80687_/D sky130_fd_sc_hd__nand2_2
+XFILLER_444_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41813_ _41731_/A VGND VGND VPWR VPWR _41813_/X sky130_fd_sc_hd__buf_1
+XPHY_38224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72633_ _72630_/X _72633_/B VGND VGND VPWR VPWR _72633_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_625_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57567_ _57567_/A _57567_/B _57567_/C VGND VGND VPWR VPWR _57571_/B sky130_fd_sc_hd__nor3_2
+XFILLER_448_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45581_ _45581_/A _45581_/B _45581_/C VGND VGND VPWR VPWR _45581_/Y sky130_fd_sc_hd__nor3_2
+XPHY_38235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_641_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42793_ _45647_/A VGND VGND VPWR VPWR _64695_/A sky130_fd_sc_hd__inv_8
+XFILLER_248_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54779_ _54777_/Y _54779_/B VGND VGND VPWR VPWR _76927_/D sky130_fd_sc_hd__nand2_2
+XFILLER_166_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_448_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_99_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_217_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_37512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_610_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59306_ _59306_/A VGND VGND VPWR VPWR _59640_/A sky130_fd_sc_hd__buf_1
+XFILLER_38_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47320_ _47320_/A VGND VGND VPWR VPWR _49237_/A sky130_fd_sc_hd__buf_1
+X_78140_ _78138_/CLK _78140_/D VGND VGND VPWR VPWR _50184_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_204_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44532_ _44532_/A VGND VGND VPWR VPWR _44533_/B sky130_fd_sc_hd__inv_8
+XFILLER_264_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75352_ _75352_/A _75360_/B _67528_/A VGND VGND VPWR VPWR _75353_/B sky130_fd_sc_hd__nand3_2
+XPHY_27000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56518_ _58301_/A VGND VGND VPWR VPWR _56518_/X sky130_fd_sc_hd__buf_1
+XFILLER_147_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41744_ _41758_/A _41743_/X VGND VGND VPWR VPWR _41744_/X sky130_fd_sc_hd__or2_2
+XPHY_37534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72564_ _72564_/A VGND VGND VPWR VPWR _72571_/B sky130_fd_sc_hd__buf_1
+XPHY_5797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57498_ _57498_/A _57498_/B VGND VGND VPWR VPWR _57499_/B sky130_fd_sc_hd__nor2_2
+XFILLER_109_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_622_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_461_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_2_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_701_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74303_ _74303_/A _74302_/Y VGND VGND VPWR VPWR _80969_/D sky130_fd_sc_hd__nand2_2
+XFILLER_469_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47251_ _47387_/A VGND VGND VPWR VPWR _47454_/A sky130_fd_sc_hd__inv_8
+X_59237_ _63758_/A _59237_/B _59237_/C VGND VGND VPWR VPWR _59247_/B sky130_fd_sc_hd__nor3_2
+XFILLER_57_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71515_ _71515_/A _71383_/B VGND VGND VPWR VPWR _71515_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_164_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_686_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_5_22_0_u_core.clock clkbuf_5_23_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_5_22_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_78071_ _78070_/CLK _78071_/D VGND VGND VPWR VPWR _50452_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44463_ _44459_/A _44491_/B VGND VGND VPWR VPWR _44467_/B sky130_fd_sc_hd__nor2_2
+XFILLER_594_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56449_ _56688_/A _56449_/B _56448_/Y VGND VGND VPWR VPWR _56449_/Y sky130_fd_sc_hd__nor3_2
+XPHY_26310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75283_ _75278_/X _75280_/B _65220_/A VGND VGND VPWR VPWR _75284_/B sky130_fd_sc_hd__nand3_2
+XPHY_27055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_73_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_619_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41675_ _76828_/Q _41671_/X _41674_/X VGND VGND VPWR VPWR _41675_/X sky130_fd_sc_hd__or3_2
+XPHY_36844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72495_ _72489_/X _72491_/X _81416_/Q VGND VGND VPWR VPWR _72495_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_324_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_198_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46202_ _43013_/B _46201_/X VGND VGND VPWR VPWR _46202_/X sky130_fd_sc_hd__or2_2
+XFILLER_13_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77022_ _76998_/CLK _54428_/Y VGND VGND VPWR VPWR _77022_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_27088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43414_ _43414_/A _43413_/Y VGND VGND VPWR VPWR _79356_/D sky130_fd_sc_hd__nand2_2
+XFILLER_658_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74234_ _74491_/A _74227_/X VGND VGND VPWR VPWR _74237_/A sky130_fd_sc_hd__or2_2
+XFILLER_122_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40626_ _40617_/A _40630_/B _56584_/A VGND VGND VPWR VPWR _40626_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_548_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59168_ _59727_/A VGND VGND VPWR VPWR _59408_/A sky130_fd_sc_hd__buf_1
+X_47182_ _78892_/Q _47182_/B VGND VGND VPWR VPWR _47182_/Y sky130_fd_sc_hd__nor2_2
+X_71446_ _71439_/X _71440_/Y _71442_/Y _71445_/Y VGND VGND VPWR VPWR _71446_/X sky130_fd_sc_hd__or4_2
+XFILLER_220_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_396_3073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_591_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44394_ _44393_/X VGND VGND VPWR VPWR _44395_/B sky130_fd_sc_hd__buf_1
+XPHY_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_179_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_3035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_16_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_177_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_200_430 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_559_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_508_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46133_ _46133_/A _46112_/Y _46133_/C _46133_/D VGND VGND VPWR VPWR _46133_/X sky130_fd_sc_hd__or4_2
+XFILLER_576_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58119_ _68149_/A _58357_/B VGND VGND VPWR VPWR _58119_/Y sky130_fd_sc_hd__nor2_2
+XPHY_25653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43345_ _43343_/X _43344_/Y VGND VGND VPWR VPWR _79374_/D sky130_fd_sc_hd__nand2_2
+XFILLER_318_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_158_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74165_ _74165_/A _74165_/B _81005_/Q VGND VGND VPWR VPWR _74165_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_535_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40557_ _40337_/A VGND VGND VPWR VPWR _40576_/A sky130_fd_sc_hd__buf_1
+XFILLER_478_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_639_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59099_ _59099_/A _59099_/B _59099_/C VGND VGND VPWR VPWR _59099_/Y sky130_fd_sc_hd__nor3_2
+X_71377_ _71375_/X _71376_/Y VGND VGND VPWR VPWR _71377_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_373_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_9_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_338_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_392_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61130_ _60811_/A _61128_/Y _61129_/Y VGND VGND VPWR VPWR _61131_/C sky130_fd_sc_hd__nor3_2
+XPHY_24952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73116_ _73112_/Y _73115_/X VGND VGND VPWR VPWR _73116_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46064_ _46064_/A _46061_/Y _46064_/C VGND VGND VPWR VPWR _79041_/D sky130_fd_sc_hd__nor3_2
+XFILLER_550_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70328_ _70325_/X _70328_/B VGND VGND VPWR VPWR _70328_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_259_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_724_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43276_ _43257_/A VGND VGND VPWR VPWR _43277_/B sky130_fd_sc_hd__buf_1
+XFILLER_613_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74096_ _74491_/A _74085_/X VGND VGND VPWR VPWR _74099_/A sky130_fd_sc_hd__or2_2
+XFILLER_294_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78973_ _79497_/CLK _78973_/D VGND VGND VPWR VPWR _43018_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_535_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40488_ _40615_/A _40493_/B VGND VGND VPWR VPWR _40488_/X sky130_fd_sc_hd__or2_2
+XFILLER_199_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_711_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_138_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_292_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45015_ _42799_/A _45015_/B VGND VGND VPWR VPWR _45016_/A sky130_fd_sc_hd__nor2_2
+XFILLER_504_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42227_ _42227_/A _42227_/B _42200_/X VGND VGND VPWR VPWR _42228_/C sky130_fd_sc_hd__nor3_2
+XFILLER_522_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61061_ _60577_/X _61053_/Y _61061_/C VGND VGND VPWR VPWR _61061_/Y sky130_fd_sc_hd__nor3_2
+X_73047_ _73056_/A _73061_/B _73047_/C VGND VGND VPWR VPWR _73049_/A sky130_fd_sc_hd__nand3_2
+X_77924_ _78758_/CLK _50999_/Y VGND VGND VPWR VPWR _77924_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_173_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_253_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70259_ _70259_/A _70180_/B VGND VGND VPWR VPWR _70261_/B sky130_fd_sc_hd__nor2_2
+XPHY_14484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_416_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_487_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_417_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_316_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_2955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_119_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60012_ _53687_/A _60012_/B VGND VGND VPWR VPWR _60012_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_299_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49823_ _49823_/A _49822_/X VGND VGND VPWR VPWR _49823_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_472_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_113_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42158_ _72466_/B VGND VGND VPWR VPWR _42177_/C sky130_fd_sc_hd__inv_8
+XFILLER_236_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_253_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77855_ _77854_/CLK _51269_/Y VGND VGND VPWR VPWR _60870_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_4_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_613_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_665_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_218_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_84_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41109_ _41123_/A _41118_/B _57137_/A VGND VGND VPWR VPWR _41109_/Y sky130_fd_sc_hd__nand3_2
+XPHY_31184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64820_ _40237_/C _64235_/B VGND VGND VPWR VPWR _64822_/B sky130_fd_sc_hd__nor2_2
+X_76806_ _76791_/CLK _76806_/D VGND VGND VPWR VPWR _76806_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_676_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_80_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49754_ _78255_/Q _49760_/B VGND VGND VPWR VPWR _49756_/A sky130_fd_sc_hd__nand2_2
+XFILLER_268_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_31195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_316_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46966_ _70441_/X _46925_/B VGND VGND VPWR VPWR _46967_/C sky130_fd_sc_hd__and2_2
+X_42089_ _42086_/Y _42087_/Y _42096_/C VGND VGND VPWR VPWR _42089_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_354_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77786_ _77787_/CLK _77786_/D VGND VGND VPWR VPWR _61330_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74998_ _74988_/A _74988_/B _67216_/A VGND VGND VPWR VPWR _75000_/A sky130_fd_sc_hd__nand3_2
+XFILLER_370_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_171_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_528_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48705_ _48705_/A VGND VGND VPWR VPWR _48706_/B sky130_fd_sc_hd__buf_1
+XFILLER_7_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79525_ _79119_/CLK _42100_/Y VGND VGND VPWR VPWR _42097_/A sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_9_99_0_u_core.clock clkbuf_9_99_0_u_core.clock/A VGND VGND VPWR VPWR _78428_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_45_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45917_ _42547_/B _45908_/X VGND VGND VPWR VPWR _45917_/Y sky130_fd_sc_hd__nor2_2
+XPHY_30494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64751_ _64751_/A _64907_/B VGND VGND VPWR VPWR _64751_/Y sky130_fd_sc_hd__nor2_2
+X_76737_ _78860_/CLK _76737_/D VGND VGND VPWR VPWR _45164_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_691_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49685_ _78275_/Q _49680_/X VGND VGND VPWR VPWR _49687_/A sky130_fd_sc_hd__nand2_2
+X_61963_ _61963_/A _62592_/B VGND VGND VPWR VPWR _61963_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_583_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_548_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73949_ _73949_/A _73963_/B _81050_/Q VGND VGND VPWR VPWR _73949_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_504_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46897_ _46896_/X VGND VGND VPWR VPWR _46898_/B sky130_fd_sc_hd__buf_1
+XFILLER_702_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_23_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_643_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_444_3030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63702_ _59183_/A _63694_/Y _63702_/C VGND VGND VPWR VPWR _63703_/B sky130_fd_sc_hd__nor3_2
+XFILLER_484_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_428_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_110_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60914_ _60290_/A _60914_/B _60914_/C VGND VGND VPWR VPWR _60924_/B sky130_fd_sc_hd__nor3_2
+X_48636_ _48636_/A _48636_/B VGND VGND VPWR VPWR _78543_/D sky130_fd_sc_hd__nand2_2
+XFILLER_672_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67470_ _67452_/Y _67470_/B VGND VGND VPWR VPWR _67470_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_55_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79456_ _76842_/CLK _79456_/D VGND VGND VPWR VPWR _79456_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_646_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45848_ _45848_/A _45848_/B _45848_/C VGND VGND VPWR VPWR _79089_/D sky130_fd_sc_hd__nor3_2
+X_64682_ _38735_/C _64682_/B VGND VGND VPWR VPWR _64683_/C sky130_fd_sc_hd__nor2_2
+XFILLER_645_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_97_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76668_ _76671_/CLK _76668_/D VGND VGND VPWR VPWR _70235_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_294_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61894_ _60025_/A VGND VGND VPWR VPWR _61894_/X sky130_fd_sc_hd__buf_1
+XFILLER_626_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_632_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_384_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66421_ _66417_/X _66419_/Y _66421_/C VGND VGND VPWR VPWR _66421_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_40_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78407_ _78405_/CLK _78407_/D VGND VGND VPWR VPWR _63374_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_583_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_466_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63633_ _63633_/A _63343_/B VGND VGND VPWR VPWR _63633_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_706_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75619_ _75468_/A _75635_/B VGND VGND VPWR VPWR _75621_/A sky130_fd_sc_hd__or2_2
+XFILLER_463_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60845_ _60845_/A _60845_/B VGND VGND VPWR VPWR _60889_/B sky130_fd_sc_hd__nor2_2
+XFILLER_94_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48567_ _78559_/Q _48552_/B VGND VGND VPWR VPWR _48567_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_722_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_97_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79387_ _79575_/CLK _79387_/D VGND VGND VPWR VPWR _67437_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_364_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_208_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45779_ _45779_/A _43529_/X VGND VGND VPWR VPWR _45783_/A sky130_fd_sc_hd__nor2_2
+XPHY_39470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_425_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76599_ VGND VGND VPWR VPWR _76599_/HI la_data_out[95] sky130_fd_sc_hd__conb_1
+XFILLER_75_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_397_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38320_ _76320_/A _38314_/B VGND VGND VPWR VPWR _38324_/A sky130_fd_sc_hd__or2_2
+XFILLER_520_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69140_ _79204_/Q VGND VGND VPWR VPWR _69141_/A sky130_fd_sc_hd__inv_8
+XFILLER_263_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_78_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_1_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47518_ _47997_/A VGND VGND VPWR VPWR _47647_/A sky130_fd_sc_hd__buf_1
+X_66352_ _68821_/A VGND VGND VPWR VPWR _66352_/X sky130_fd_sc_hd__buf_1
+X_78338_ _78338_/CLK _78338_/D VGND VGND VPWR VPWR _62480_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_110_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63564_ _78761_/Q _59104_/B VGND VGND VPWR VPWR _63566_/B sky130_fd_sc_hd__nor2_2
+XFILLER_169_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_641_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48498_ _48498_/A _48498_/B VGND VGND VPWR VPWR _78579_/D sky130_fd_sc_hd__nand2_2
+X_60776_ _52448_/A _60943_/B VGND VGND VPWR VPWR _60776_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_698_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_56_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_599_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_405_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65303_ _79700_/Q _65123_/B VGND VGND VPWR VPWR _65303_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_379_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_34_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38251_ _38246_/X _38251_/B VGND VGND VPWR VPWR _80425_/D sky130_fd_sc_hd__nand2_2
+XFILLER_577_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62515_ _78114_/Q _62984_/B VGND VGND VPWR VPWR _62515_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_596_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69071_ _69071_/A _69071_/B VGND VGND VPWR VPWR _69072_/C sky130_fd_sc_hd__nor2_2
+XFILLER_672_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_143_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47449_ _47449_/A _47436_/X VGND VGND VPWR VPWR _47449_/X sky130_fd_sc_hd__or2_2
+X_66283_ _66283_/A _66283_/B _66283_/C VGND VGND VPWR VPWR _66289_/B sky130_fd_sc_hd__nor3_2
+XFILLER_620_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78269_ _77769_/CLK _78269_/D VGND VGND VPWR VPWR _61788_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_90_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63495_ _63495_/A _63343_/B VGND VGND VPWR VPWR _63495_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_555_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_16_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_557_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_260_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80300_ _80367_/CLK _80300_/D VGND VGND VPWR VPWR _80300_/Q sky130_fd_sc_hd__dfxtp_4
+X_68022_ _68022_/A _67687_/X VGND VGND VPWR VPWR _68022_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_108_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_189_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65234_ _65572_/A _65232_/Y _65233_/Y VGND VGND VPWR VPWR _65234_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_260_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_250_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_700_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50460_ _50460_/A _50459_/X VGND VGND VPWR VPWR _50460_/Y sky130_fd_sc_hd__nand2_2
+X_62446_ _62446_/A _62446_/B _62445_/Y VGND VGND VPWR VPWR _62447_/C sky130_fd_sc_hd__nor3_2
+XFILLER_457_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81280_ _79395_/CLK _81280_/D VGND VGND VPWR VPWR _67265_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_618_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_554_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_520_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49119_ _63698_/A _49112_/B VGND VGND VPWR VPWR _49122_/A sky130_fd_sc_hd__nand2_2
+XFILLER_52_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80231_ _80226_/CLK _80231_/D VGND VGND VPWR VPWR _80231_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_34_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_672_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65165_ _40231_/C _64985_/X VGND VGND VPWR VPWR _65167_/B sky130_fd_sc_hd__nor2_2
+XFILLER_654_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50391_ _78086_/Q _50372_/X VGND VGND VPWR VPWR _50393_/A sky130_fd_sc_hd__nand2_2
+X_62377_ _61916_/A _62377_/B _62376_/Y VGND VGND VPWR VPWR _62384_/B sky130_fd_sc_hd__nor3_2
+XFILLER_495_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_241_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_69_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52130_ _52128_/Y _52129_/X VGND VGND VPWR VPWR _77627_/D sky130_fd_sc_hd__nand2_2
+XFILLER_15_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64116_ _64116_/A _64116_/B _64115_/Y VGND VGND VPWR VPWR _64419_/C sky130_fd_sc_hd__or3_2
+XFILLER_297_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61328_ _63159_/A VGND VGND VPWR VPWR _61484_/A sky130_fd_sc_hd__buf_1
+XFILLER_703_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80162_ _80187_/CLK _39303_/Y VGND VGND VPWR VPWR _80162_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_191_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_337_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65096_ _65280_/A _65096_/B _65095_/Y VGND VGND VPWR VPWR _65096_/Y sky130_fd_sc_hd__nor3_2
+X_69973_ _79481_/Q VGND VGND VPWR VPWR _70124_/A sky130_fd_sc_hd__inv_8
+XFILLER_653_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_714_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_451_3056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52061_ _52059_/Y _52060_/X VGND VGND VPWR VPWR _52061_/Y sky130_fd_sc_hd__nand2_2
+X_68924_ _68924_/A _68916_/Y _68923_/Y VGND VGND VPWR VPWR _68925_/B sky130_fd_sc_hd__nor3_2
+XFILLER_191_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64047_ _64047_/A _63519_/X VGND VGND VPWR VPWR _64047_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_713_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61259_ _59675_/A VGND VGND VPWR VPWR _61259_/X sky130_fd_sc_hd__buf_1
+XFILLER_119_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80093_ _80094_/CLK _39563_/Y VGND VGND VPWR VPWR _80093_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_232_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_3_1_1_u_core.clock clkbuf_3_1_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_4_3_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_195_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_306_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51012_ _51012_/A _51011_/X VGND VGND VPWR VPWR _77921_/D sky130_fd_sc_hd__nand2_2
+XFILLER_190_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_219_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_67_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_356_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68855_ _68524_/A _68855_/B _68854_/Y VGND VGND VPWR VPWR _68855_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_312_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_271_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_154_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_486_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_321_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_397 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_67_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_695_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55820_ _61684_/A _55817_/B VGND VGND VPWR VPWR _55822_/A sky130_fd_sc_hd__nand2_2
+XFILLER_514_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67806_ _67453_/X _67797_/Y _67806_/C VGND VGND VPWR VPWR _67807_/B sky130_fd_sc_hd__nor3_2
+XFILLER_320_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_28_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_3_4_0_u_core.clock ANTENNA_554/DIODE VGND VGND VPWR VPWR clkbuf_3_4_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_682_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_89_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_334_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68786_ _68277_/X _68784_/Y _68785_/Y VGND VGND VPWR VPWR _68786_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_189_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65998_ _64182_/A VGND VGND VPWR VPWR _66167_/A sky130_fd_sc_hd__buf_1
+XFILLER_486_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_726_2939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_493_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_119_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39705_ _39702_/X _39705_/B VGND VGND VPWR VPWR _39705_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_369_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55751_ _55751_/A _55751_/B VGND VGND VPWR VPWR _76787_/D sky130_fd_sc_hd__nand2_2
+XFILLER_382_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67737_ _67717_/Y _67737_/B VGND VGND VPWR VPWR _67738_/B sky130_fd_sc_hd__nor2_2
+XFILLER_320_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52963_ _53047_/A _52960_/B VGND VGND VPWR VPWR _52963_/X sky130_fd_sc_hd__or2_2
+XFILLER_330_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_312_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64949_ _64949_/A _64945_/Y _64949_/C VGND VGND VPWR VPWR _64949_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_629_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80995_ _80809_/CLK _74204_/Y VGND VGND VPWR VPWR _80995_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_484_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_301_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_683_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54702_ _54702_/A _54702_/B VGND VGND VPWR VPWR _54703_/A sky130_fd_sc_hd__or2_2
+XFILLER_98_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51914_ _51942_/A _51891_/A VGND VGND VPWR VPWR _51914_/X sky130_fd_sc_hd__or2_2
+X_39636_ _39636_/A VGND VGND VPWR VPWR _39656_/A sky130_fd_sc_hd__buf_1
+XFILLER_274_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_651_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58470_ _58470_/A VGND VGND VPWR VPWR _58754_/A sky130_fd_sc_hd__buf_1
+XFILLER_287_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_707_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55682_ _55682_/A _55682_/B VGND VGND VPWR VPWR _55682_/X sky130_fd_sc_hd__or2_2
+X_67668_ _68162_/A _67664_/Y _67668_/C VGND VGND VPWR VPWR _67668_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_661_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52894_ _52894_/A _52893_/X VGND VGND VPWR VPWR _52894_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_6_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57421_ _57022_/A VGND VGND VPWR VPWR _57541_/A sky130_fd_sc_hd__buf_1
+XFILLER_227_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69407_ _68833_/A _69405_/Y _69407_/C VGND VGND VPWR VPWR _69411_/B sky130_fd_sc_hd__nor3_2
+XFILLER_274_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54633_ _54633_/A _54632_/X VGND VGND VPWR VPWR _54633_/Y sky130_fd_sc_hd__nand2_2
+X_66619_ _57446_/A _66461_/B VGND VGND VPWR VPWR _66619_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_423_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51845_ _51845_/A _51845_/B VGND VGND VPWR VPWR _51845_/Y sky130_fd_sc_hd__nand2_2
+X_39567_ _39565_/X _39566_/Y VGND VGND VPWR VPWR _80092_/D sky130_fd_sc_hd__nand2_2
+XPHY_5049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_416_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67599_ _67599_/A _67598_/Y VGND VGND VPWR VPWR _67599_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_382_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_206 _74029_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_217 _75585_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_430_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38518_ _38518_/A _38523_/B _67886_/A VGND VGND VPWR VPWR _38519_/B sky130_fd_sc_hd__nand3_2
+XFILLER_560_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_228 _75303_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_503_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57352_ _57027_/A _57350_/Y _57352_/C VGND VGND VPWR VPWR _57356_/B sky130_fd_sc_hd__nor3_2
+XFILLER_76_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69338_ _69478_/A _69336_/Y _69337_/Y VGND VGND VPWR VPWR _69338_/Y sky130_fd_sc_hd__nor3_2
+XPHY_3603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54564_ _54649_/A _54573_/B VGND VGND VPWR VPWR _54565_/B sky130_fd_sc_hd__or2_2
+XANTENNA_239 _76187_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_26_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51776_ _61284_/A _51780_/B VGND VGND VPWR VPWR _51779_/A sky130_fd_sc_hd__nand2_2
+X_39498_ _39498_/A VGND VGND VPWR VPWR _39505_/B sky130_fd_sc_hd__buf_1
+XPHY_4359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_243_0_u_core.clock clkbuf_9_243_0_u_core.clock/A VGND VGND VPWR VPWR _79686_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_27_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_521_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56303_ _56688_/A _56303_/B _56302_/Y VGND VGND VPWR VPWR _56314_/B sky130_fd_sc_hd__nor3_2
+XFILLER_58_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53515_ _53515_/A _53521_/B VGND VGND VPWR VPWR _53515_/X sky130_fd_sc_hd__or2_2
+XFILLER_282_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_167_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50727_ _77997_/Q _50727_/B VGND VGND VPWR VPWR _50727_/Y sky130_fd_sc_hd__nand2_2
+X_38449_ _38439_/A _38443_/B _38449_/C VGND VGND VPWR VPWR _38450_/B sky130_fd_sc_hd__nand3_2
+XFILLER_576_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57283_ _80250_/Q _57283_/B VGND VGND VPWR VPWR _57284_/C sky130_fd_sc_hd__nor2_2
+XFILLER_431_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_70_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69269_ _68833_/A _69269_/B _69268_/Y VGND VGND VPWR VPWR _69273_/B sky130_fd_sc_hd__nor3_2
+XFILLER_503_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_549_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_403_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54495_ _77003_/Q _54505_/B VGND VGND VPWR VPWR _54495_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_655_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71300_ _71298_/X _71300_/B VGND VGND VPWR VPWR _71300_/Y sky130_fd_sc_hd__nand2_2
+X_59022_ _58990_/A VGND VGND VPWR VPWR _59238_/A sky130_fd_sc_hd__buf_1
+XFILLER_697_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56234_ _56529_/A VGND VGND VPWR VPWR _58537_/A sky130_fd_sc_hd__buf_1
+XFILLER_341_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41460_ _41084_/X _41446_/B VGND VGND VPWR VPWR _41460_/X sky130_fd_sc_hd__or2_2
+X_53446_ _77282_/Q _53439_/B VGND VGND VPWR VPWR _53449_/A sky130_fd_sc_hd__nand2_2
+XFILLER_13_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72280_ _72291_/A _72291_/B _81465_/Q VGND VGND VPWR VPWR _72280_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_401_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50658_ _50658_/A _50648_/X VGND VGND VPWR VPWR _50658_/X sky130_fd_sc_hd__or2_2
+XFILLER_655_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81478_ _81425_/CLK _72208_/Y VGND VGND VPWR VPWR _68278_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_404_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40411_ _40415_/A _40415_/B _68313_/A VGND VGND VPWR VPWR _40411_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_276_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71231_ _69740_/Y VGND VGND VPWR VPWR _42430_/B sky130_fd_sc_hd__buf_1
+XFILLER_718_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_100_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56165_ _56171_/A _56165_/B VGND VGND VPWR VPWR _56166_/B sky130_fd_sc_hd__nor2_2
+XFILLER_210_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80429_ _80367_/CLK _80429_/D VGND VGND VPWR VPWR _38233_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_24215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41391_ _41389_/X _41390_/Y VGND VGND VPWR VPWR _79631_/D sky130_fd_sc_hd__nand2_2
+XFILLER_636_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53377_ _53463_/A _53354_/A VGND VGND VPWR VPWR _53378_/B sky130_fd_sc_hd__or2_2
+XFILLER_636_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_586_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50589_ _50645_/A _50607_/B VGND VGND VPWR VPWR _50590_/B sky130_fd_sc_hd__or2_2
+XFILLER_158_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_104_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43130_ _43130_/A VGND VGND VPWR VPWR _43130_/Y sky130_fd_sc_hd__inv_8
+XFILLER_434_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55116_ _55115_/Y VGND VGND VPWR VPWR _55209_/B sky130_fd_sc_hd__buf_1
+XFILLER_718_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_359_0_u_core.clock clkbuf_9_359_0_u_core.clock/A VGND VGND VPWR VPWR _77639_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_358_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_573_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40342_ _40342_/A _40326_/B _56961_/A VGND VGND VPWR VPWR _40342_/Y sky130_fd_sc_hd__nand3_2
+X_52328_ _52328_/A _52328_/B VGND VGND VPWR VPWR _52331_/A sky130_fd_sc_hd__nand2_2
+XFILLER_555_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_491_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71162_ _71181_/A _71160_/X VGND VGND VPWR VPWR _71163_/C sky130_fd_sc_hd__and2_2
+XFILLER_87_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_610_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_343_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56096_ _56096_/A _56096_/B _56096_/C VGND VGND VPWR VPWR wbs_dat_o[17] sky130_fd_sc_hd__nor3_2
+XFILLER_300_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_104_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70113_ _70095_/A _70025_/X _70026_/Y VGND VGND VPWR VPWR _70113_/X sky130_fd_sc_hd__a21o_4
+XPHY_13024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43061_ _45265_/A _43060_/Y VGND VGND VPWR VPWR _43062_/D sky130_fd_sc_hd__nor2_2
+XFILLER_87_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59924_ _53364_/A _59604_/B VGND VGND VPWR VPWR _59924_/Y sky130_fd_sc_hd__nor2_2
+X_55047_ _55047_/A _43523_/B VGND VGND VPWR VPWR _55047_/X sky130_fd_sc_hd__and2_2
+XFILLER_108_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40273_ _40269_/X _40273_/B VGND VGND VPWR VPWR _40273_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_336_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52259_ _61223_/A _52266_/B VGND VGND VPWR VPWR _52262_/A sky130_fd_sc_hd__nand2_2
+XFILLER_688_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75970_ _75970_/A VGND VGND VPWR VPWR _76008_/A sky130_fd_sc_hd__buf_1
+XPHY_23569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71093_ _71093_/A _71093_/B VGND VGND VPWR VPWR _71098_/B sky130_fd_sc_hd__nor2_2
+XPHY_12301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_46_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42012_ _45382_/A _41972_/X VGND VGND VPWR VPWR _42012_/Y sky130_fd_sc_hd__nor2_2
+XPHY_13068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_250_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_455_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74921_ _74921_/A _74910_/B VGND VGND VPWR VPWR _74921_/X sky130_fd_sc_hd__or2_2
+X_70044_ _70683_/C VGND VGND VPWR VPWR _70045_/B sky130_fd_sc_hd__buf_1
+XPHY_13079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59855_ _59839_/Y _59855_/B VGND VGND VPWR VPWR _59855_/Y sky130_fd_sc_hd__nor2_2
+XPHY_11600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_434_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58806_ _39105_/C _58538_/B VGND VGND VPWR VPWR _58807_/C sky130_fd_sc_hd__nor2_2
+X_46820_ _46176_/B _46699_/X VGND VGND VPWR VPWR _46820_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77640_ _77660_/CLK _77640_/D VGND VGND VPWR VPWR _77640_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_658_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_218_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74852_ _74856_/A _74860_/B _68356_/A VGND VGND VPWR VPWR _74852_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_567_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59786_ _76937_/Q _60269_/B VGND VGND VPWR VPWR _59789_/B sky130_fd_sc_hd__nor2_2
+XPHY_10910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56998_ _56844_/X _56996_/Y _56997_/Y VGND VGND VPWR VPWR _56998_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_89_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_46_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_137_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73803_ _73800_/X _73803_/B VGND VGND VPWR VPWR _73803_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_81_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_666_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46751_ _46722_/Y VGND VGND VPWR VPWR _46755_/A sky130_fd_sc_hd__inv_8
+XPHY_11688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58737_ _58737_/A _58737_/B _58736_/Y VGND VGND VPWR VPWR _58745_/A sky130_fd_sc_hd__nor3_2
+XFILLER_313_1558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77571_ _77571_/CLK _77571_/D VGND VGND VPWR VPWR _77571_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_682_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43963_ _43955_/B _43962_/Y _43956_/C VGND VGND VPWR VPWR _43963_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_673_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_500_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55949_ _70041_/A _69588_/Y _55896_/A _42117_/A VGND VGND VPWR VPWR _55950_/B sky130_fd_sc_hd__and4_2
+X_74783_ _74783_/A VGND VGND VPWR VPWR _75274_/A sky130_fd_sc_hd__buf_1
+XFILLER_324_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_3096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_71995_ _70779_/X _71760_/X VGND VGND VPWR VPWR _71995_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_133_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_428_3058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79310_ _79315_/CLK _43638_/Y VGND VGND VPWR VPWR _71832_/A sky130_fd_sc_hd__dfxtp_4
+X_45702_ _45702_/A _45673_/A VGND VGND VPWR VPWR _45702_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_510_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_387_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76522_ VGND VGND VPWR VPWR _76522_/HI la_data_out[14] sky130_fd_sc_hd__conb_1
+XFILLER_493_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42914_ _42912_/X _42914_/B VGND VGND VPWR VPWR _79436_/D sky130_fd_sc_hd__nand2_2
+XPHY_10987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73734_ _72963_/X _73744_/B VGND VGND VPWR VPWR _73736_/A sky130_fd_sc_hd__or2_2
+XFILLER_482_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49470_ _62438_/A _49458_/X VGND VGND VPWR VPWR _49472_/A sky130_fd_sc_hd__nand2_2
+XFILLER_231_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58668_ _58668_/A _58735_/B VGND VGND VPWR VPWR _58668_/Y sky130_fd_sc_hd__nor2_2
+X_70946_ _71912_/A VGND VGND VPWR VPWR _70948_/A sky130_fd_sc_hd__inv_8
+X_46682_ _46682_/A _46678_/Y _46682_/C VGND VGND VPWR VPWR _46682_/Y sky130_fd_sc_hd__nor3_2
+XPHY_10998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_365_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43894_ _43896_/A _43866_/B VGND VGND VPWR VPWR _43894_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48421_ _48421_/A _48420_/X VGND VGND VPWR VPWR _48421_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79241_ _79271_/CLK _79241_/D VGND VGND VPWR VPWR _44296_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_94_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45633_ _45633_/A _45637_/B VGND VGND VPWR VPWR _45636_/B sky130_fd_sc_hd__nor2_2
+XPHY_38010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57619_ _56632_/A VGND VGND VPWR VPWR _57935_/B sky130_fd_sc_hd__buf_1
+X_76453_ VGND VGND VPWR VPWR _76453_/HI io_oeb[13] sky130_fd_sc_hd__conb_1
+XFILLER_526_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_425_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42845_ _79450_/Q VGND VGND VPWR VPWR _43180_/A sky130_fd_sc_hd__buf_1
+XFILLER_76_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73665_ _73545_/A _73662_/B VGND VGND VPWR VPWR _73665_/X sky130_fd_sc_hd__or2_2
+XFILLER_406_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58599_ _58451_/A _58599_/B _58598_/Y VGND VGND VPWR VPWR _58603_/B sky130_fd_sc_hd__nor3_2
+X_70877_ _70874_/X _70877_/B VGND VGND VPWR VPWR _70877_/X sky130_fd_sc_hd__or2_2
+XFILLER_248_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_441_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_79_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_324_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75404_ _75394_/A _75401_/B _75404_/C VGND VGND VPWR VPWR _75404_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_480_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48352_ _78616_/Q _48352_/B VGND VGND VPWR VPWR _48354_/A sky130_fd_sc_hd__nand2_2
+XPHY_5572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60630_ _77526_/Q _60630_/B VGND VGND VPWR VPWR _60631_/C sky130_fd_sc_hd__nor2_2
+X_72616_ _72195_/A _72608_/B VGND VGND VPWR VPWR _72616_/X sky130_fd_sc_hd__or2_2
+XPHY_19952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79172_ _79160_/CLK _45018_/Y VGND VGND VPWR VPWR _44982_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_523_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45564_ _45564_/A _45559_/X VGND VGND VPWR VPWR _45564_/Y sky130_fd_sc_hd__nor2_2
+XPHY_37320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76384_ _38265_/A _76388_/B VGND VGND VPWR VPWR _76384_/X sky130_fd_sc_hd__or2_2
+XFILLER_379_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42776_ _42756_/A _42776_/B VGND VGND VPWR VPWR _42776_/X sky130_fd_sc_hd__and2_2
+XFILLER_233_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73596_ _73959_/A _73609_/B VGND VGND VPWR VPWR _73596_/X sky130_fd_sc_hd__or2_2
+XFILLER_406_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_33_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_53_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47303_ _47303_/A VGND VGND VPWR VPWR _49332_/A sky130_fd_sc_hd__buf_1
+XFILLER_199_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78123_ _78121_/CLK _50251_/Y VGND VGND VPWR VPWR _62706_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_409_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44515_ _44514_/Y VGND VGND VPWR VPWR _44517_/B sky130_fd_sc_hd__buf_1
+XPHY_4871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75335_ _75335_/A _75335_/B VGND VGND VPWR VPWR _75335_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_504_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41727_ _46650_/A _41727_/B VGND VGND VPWR VPWR _41727_/X sky130_fd_sc_hd__or2_2
+XFILLER_189_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48283_ _78629_/Q _48283_/B VGND VGND VPWR VPWR _48283_/Y sky130_fd_sc_hd__nand2_2
+X_72547_ _72550_/A _72550_/B _81402_/Q VGND VGND VPWR VPWR _72548_/B sky130_fd_sc_hd__nand3_2
+XFILLER_379_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60561_ _77397_/Q _60403_/B VGND VGND VPWR VPWR _60562_/C sky130_fd_sc_hd__nor2_2
+XPHY_4882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_220_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45495_ _44562_/A VGND VGND VPWR VPWR _45496_/A sky130_fd_sc_hd__buf_1
+XPHY_37375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_220_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62300_ _50921_/A _62147_/X VGND VGND VPWR VPWR _62302_/B sky130_fd_sc_hd__nor2_2
+XPHY_36652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_338_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47234_ _47234_/A _41951_/X VGND VGND VPWR VPWR _47234_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_393_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78054_ _78062_/CLK _50513_/Y VGND VGND VPWR VPWR _61897_/A sky130_fd_sc_hd__dfxtp_4
+X_44446_ _44489_/A _44443_/Y _44446_/C VGND VGND VPWR VPWR _44447_/C sky130_fd_sc_hd__nor3_2
+XPHY_26140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63280_ _63710_/A _63277_/Y _63280_/C VGND VGND VPWR VPWR _63281_/C sky130_fd_sc_hd__nor3_2
+X_75266_ _75266_/A _75265_/Y VGND VGND VPWR VPWR _75266_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_207_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41658_ wbs_adr_i[21] wbs_adr_i[20] wbs_adr_i[23] wbs_adr_i[22] VGND VGND VPWR VPWR
++ _41660_/A sky130_fd_sc_hd__or4_2
+XPHY_36674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60492_ _59074_/X VGND VGND VPWR VPWR _60793_/B sky130_fd_sc_hd__buf_1
+XFILLER_457_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72478_ _72472_/A _72472_/B _69202_/A VGND VGND VPWR VPWR _72478_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_637_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_242_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_92_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_393_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77005_ _78386_/CLK _77005_/D VGND VGND VPWR VPWR _58977_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_324_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_242_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62231_ _61919_/A _62231_/B _62231_/C VGND VGND VPWR VPWR _62232_/C sky130_fd_sc_hd__nor3_2
+XFILLER_400_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74217_ _74181_/A VGND VGND VPWR VPWR _74221_/B sky130_fd_sc_hd__buf_1
+XFILLER_717_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40609_ _40594_/X _40598_/X _65842_/A VGND VGND VPWR VPWR _40610_/B sky130_fd_sc_hd__nand3_2
+XPHY_26184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47165_ _47160_/Y _47165_/B _47165_/C VGND VGND VPWR VPWR _47165_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_220_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_71429_ _71254_/X _71417_/Y _71419_/Y _71428_/X VGND VGND VPWR VPWR _42604_/B sky130_fd_sc_hd__o22a_4
+XFILLER_158_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_122_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_185_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44377_ _44377_/A VGND VGND VPWR VPWR _44390_/C sky130_fd_sc_hd__inv_8
+X_75197_ _75318_/A _75213_/B VGND VGND VPWR VPWR _75197_/X sky130_fd_sc_hd__or2_2
+XFILLER_496_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_203_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_658_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_400_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41589_ _41589_/A _41588_/Y VGND VGND VPWR VPWR _79579_/D sky130_fd_sc_hd__nand2_2
+XPHY_35984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_8_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_654_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46116_ _46116_/A _46116_/B VGND VGND VPWR VPWR _46116_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43328_ _42967_/A _43313_/X VGND VGND VPWR VPWR _43328_/X sky130_fd_sc_hd__or2_2
+XFILLER_158_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74148_ _74165_/A _73998_/B _74148_/C VGND VGND VPWR VPWR _74149_/B sky130_fd_sc_hd__nand3_2
+X_62162_ _62162_/A _61850_/X VGND VGND VPWR VPWR _62162_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_294_2443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47096_ _55855_/A _47095_/Y VGND VGND VPWR VPWR _78910_/D sky130_fd_sc_hd__nor2_2
+XFILLER_551_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_160_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_517_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61113_ _59067_/X VGND VGND VPWR VPWR _61113_/X sky130_fd_sc_hd__buf_1
+XPHY_24782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46047_ _42457_/A _45657_/X _76678_/Q VGND VGND VPWR VPWR _46047_/X sky130_fd_sc_hd__or3_2
+XPHY_24793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43259_ _42999_/A _43248_/B _43259_/C VGND VGND VPWR VPWR _43260_/B sky130_fd_sc_hd__nand3_2
+XFILLER_220_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66970_ _66970_/A _66803_/X VGND VGND VPWR VPWR _66970_/Y sky130_fd_sc_hd__nor2_2
+X_62093_ _62093_/A _61469_/B VGND VGND VPWR VPWR _62093_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_361_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74079_ _74079_/A VGND VGND VPWR VPWR _76155_/A sky130_fd_sc_hd__buf_1
+XFILLER_31_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78956_ _78897_/CLK _46788_/Y VGND VGND VPWR VPWR _78956_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_452_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_114_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65921_ _65921_/A _65603_/B VGND VGND VPWR VPWR _65922_/C sky130_fd_sc_hd__nor2_2
+X_61044_ _60889_/A _61044_/B _61044_/C VGND VGND VPWR VPWR _61045_/B sky130_fd_sc_hd__nor3_2
+XFILLER_64_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77907_ _77903_/CLK _77907_/D VGND VGND VPWR VPWR _51063_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_649_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_550_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_632_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78887_ _78890_/CLK _47200_/Y VGND VGND VPWR VPWR _46414_/B sky130_fd_sc_hd__dfxtp_4
+XPHY_13580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_411_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_151_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49806_ _49839_/A _49822_/B VGND VGND VPWR VPWR _49807_/B sky130_fd_sc_hd__or2_2
+X_68640_ _68475_/A _68636_/Y _68640_/C VGND VGND VPWR VPWR _68651_/B sky130_fd_sc_hd__nor3_2
+XFILLER_664_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65852_ _67498_/A VGND VGND VPWR VPWR _66515_/A sky130_fd_sc_hd__buf_1
+X_77838_ _77854_/CLK _51334_/Y VGND VGND VPWR VPWR _60709_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_25_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_330_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47998_ _48060_/A _48006_/B VGND VGND VPWR VPWR _47999_/B sky130_fd_sc_hd__or2_2
+XPHY_12890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64803_ _64322_/X VGND VGND VPWR VPWR _64804_/B sky130_fd_sc_hd__buf_1
+XFILLER_430_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49737_ _78260_/Q _49736_/X VGND VGND VPWR VPWR _49737_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_151_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_214_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68571_ _68571_/A _68396_/B VGND VGND VPWR VPWR _68571_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_86_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46949_ _46917_/A _46947_/Y _46949_/C VGND VGND VPWR VPWR _46950_/B sky130_fd_sc_hd__or3_2
+XFILLER_661_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65783_ _65950_/A _65783_/B _65783_/C VGND VGND VPWR VPWR _65784_/C sky130_fd_sc_hd__nor3_2
+XFILLER_234_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_387_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77769_ _77769_/CLK _51605_/Y VGND VGND VPWR VPWR _77769_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_268_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62995_ _62979_/Y _62994_/Y VGND VGND VPWR VPWR _62995_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_64_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_42_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_249_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_490_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67522_ _66699_/A VGND VGND VPWR VPWR _68061_/A sky130_fd_sc_hd__buf_1
+XFILLER_485_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79508_ _79506_/CLK _42170_/Y VGND VGND VPWR VPWR _79508_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_228_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_633_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64734_ _65255_/A _64734_/B _64733_/Y VGND VGND VPWR VPWR _64735_/B sky130_fd_sc_hd__nor3_2
+XFILLER_528_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61946_ _61793_/A _61944_/Y _61945_/Y VGND VGND VPWR VPWR _61946_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_256_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80780_ _80844_/CLK _80780_/D VGND VGND VPWR VPWR _75072_/C sky130_fd_sc_hd__dfxtp_4
+X_49668_ _49817_/A VGND VGND VPWR VPWR _49699_/A sky130_fd_sc_hd__buf_1
+XFILLER_561_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_23_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_288_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_481_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39421_ _39419_/X _39420_/Y VGND VGND VPWR VPWR _80131_/D sky130_fd_sc_hd__nand2_2
+XFILLER_604_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_307_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48619_ _48616_/Y _48618_/X VGND VGND VPWR VPWR _78547_/D sky130_fd_sc_hd__nand2_2
+XFILLER_58_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67453_ _64959_/A VGND VGND VPWR VPWR _67453_/X sky130_fd_sc_hd__buf_1
+X_79439_ _79439_/CLK _42902_/Y VGND VGND VPWR VPWR _58558_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_212_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64665_ _64665_/A _69409_/B VGND VGND VPWR VPWR _64665_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_266_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61877_ _59049_/A VGND VGND VPWR VPWR _61877_/X sky130_fd_sc_hd__buf_1
+X_49599_ _49629_/A _49596_/B VGND VGND VPWR VPWR _49600_/B sky130_fd_sc_hd__or2_2
+XFILLER_626_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_209_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66404_ _66726_/A _66402_/Y _66403_/Y VGND VGND VPWR VPWR _66405_/C sky130_fd_sc_hd__nor3_2
+XFILLER_286_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39352_ _39226_/A _39340_/X VGND VGND VPWR VPWR _39352_/X sky130_fd_sc_hd__or2_2
+X_51630_ _51627_/Y _51630_/B VGND VGND VPWR VPWR _77762_/D sky130_fd_sc_hd__nand2_2
+X_63616_ _63478_/A _63616_/B _63616_/C VGND VGND VPWR VPWR _63620_/B sky130_fd_sc_hd__nor3_2
+Xclkbuf_2_1_3_u_core.clock clkbuf_2_1_2_u_core.clock/X VGND VGND VPWR VPWR clkbuf_2_1_3_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_643_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_600_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_60828_ _60828_/A _60828_/B _60828_/C VGND VGND VPWR VPWR _60832_/B sky130_fd_sc_hd__nor3_2
+X_67384_ _66900_/A _67384_/B _67383_/Y VGND VGND VPWR VPWR _67384_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_209_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64596_ _64596_/A _69312_/B VGND VGND VPWR VPWR _64597_/C sky130_fd_sc_hd__nor2_2
+XFILLER_346_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_342_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_149_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_598_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38303_ _38285_/A VGND VGND VPWR VPWR _38305_/A sky130_fd_sc_hd__buf_1
+X_69123_ _39391_/C _68825_/B VGND VGND VPWR VPWR _69123_/Y sky130_fd_sc_hd__nor2_2
+X_81401_ _81366_/CLK _72551_/Y VGND VGND VPWR VPWR _81401_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_71_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66335_ _80026_/Q _65828_/X VGND VGND VPWR VPWR _66336_/C sky130_fd_sc_hd__nor2_2
+XFILLER_397_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39283_ _39138_/A _39283_/B VGND VGND VPWR VPWR _39285_/A sky130_fd_sc_hd__or2_2
+X_51561_ _77779_/Q _51555_/X VGND VGND VPWR VPWR _51561_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_51_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_405_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63547_ _63809_/A _63547_/B _63547_/C VGND VGND VPWR VPWR _63547_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_422_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60759_ _60135_/A _60755_/Y _60758_/Y VGND VGND VPWR VPWR _60760_/C sky130_fd_sc_hd__nor3_2
+XFILLER_36_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_1_0_u_core.clock clkbuf_5_0_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_3_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_497_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_184_1588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53300_ _53298_/Y _53299_/X VGND VGND VPWR VPWR _53300_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_694_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38234_ _38232_/X _38233_/Y VGND VGND VPWR VPWR _80429_/D sky130_fd_sc_hd__nand2_2
+XFILLER_127_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50512_ _50426_/X _50499_/X VGND VGND VPWR VPWR _50512_/X sky130_fd_sc_hd__or2_2
+XFILLER_672_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69054_ _68887_/X _69046_/Y _69054_/C VGND VGND VPWR VPWR _69070_/A sky130_fd_sc_hd__nor3_2
+XFILLER_498_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81332_ _81306_/CLK _72813_/Y VGND VGND VPWR VPWR _81332_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_676_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54280_ _77061_/Q _54262_/B VGND VGND VPWR VPWR _54280_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_250_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66266_ _66266_/A _65765_/B VGND VGND VPWR VPWR _66266_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_362_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_546_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51492_ _51490_/Y _51491_/X VGND VGND VPWR VPWR _51492_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_52_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63478_ _63478_/A _63478_/B _63477_/Y VGND VGND VPWR VPWR _63478_/Y sky130_fd_sc_hd__nor3_2
+XPHY_1519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_384_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_203_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68005_ _67667_/A _68005_/B _68005_/C VGND VGND VPWR VPWR _68006_/C sky130_fd_sc_hd__nor3_2
+XFILLER_539_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53231_ _53247_/B VGND VGND VPWR VPWR _53238_/B sky130_fd_sc_hd__buf_1
+XFILLER_301_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65217_ _65881_/A _65217_/B _65216_/Y VGND VGND VPWR VPWR _65222_/B sky130_fd_sc_hd__nor3_2
+XFILLER_225_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50443_ _50433_/X VGND VGND VPWR VPWR _50443_/X sky130_fd_sc_hd__buf_1
+XFILLER_10_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62429_ _62429_/A _62119_/B VGND VGND VPWR VPWR _62429_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_492_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81263_ _81263_/CLK _73104_/Y VGND VGND VPWR VPWR _64223_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_500_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66197_ _66197_/A VGND VGND VPWR VPWR _66684_/B sky130_fd_sc_hd__buf_1
+XFILLER_479_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_574_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_7_57_0_u_core.clock clkbuf_7_57_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_57_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_539_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80214_ _80226_/CLK _39076_/Y VGND VGND VPWR VPWR _80214_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_516_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53162_ _53162_/A _53162_/B VGND VGND VPWR VPWR _77354_/D sky130_fd_sc_hd__nand2_2
+XFILLER_692_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65148_ _64802_/A _65140_/Y _65148_/C VGND VGND VPWR VPWR _65148_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_326_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50374_ _50371_/Y _50373_/X VGND VGND VPWR VPWR _50374_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_277_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_14_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81194_ _81513_/CLK _73405_/Y VGND VGND VPWR VPWR _81194_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_195_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_277_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_371_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_163_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_453_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_380_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52113_ _52057_/A _52113_/B VGND VGND VPWR VPWR _52114_/B sky130_fd_sc_hd__or2_2
+XPHY_22109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_689_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_277_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80145_ _80144_/CLK _39367_/Y VGND VGND VPWR VPWR _56517_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57970_ _57970_/A _57970_/B _57969_/Y VGND VGND VPWR VPWR _57979_/A sky130_fd_sc_hd__nor3_2
+X_53093_ _53093_/A _53081_/X VGND VGND VPWR VPWR _53093_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_156_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65079_ _80883_/Q _64750_/B VGND VGND VPWR VPWR _65081_/B sky130_fd_sc_hd__nor2_2
+X_69956_ _69956_/A _70150_/A _69955_/Y VGND VGND VPWR VPWR _69957_/A sky130_fd_sc_hd__or3_2
+XFILLER_703_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_451_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_568_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_435_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_706_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52044_ _52044_/A _52059_/B VGND VGND VPWR VPWR _52044_/X sky130_fd_sc_hd__or2_2
+X_56921_ _56255_/X VGND VGND VPWR VPWR _57160_/B sky130_fd_sc_hd__buf_1
+XPHY_9816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68907_ _68887_/X _68899_/Y _68907_/C VGND VGND VPWR VPWR _68925_/A sky130_fd_sc_hd__nor3_2
+XPHY_21419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_216_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80076_ _80109_/CLK _80076_/D VGND VGND VPWR VPWR _58668_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_334_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69887_ _69886_/X VGND VGND VPWR VPWR _42453_/A sky130_fd_sc_hd__buf_1
+XFILLER_336_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_451_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_173_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59640_ _59640_/A _59638_/Y _59640_/C VGND VGND VPWR VPWR _59644_/B sky130_fd_sc_hd__nor3_2
+XFILLER_305_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_1619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_78_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56852_ _56852_/A _56679_/B VGND VGND VPWR VPWR _56852_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_494_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68838_ _68670_/A _68838_/B _68838_/C VGND VGND VPWR VPWR _68839_/B sky130_fd_sc_hd__nor3_2
+XPHY_20729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38998_ _39012_/A _38998_/B _80235_/Q VGND VGND VPWR VPWR _38998_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_271_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_142_0_u_core.clock clkbuf_7_71_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_285_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_134_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55803_ _55801_/Y _55803_/B VGND VGND VPWR VPWR _55803_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_722_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_3050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59571_ _77255_/Q _59571_/B VGND VGND VPWR VPWR _59571_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_448_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_566_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56783_ _56465_/X _56781_/Y _56783_/C VGND VGND VPWR VPWR _56783_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_144_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68769_ _68769_/A _69354_/B VGND VGND VPWR VPWR _68772_/B sky130_fd_sc_hd__nor2_2
+XFILLER_189_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_494_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53995_ _59554_/A _53980_/B VGND VGND VPWR VPWR _53997_/A sky130_fd_sc_hd__nand2_2
+XFILLER_265_219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_330_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_549_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_210_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_386_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58522_ _58435_/X _58522_/B _58521_/Y VGND VGND VPWR VPWR _58522_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_287_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_90_0_u_core.clock clkbuf_7_45_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_90_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_70800_ _70042_/Y _70799_/X VGND VGND VPWR VPWR _70804_/A sky130_fd_sc_hd__or2_2
+XFILLER_562_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_475_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_115_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55734_ _55734_/A _55733_/X VGND VGND VPWR VPWR _55735_/C sky130_fd_sc_hd__nor2_2
+XFILLER_232_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40960_ _40978_/A VGND VGND VPWR VPWR _40975_/B sky130_fd_sc_hd__buf_1
+XFILLER_670_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52946_ _77411_/Q _52941_/X VGND VGND VPWR VPWR _52948_/A sky130_fd_sc_hd__nand2_2
+XFILLER_219_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_449_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71780_ _71780_/A VGND VGND VPWR VPWR _71781_/B sky130_fd_sc_hd__inv_8
+XFILLER_447_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80978_ _80969_/CLK _74266_/Y VGND VGND VPWR VPWR _74265_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_464_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_149_0_u_core.clock clkbuf_8_74_0_u_core.clock/X VGND VGND VPWR VPWR _76882_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_463_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_249_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_365_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_210_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_347_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_523_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39619_ _39615_/X _39618_/Y VGND VGND VPWR VPWR _80078_/D sky130_fd_sc_hd__nand2_2
+XPHY_19204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58453_ _58453_/A _58531_/B VGND VGND VPWR VPWR _58453_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_423_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70731_ _70730_/X VGND VGND VPWR VPWR _70745_/B sky130_fd_sc_hd__inv_8
+XFILLER_566_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55665_ _55665_/A _55664_/Y VGND VGND VPWR VPWR _76794_/D sky130_fd_sc_hd__or2_2
+XFILLER_651_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40891_ _40891_/A _40888_/B VGND VGND VPWR VPWR _40891_/X sky130_fd_sc_hd__or2_2
+XFILLER_100_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52877_ _52902_/B VGND VGND VPWR VPWR _52892_/B sky130_fd_sc_hd__buf_1
+Xclkbuf_8_37_0_u_core.clock clkbuf_8_37_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_75_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_6_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_445_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57404_ _57567_/A _57404_/B _57403_/Y VGND VGND VPWR VPWR _57404_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_490_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42630_ _42628_/X _42629_/X _70413_/Y _42496_/X VGND VGND VPWR VPWR _42630_/X sky130_fd_sc_hd__o22a_4
+X_54616_ _54645_/A _54616_/B VGND VGND VPWR VPWR _54617_/B sky130_fd_sc_hd__or2_2
+X_73450_ _73448_/Y _73449_/X VGND VGND VPWR VPWR _73450_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_2_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51828_ _51708_/A _51849_/B VGND VGND VPWR VPWR _51829_/B sky130_fd_sc_hd__or2_2
+XFILLER_462_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70662_ _70662_/A VGND VGND VPWR VPWR _70662_/X sky130_fd_sc_hd__buf_1
+X_58384_ _68615_/A _58225_/X VGND VGND VPWR VPWR _58386_/B sky130_fd_sc_hd__nor2_2
+XFILLER_605_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55596_ _55341_/A VGND VGND VPWR VPWR _55682_/A sky130_fd_sc_hd__buf_1
+XFILLER_163_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_699_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_265_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_148_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_505_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_423_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72401_ _72399_/X _72400_/Y VGND VGND VPWR VPWR _81441_/D sky130_fd_sc_hd__nand2_2
+XFILLER_406_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_497_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_360_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57335_ _39689_/C _57569_/B VGND VGND VPWR VPWR _57336_/C sky130_fd_sc_hd__nor2_2
+XFILLER_128_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42561_ _42561_/A _42561_/B _42560_/Y VGND VGND VPWR VPWR _42561_/Y sky130_fd_sc_hd__nor3_2
+X_54547_ _54661_/A _54535_/B VGND VGND VPWR VPWR _54548_/B sky130_fd_sc_hd__or2_2
+X_73381_ _73387_/A _73381_/B _64220_/A VGND VGND VPWR VPWR _73383_/A sky130_fd_sc_hd__nand3_2
+XFILLER_223_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51759_ _51729_/A _51753_/B VGND VGND VPWR VPWR _51760_/B sky130_fd_sc_hd__or2_2
+XFILLER_442_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70593_ _70593_/A _70593_/B VGND VGND VPWR VPWR _70593_/X sky130_fd_sc_hd__or2_2
+XFILLER_54_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44300_ _44068_/X _44300_/B _44300_/C VGND VGND VPWR VPWR _44301_/C sky130_fd_sc_hd__nor3_2
+XPHY_3466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75120_ _75120_/A _75120_/B _80768_/Q VGND VGND VPWR VPWR _75120_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_497_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_403_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_241_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41512_ _75303_/A _40880_/A VGND VGND VPWR VPWR _41512_/X sky130_fd_sc_hd__or2_2
+XPHY_35214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72332_ _72330_/X _72331_/Y VGND VGND VPWR VPWR _72332_/Y sky130_fd_sc_hd__nand2_2
+XPHY_3477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45280_ _45025_/X _45280_/B VGND VGND VPWR VPWR _45288_/B sky130_fd_sc_hd__nor2_2
+XFILLER_186_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57266_ _57665_/A VGND VGND VPWR VPWR _57495_/B sky130_fd_sc_hd__buf_1
+XFILLER_701_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54478_ _54476_/Y _54477_/X VGND VGND VPWR VPWR _54478_/Y sky130_fd_sc_hd__nand2_2
+XPHY_17868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42492_ _79482_/Q _42472_/X VGND VGND VPWR VPWR _42503_/B sky130_fd_sc_hd__nor2_2
+XFILLER_694_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59005_ _54578_/A _59005_/B VGND VGND VPWR VPWR _59005_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_718_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56217_ _56229_/A VGND VGND VPWR VPWR _56322_/A sky130_fd_sc_hd__buf_1
+X_44231_ _44263_/A _44231_/B VGND VGND VPWR VPWR _79250_/D sky130_fd_sc_hd__nor2_2
+XPHY_2776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_518_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75051_ _75051_/A _75038_/B _64715_/A VGND VGND VPWR VPWR _75051_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_87_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53429_ _77286_/Q _53426_/B VGND VGND VPWR VPWR _53429_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_35_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41443_ _41440_/A _41440_/B _67606_/A VGND VGND VPWR VPWR _41443_/Y sky130_fd_sc_hd__nand3_2
+XPHY_35269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72263_ _72263_/A _72263_/B _66632_/A VGND VGND VPWR VPWR _72263_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_592_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_655_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57197_ _66153_/A _57118_/B VGND VGND VPWR VPWR _57197_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_354_3005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_556_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74002_ _74167_/A _73998_/B VGND VGND VPWR VPWR _74002_/X sky130_fd_sc_hd__or2_2
+XFILLER_395_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_278_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71214_ _71735_/A _71214_/B _71213_/Y VGND VGND VPWR VPWR _71214_/Y sky130_fd_sc_hd__nor3_2
+XPHY_33823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44162_ _44229_/A _44167_/A VGND VGND VPWR VPWR _44162_/X sky130_fd_sc_hd__and2_2
+XFILLER_100_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56148_ _45241_/A _56121_/X VGND VGND VPWR VPWR _56149_/B sky130_fd_sc_hd__nor2_2
+XPHY_24045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_48_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41374_ _41374_/A _41384_/B _65306_/A VGND VGND VPWR VPWR _41375_/B sky130_fd_sc_hd__nand3_2
+XFILLER_636_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72194_ _72862_/A VGND VGND VPWR VPWR _72195_/A sky130_fd_sc_hd__buf_1
+XFILLER_259_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_70_0_u_core.clock clkbuf_9_71_0_u_core.clock/A VGND VGND VPWR VPWR _76768_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_23333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43113_ _43113_/A _43107_/A _43113_/C _43113_/D VGND VGND VPWR VPWR _43114_/C sky130_fd_sc_hd__or4_2
+XFILLER_690_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78810_ _78794_/CLK _78810_/D VGND VGND VPWR VPWR _63781_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_33867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40325_ _40325_/A VGND VGND VPWR VPWR _40326_/B sky130_fd_sc_hd__buf_1
+XFILLER_538_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71145_ _71145_/A _71145_/B VGND VGND VPWR VPWR _71145_/X sky130_fd_sc_hd__and2_2
+XFILLER_393_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_393_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48970_ _48999_/A _48967_/B VGND VGND VPWR VPWR _48970_/X sky130_fd_sc_hd__or2_2
+X_44093_ _44093_/A _44057_/Y VGND VGND VPWR VPWR _44094_/C sky130_fd_sc_hd__or2_2
+XFILLER_272_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56079_ _56096_/A _56075_/Y _56079_/C VGND VGND VPWR VPWR wbs_dat_o[15] sky130_fd_sc_hd__nor3_2
+XFILLER_143_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79790_ _80013_/CLK _40765_/Y VGND VGND VPWR VPWR _58793_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_256_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_87_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_332_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47921_ _47921_/A _47921_/B VGND VGND VPWR VPWR _47921_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59907_ _60069_/A _59907_/B _59907_/C VGND VGND VPWR VPWR _59911_/B sky130_fd_sc_hd__nor3_2
+X_43044_ _43044_/A VGND VGND VPWR VPWR _43044_/X sky130_fd_sc_hd__buf_1
+X_78741_ _78741_/CLK _78741_/D VGND VGND VPWR VPWR _78741_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_12120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40256_ _40254_/X _40256_/B VGND VGND VPWR VPWR _40256_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_291_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_17_0_u_core.clock clkbuf_8_8_0_u_core.clock/X VGND VGND VPWR VPWR _78608_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_75953_ _75949_/X _75952_/Y VGND VGND VPWR VPWR _75953_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71076_ _70121_/X _71074_/X VGND VGND VPWR VPWR _71076_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_469_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_139_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_237_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74904_ _74901_/A _74901_/B _66063_/A VGND VGND VPWR VPWR _74904_/Y sky130_fd_sc_hd__nand3_2
+X_70027_ _42473_/A _70026_/Y VGND VGND VPWR VPWR _70027_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_662_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47852_ _47852_/A _47851_/X VGND VGND VPWR VPWR _47852_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59838_ _59838_/A _59834_/Y _59838_/C VGND VGND VPWR VPWR _59838_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_340_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78672_ _78121_/CLK _78672_/D VGND VGND VPWR VPWR _78672_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_332_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40187_ _40205_/A VGND VGND VPWR VPWR _40202_/A sky130_fd_sc_hd__buf_1
+XFILLER_313_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_237_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75884_ _75884_/A _75881_/B _67221_/A VGND VGND VPWR VPWR _75885_/B sky130_fd_sc_hd__nand3_2
+XPHY_11441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_567_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_215_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46803_ _46771_/A VGND VGND VPWR VPWR _46803_/X sky130_fd_sc_hd__buf_1
+XPHY_11463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77623_ _77639_/CLK _77623_/D VGND VGND VPWR VPWR _77623_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_211_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_215_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_68_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74835_ _74872_/A VGND VGND VPWR VPWR _74835_/X sky130_fd_sc_hd__buf_1
+XFILLER_489_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47783_ _47783_/A _47783_/B VGND VGND VPWR VPWR _78758_/D sky130_fd_sc_hd__nand2_2
+XFILLER_584_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59769_ _59769_/A _59446_/B VGND VGND VPWR VPWR _59770_/C sky130_fd_sc_hd__nor2_2
+XFILLER_152_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44995_ _44939_/X _44995_/B VGND VGND VPWR VPWR _44995_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_662_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_172_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_662_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61800_ _59889_/A VGND VGND VPWR VPWR _61801_/B sky130_fd_sc_hd__buf_1
+XFILLER_4_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49522_ _49638_/A _49522_/B VGND VGND VPWR VPWR _49523_/B sky130_fd_sc_hd__or2_2
+XFILLER_666_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_204_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_485_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_265_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46734_ _46738_/A VGND VGND VPWR VPWR _46737_/B sky130_fd_sc_hd__buf_1
+XFILLER_662_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77554_ _78070_/CLK _77554_/D VGND VGND VPWR VPWR _52409_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_211_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43946_ _43714_/B VGND VGND VPWR VPWR _43956_/A sky130_fd_sc_hd__inv_8
+XFILLER_567_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62780_ _59340_/A VGND VGND VPWR VPWR _63401_/B sky130_fd_sc_hd__buf_1
+XPHY_10784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74766_ _74720_/A VGND VGND VPWR VPWR _74767_/B sky130_fd_sc_hd__buf_1
+XFILLER_463_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71978_ _45645_/A VGND VGND VPWR VPWR _75566_/A sky130_fd_sc_hd__inv_8
+XFILLER_20_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76505_ VGND VGND VPWR VPWR _76505_/HI io_out[35] sky130_fd_sc_hd__conb_1
+XFILLER_267_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61731_ _60163_/A VGND VGND VPWR VPWR _61732_/B sky130_fd_sc_hd__buf_1
+X_49453_ _49367_/X _49428_/A VGND VGND VPWR VPWR _49453_/X sky130_fd_sc_hd__or2_2
+X_73717_ _73720_/A _73720_/B _65751_/A VGND VGND VPWR VPWR _73717_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_428_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_481_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46665_ _46665_/A _46664_/X VGND VGND VPWR VPWR _46666_/C sky130_fd_sc_hd__nor2_2
+XFILLER_695_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70929_ _70566_/X _70575_/X _70918_/Y _70928_/Y VGND VGND VPWR VPWR _71580_/A sky130_fd_sc_hd__o22a_4
+XFILLER_168_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77485_ _77434_/CLK _52669_/Y VGND VGND VPWR VPWR _60465_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_351_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_252_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43877_ _43877_/A _43883_/A VGND VGND VPWR VPWR _43877_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_40_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74697_ _74720_/A VGND VGND VPWR VPWR _74716_/B sky130_fd_sc_hd__buf_1
+XFILLER_481_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_527_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_224_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48404_ _48395_/A VGND VGND VPWR VPWR _48411_/B sky130_fd_sc_hd__buf_1
+XFILLER_361_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79224_ _81211_/CLK _44505_/Y VGND VGND VPWR VPWR _44500_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_0_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45616_ _45537_/X VGND VGND VPWR VPWR _45617_/B sky130_fd_sc_hd__buf_1
+X_64450_ _64438_/A VGND VGND VPWR VPWR _66222_/A sky130_fd_sc_hd__buf_1
+X_76436_ _38317_/A _76433_/B VGND VGND VPWR VPWR _76438_/A sky130_fd_sc_hd__or2_2
+XFILLER_608_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_543_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42828_ _45102_/B _42827_/X VGND VGND VPWR VPWR _42829_/A sky130_fd_sc_hd__or2_2
+XFILLER_94_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73648_ _73646_/X _73647_/Y VGND VGND VPWR VPWR _73648_/Y sky130_fd_sc_hd__nand2_2
+X_49384_ _62430_/A _49372_/X VGND VGND VPWR VPWR _49384_/Y sky130_fd_sc_hd__nand2_2
+X_61662_ _77812_/Q _61662_/B VGND VGND VPWR VPWR _61665_/B sky130_fd_sc_hd__nor2_2
+XFILLER_252_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46596_ _78994_/Q _46570_/A VGND VGND VPWR VPWR _46596_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_656_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_3077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_248_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_504_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63401_ _76761_/Q _63401_/B VGND VGND VPWR VPWR _63402_/C sky130_fd_sc_hd__nor2_2
+XFILLER_18_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48335_ _47820_/A _48335_/B VGND VGND VPWR VPWR _54105_/A sky130_fd_sc_hd__or2_2
+X_60613_ _60135_/A _60613_/B _60612_/Y VGND VGND VPWR VPWR _60614_/C sky130_fd_sc_hd__nor3_2
+XFILLER_378_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79155_ _79163_/CLK _45456_/Y VGND VGND VPWR VPWR _70616_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_567_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_146_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_185_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45547_ _71034_/A _45554_/B VGND VGND VPWR VPWR _45548_/C sky130_fd_sc_hd__nor2_2
+XPHY_37150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64381_ _64309_/A VGND VGND VPWR VPWR _68231_/A sky130_fd_sc_hd__buf_1
+XFILLER_307_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76367_ _76403_/A VGND VGND VPWR VPWR _76382_/B sky130_fd_sc_hd__buf_1
+XFILLER_476_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42759_ _42703_/A VGND VGND VPWR VPWR _42760_/B sky130_fd_sc_hd__buf_1
+XFILLER_233_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_596_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61593_ _61758_/A _61588_/Y _61593_/C VGND VGND VPWR VPWR _61604_/B sky130_fd_sc_hd__nor3_2
+XFILLER_410_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_578_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73579_ _73589_/A _73568_/B _81148_/Q VGND VGND VPWR VPWR _73579_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_441_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_37_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_568_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_178_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66120_ _66120_/A _65949_/B VGND VGND VPWR VPWR _66121_/C sky130_fd_sc_hd__nor2_2
+XFILLER_33_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78106_ _78114_/CLK _50323_/Y VGND VGND VPWR VPWR _62513_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_621_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63332_ _63332_/A _63169_/X VGND VGND VPWR VPWR _63333_/C sky130_fd_sc_hd__nor2_2
+X_75318_ _75318_/A _75317_/X VGND VGND VPWR VPWR _75321_/A sky130_fd_sc_hd__or2_2
+XFILLER_504_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48266_ _48264_/Y _48266_/B VGND VGND VPWR VPWR _48266_/Y sky130_fd_sc_hd__nand2_2
+X_60544_ _60544_/A _60544_/B VGND VGND VPWR VPWR _60544_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_324_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79086_ _79051_/CLK _79086_/D VGND VGND VPWR VPWR _45859_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_222_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_18_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45478_ _45471_/A _45472_/Y _45477_/Y VGND VGND VPWR VPWR _45478_/Y sky130_fd_sc_hd__nor3_2
+X_76298_ _76280_/A VGND VGND VPWR VPWR _76299_/A sky130_fd_sc_hd__buf_1
+XFILLER_320_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_500_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_105_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_657_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47217_ _47102_/B VGND VGND VPWR VPWR _47218_/B sky130_fd_sc_hd__inv_8
+X_66051_ _66051_/A VGND VGND VPWR VPWR _66716_/A sky130_fd_sc_hd__buf_1
+XFILLER_583_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78037_ _77540_/CLK _78037_/D VGND VGND VPWR VPWR _61723_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_716_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44429_ _44419_/B _44419_/C VGND VGND VPWR VPWR _44430_/B sky130_fd_sc_hd__nor2_2
+X_75249_ _75249_/A _75246_/B VGND VGND VPWR VPWR _75249_/X sky130_fd_sc_hd__or2_2
+XFILLER_657_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63263_ _63263_/A _63263_/B VGND VGND VPWR VPWR _63263_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_207_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48197_ _48284_/A _48171_/X VGND VGND VPWR VPWR _48197_/X sky130_fd_sc_hd__or2_2
+X_60475_ _60315_/A _60475_/B _60475_/C VGND VGND VPWR VPWR _60475_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_536_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_458_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_637_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_308_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_347_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65002_ _80050_/Q _65179_/B VGND VGND VPWR VPWR _65004_/B sky130_fd_sc_hd__nor2_2
+XFILLER_564_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_556_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62214_ _62214_/A _62213_/Y VGND VGND VPWR VPWR _62214_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_192_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_308_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47148_ _46331_/B _47153_/A VGND VGND VPWR VPWR _47149_/C sky130_fd_sc_hd__nor2_2
+XFILLER_174_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63194_ _63039_/A _63194_/B _63193_/Y VGND VGND VPWR VPWR _63198_/B sky130_fd_sc_hd__nor3_2
+XFILLER_651_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_105_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_419_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_145_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_362_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69810_ _55897_/B _69810_/B _69805_/Y _69809_/X VGND VGND VPWR VPWR _69811_/C sky130_fd_sc_hd__nor4_2
+XFILLER_334_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62145_ _61830_/X _62143_/Y _62144_/Y VGND VGND VPWR VPWR _62145_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_537_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_712_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39970_ _39970_/A _39957_/X VGND VGND VPWR VPWR _39970_/X sky130_fd_sc_hd__or2_2
+XFILLER_179_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47079_ _71988_/A _47064_/B VGND VGND VPWR VPWR _47079_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_174_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_689_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79988_ _80147_/CLK _79988_/D VGND VGND VPWR VPWR _79988_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_526_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38921_ _38921_/A VGND VGND VPWR VPWR _38926_/A sky130_fd_sc_hd__buf_1
+XFILLER_288_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69741_ _79169_/Q VGND VGND VPWR VPWR _42133_/A sky130_fd_sc_hd__buf_1
+XFILLER_710_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50090_ _52001_/A _50061_/X VGND VGND VPWR VPWR _50091_/A sky130_fd_sc_hd__or2_2
+X_62076_ _78191_/Q _61918_/B VGND VGND VPWR VPWR _62076_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_290_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66953_ _66295_/X _66953_/B _66952_/Y VGND VGND VPWR VPWR _66953_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_678_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78939_ _78940_/CLK _78939_/D VGND VGND VPWR VPWR _46849_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_700_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_138_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_192_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_154_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_114_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65904_ _66562_/A _65900_/Y _65903_/Y VGND VGND VPWR VPWR _65913_/B sky130_fd_sc_hd__nor3_2
+X_61027_ _77848_/Q _60398_/B VGND VGND VPWR VPWR _61028_/C sky130_fd_sc_hd__nor2_2
+XFILLER_64_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38852_ _38850_/X _38852_/B VGND VGND VPWR VPWR _38852_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_353_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69672_ _72984_/B VGND VGND VPWR VPWR _69673_/B sky130_fd_sc_hd__inv_8
+XFILLER_82_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_233_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_628_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66884_ _66884_/A VGND VGND VPWR VPWR _67212_/B sky130_fd_sc_hd__buf_1
+XFILLER_569_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80901_ _81004_/CLK _74584_/Y VGND VGND VPWR VPWR _80901_/Q sky130_fd_sc_hd__dfxtp_4
+X_68623_ _58393_/A _68131_/B VGND VGND VPWR VPWR _68624_/C sky130_fd_sc_hd__nor2_2
+XFILLER_288_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65835_ _65835_/A _66499_/B VGND VGND VPWR VPWR _65835_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_303_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_25_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38783_ _38783_/A VGND VGND VPWR VPWR _38798_/B sky130_fd_sc_hd__buf_1
+XFILLER_331_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_186_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_151_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_268_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_288_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_445_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52800_ _52800_/A _52804_/B VGND VGND VPWR VPWR _52800_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_266_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_114_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_648_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80832_ _80862_/CLK _74879_/Y VGND VGND VPWR VPWR _80832_/Q sky130_fd_sc_hd__dfxtp_4
+X_68554_ _38253_/C _68554_/B VGND VGND VPWR VPWR _68557_/B sky130_fd_sc_hd__nor2_2
+XFILLER_652_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53780_ _53631_/A VGND VGND VPWR VPWR _53841_/A sky130_fd_sc_hd__buf_1
+XFILLER_626_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65766_ _65766_/A _65766_/B _65765_/Y VGND VGND VPWR VPWR _65766_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_348_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_231_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50992_ _50992_/A _50991_/X VGND VGND VPWR VPWR _50992_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_96_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62978_ _62969_/X _62974_/Y _62977_/Y VGND VGND VPWR VPWR _62978_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_210_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_721_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_266_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67505_ _67186_/A _67505_/B _67505_/C VGND VGND VPWR VPWR _67509_/B sky130_fd_sc_hd__nor3_2
+XFILLER_468_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52731_ _52609_/X _52752_/B VGND VGND VPWR VPWR _52732_/B sky130_fd_sc_hd__or2_2
+XFILLER_309_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64717_ _65045_/A _64717_/B _64716_/Y VGND VGND VPWR VPWR _64717_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_563_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61929_ _61929_/A _61929_/B VGND VGND VPWR VPWR _61929_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_633_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80763_ _80766_/CLK _75139_/Y VGND VGND VPWR VPWR _80763_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_544_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68485_ _65654_/A VGND VGND VPWR VPWR _68488_/A sky130_fd_sc_hd__buf_1
+XFILLER_285_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_227_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_5_7_0_u_core.clock clkbuf_5_7_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_5_7_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_83_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65697_ _66194_/A _65694_/Y _65697_/C VGND VGND VPWR VPWR _65701_/B sky130_fd_sc_hd__nor3_2
+XFILLER_465_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_578_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_541_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39404_ _39138_/A _39401_/B VGND VGND VPWR VPWR _39404_/X sky130_fd_sc_hd__or2_2
+XFILLER_661_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_661_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67436_ _64174_/A VGND VGND VPWR VPWR _67942_/B sky130_fd_sc_hd__buf_1
+XFILLER_58_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55450_ _55401_/A VGND VGND VPWR VPWR _55451_/B sky130_fd_sc_hd__buf_1
+XFILLER_444_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_420_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52662_ _52718_/A _52665_/B VGND VGND VPWR VPWR _52663_/B sky130_fd_sc_hd__or2_2
+X_64648_ _64641_/X _64644_/Y _64648_/C VGND VGND VPWR VPWR _64649_/C sky130_fd_sc_hd__nor3_2
+XFILLER_460_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_55_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80694_ _80736_/CLK _75398_/Y VGND VGND VPWR VPWR _65562_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_604_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_330_0_u_core.clock clkbuf_9_331_0_u_core.clock/A VGND VGND VPWR VPWR _78599_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_348_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_698_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54401_ _54102_/A VGND VGND VPWR VPWR _54486_/A sky130_fd_sc_hd__buf_1
+XFILLER_604_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39335_ _39327_/X _39331_/X _57166_/A VGND VGND VPWR VPWR _39336_/B sky130_fd_sc_hd__nand3_2
+X_51613_ _51584_/A _51613_/B VGND VGND VPWR VPWR _51614_/B sky130_fd_sc_hd__or2_2
+XFILLER_90_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_19_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55381_ _45241_/A _55312_/X VGND VGND VPWR VPWR _55382_/B sky130_fd_sc_hd__nor2_2
+X_67367_ _67367_/A _67212_/B VGND VGND VPWR VPWR _67367_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_602_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52593_ _52591_/Y _52592_/X VGND VGND VPWR VPWR _77505_/D sky130_fd_sc_hd__nand2_2
+XFILLER_70_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64579_ _64591_/A VGND VGND VPWR VPWR _64579_/X sky130_fd_sc_hd__buf_1
+XFILLER_247_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_307_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_93_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_184_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57120_ _56785_/X _57120_/B _57119_/Y VGND VGND VPWR VPWR _57121_/B sky130_fd_sc_hd__nor3_2
+XFILLER_401_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69106_ _80043_/Q _64262_/X VGND VGND VPWR VPWR _69106_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_227_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54332_ _54332_/A _54332_/B VGND VGND VPWR VPWR _77047_/D sky130_fd_sc_hd__nand2_2
+XPHY_16408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66318_ _74358_/C _65981_/B VGND VGND VPWR VPWR _66320_/B sky130_fd_sc_hd__nor2_2
+XFILLER_342_1540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51544_ _51544_/A _51544_/B VGND VGND VPWR VPWR _51544_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_71_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39266_ _39304_/A VGND VGND VPWR VPWR _39283_/B sky130_fd_sc_hd__buf_1
+XPHY_16419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_691_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_500_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67298_ _66634_/A _67296_/Y _67297_/Y VGND VGND VPWR VPWR _67298_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_412_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_142_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_479_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_715_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38217_ _38217_/A _38217_/B VGND VGND VPWR VPWR _80433_/D sky130_fd_sc_hd__nand2_2
+XFILLER_402_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81315_ _81306_/CLK _72888_/Y VGND VGND VPWR VPWR _72887_/C sky130_fd_sc_hd__dfxtp_4
+X_57051_ _57993_/A VGND VGND VPWR VPWR _57051_/X sky130_fd_sc_hd__buf_1
+XFILLER_197_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69037_ _68717_/A _69037_/B _69037_/C VGND VGND VPWR VPWR _69038_/B sky130_fd_sc_hd__nor3_2
+XFILLER_222_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54263_ _54263_/A _54262_/X VGND VGND VPWR VPWR _54263_/Y sky130_fd_sc_hd__nand2_2
+X_66249_ _66249_/A _66249_/B VGND VGND VPWR VPWR _66250_/B sky130_fd_sc_hd__nor2_2
+XFILLER_149_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_652_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39197_ _76168_/A VGND VGND VPWR VPWR _39198_/A sky130_fd_sc_hd__buf_1
+X_51475_ _51475_/A _51490_/B VGND VGND VPWR VPWR _51475_/X sky130_fd_sc_hd__or2_2
+XFILLER_71_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_573_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_137_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_475_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_418_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_260_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_3095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_390_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56002_ _55998_/X _56002_/B VGND VGND VPWR VPWR _56002_/X sky130_fd_sc_hd__and2_2
+XFILLER_535_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_446_0_u_core.clock clkbuf_9_447_0_u_core.clock/A VGND VGND VPWR VPWR _80157_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_53214_ _53212_/Y _53213_/X VGND VGND VPWR VPWR _77343_/D sky130_fd_sc_hd__nand2_2
+XFILLER_672_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50426_ _50275_/A VGND VGND VPWR VPWR _50426_/X sky130_fd_sc_hd__buf_1
+X_81246_ _81273_/CLK _73192_/Y VGND VGND VPWR VPWR _73189_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_164_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54194_ _54201_/A VGND VGND VPWR VPWR _54210_/B sky130_fd_sc_hd__buf_1
+XFILLER_355_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_574_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_611_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_140_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_513_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53145_ _53156_/A VGND VGND VPWR VPWR _53173_/B sky130_fd_sc_hd__buf_1
+XFILLER_179_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_14_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50357_ _50355_/Y _50356_/X VGND VGND VPWR VPWR _78096_/D sky130_fd_sc_hd__nand2_2
+XFILLER_277_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_453_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81177_ _81206_/CLK _73468_/Y VGND VGND VPWR VPWR _81177_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_152_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_3060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_436_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40110_ _39991_/A _40107_/B VGND VGND VPWR VPWR _40113_/A sky130_fd_sc_hd__or2_2
+XFILLER_136_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_551_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80128_ _80157_/CLK _39432_/Y VGND VGND VPWR VPWR _80128_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_21205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_353_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_65_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41090_ _41090_/A _41089_/X VGND VGND VPWR VPWR _41090_/X sky130_fd_sc_hd__or2_2
+XFILLER_689_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53076_ _53076_/A _53075_/X VGND VGND VPWR VPWR _53076_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_380_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57953_ _57714_/A _57950_/Y _57952_/Y VGND VGND VPWR VPWR _57954_/C sky130_fd_sc_hd__nor3_2
+XFILLER_47_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69939_ _71337_/A VGND VGND VPWR VPWR _69939_/X sky130_fd_sc_hd__buf_1
+XPHY_9613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50288_ _50288_/A _50288_/B VGND VGND VPWR VPWR _50288_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_685_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_134_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40041_ _40060_/A VGND VGND VPWR VPWR _40041_/X sky130_fd_sc_hd__buf_1
+XPHY_8901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52027_ _52057_/A _52027_/B VGND VGND VPWR VPWR _52028_/B sky130_fd_sc_hd__or2_2
+XPHY_20504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56904_ _56819_/A _56904_/B _56904_/C VGND VGND VPWR VPWR _56904_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_628_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72950_ _72950_/A VGND VGND VPWR VPWR _73965_/A sky130_fd_sc_hd__buf_1
+XFILLER_371_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80059_ _80095_/CLK _80059_/D VGND VGND VPWR VPWR _39689_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_20515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57884_ _80130_/Q _57730_/B VGND VGND VPWR VPWR _57885_/C sky130_fd_sc_hd__nor2_2
+XPHY_8923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71901_ _70709_/X _71722_/B VGND VGND VPWR VPWR _71904_/B sky130_fd_sc_hd__nor2_2
+X_59623_ _59460_/A _59623_/B _59622_/Y VGND VGND VPWR VPWR _59623_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_251_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56835_ _57637_/A VGND VGND VPWR VPWR _57163_/A sky130_fd_sc_hd__buf_1
+XPHY_20559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_251_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72881_ _73668_/A _72872_/B VGND VGND VPWR VPWR _72884_/A sky130_fd_sc_hd__or2_2
+XPHY_8967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_48_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_5_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_685_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43800_ _43855_/A _43793_/Y _43799_/Y VGND VGND VPWR VPWR _79295_/D sky130_fd_sc_hd__nor3_2
+XFILLER_232_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_564_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74620_ _74620_/A _74620_/B VGND VGND VPWR VPWR _80891_/D sky130_fd_sc_hd__nand2_2
+XFILLER_412_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59554_ _59554_/A _59718_/B VGND VGND VPWR VPWR _59555_/C sky130_fd_sc_hd__nor2_2
+X_71832_ _71832_/A _71832_/B VGND VGND VPWR VPWR _71832_/X sky130_fd_sc_hd__and2_2
+XFILLER_609_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44780_ _44720_/A _44779_/X VGND VGND VPWR VPWR _79194_/D sky130_fd_sc_hd__nor2_2
+XFILLER_112_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56766_ _57009_/A _56762_/Y _56766_/C VGND VGND VPWR VPWR _56766_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_47_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41992_ _41989_/Y _41992_/B _42009_/C VGND VGND VPWR VPWR _41993_/C sky130_fd_sc_hd__nor3_2
+XFILLER_210_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53978_ _77140_/Q _53978_/B VGND VGND VPWR VPWR _53981_/A sky130_fd_sc_hd__nand2_2
+XFILLER_63_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_130_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_726_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_464_3077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_464_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_425_3028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_169_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58505_ _58341_/X _58469_/X _58504_/X _74426_/Y _58424_/X VGND VGND VPWR VPWR _76670_/D
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_625_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_546_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_542_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_189_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43731_ _43731_/A _43744_/C _44037_/A VGND VGND VPWR VPWR _43732_/C sky130_fd_sc_hd__and3_2
+XFILLER_262_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_382_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_5_15_0_u_core.clock clkbuf_4_7_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_6_30_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_55717_ _55717_/A _55713_/Y _55716_/Y VGND VGND VPWR VPWR _55717_/Y sky130_fd_sc_hd__nor3_2
+X_74551_ _74554_/A _74554_/B _69325_/A VGND VGND VPWR VPWR _74552_/B sky130_fd_sc_hd__nand3_2
+XFILLER_386_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52929_ _51426_/A VGND VGND VPWR VPWR _52929_/X sky130_fd_sc_hd__buf_1
+XFILLER_73_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40943_ _40936_/A _40943_/B _79743_/Q VGND VGND VPWR VPWR _40943_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71763_ _70921_/Y _71730_/X VGND VGND VPWR VPWR _71764_/C sky130_fd_sc_hd__nor2_2
+XFILLER_483_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59485_ _77247_/Q _59485_/B VGND VGND VPWR VPWR _59487_/B sky130_fd_sc_hd__nor2_2
+XPHY_19001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56697_ _81299_/Q _56778_/B VGND VGND VPWR VPWR _56697_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_169_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73502_ _73500_/Y _73502_/B VGND VGND VPWR VPWR _73502_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_640_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46450_ _46453_/B VGND VGND VPWR VPWR _46450_/Y sky130_fd_sc_hd__inv_8
+X_70714_ _70714_/A _69945_/A VGND VGND VPWR VPWR _70716_/B sky130_fd_sc_hd__nor2_2
+XFILLER_523_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58436_ _56836_/X VGND VGND VPWR VPWR _58437_/B sky130_fd_sc_hd__buf_1
+XPHY_28823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77270_ _77274_/CLK _77270_/D VGND VGND VPWR VPWR _77270_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_98_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43662_ _72045_/A _43552_/B VGND VGND VPWR VPWR _43663_/C sky130_fd_sc_hd__nor2_2
+XFILLER_182_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55648_ _55648_/A VGND VGND VPWR VPWR _55703_/B sky130_fd_sc_hd__buf_1
+XFILLER_499_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74482_ _74086_/A _74486_/B VGND VGND VPWR VPWR _74485_/A sky130_fd_sc_hd__or2_2
+XPHY_19045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40874_ _40889_/A _40760_/B _40874_/C VGND VGND VPWR VPWR _40874_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_104_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71694_ _71549_/X _71683_/Y _71685_/Y _71693_/X VGND VGND VPWR VPWR _42691_/B sky130_fd_sc_hd__o22a_4
+XFILLER_250_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_382_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_651_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_74_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_76_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45401_ _45393_/X _45400_/X VGND VGND VPWR VPWR _45401_/X sky130_fd_sc_hd__and2_2
+XPHY_28856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76221_ _76220_/X _76080_/B _76221_/C VGND VGND VPWR VPWR _76221_/Y sky130_fd_sc_hd__nand3_2
+XPHY_18333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42613_ _67517_/A _42500_/B VGND VGND VPWR VPWR _42615_/B sky130_fd_sc_hd__nor2_2
+X_73433_ _74992_/A _73433_/B VGND VGND VPWR VPWR _73433_/X sky130_fd_sc_hd__or2_2
+XFILLER_597_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46381_ _46379_/X _46381_/B VGND VGND VPWR VPWR _46382_/B sky130_fd_sc_hd__nand2_2
+X_58367_ _58367_/A _58056_/B VGND VGND VPWR VPWR _58367_/Y sky130_fd_sc_hd__nor2_2
+XPHY_19089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70645_ _70620_/X _70644_/Y VGND VGND VPWR VPWR _70646_/B sky130_fd_sc_hd__nor2_2
+XFILLER_226_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_163_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43593_ _42614_/B _43593_/B VGND VGND VPWR VPWR _43594_/C sky130_fd_sc_hd__nor2_2
+X_55579_ _71779_/A _55536_/B VGND VGND VPWR VPWR _55593_/A sky130_fd_sc_hd__or2_2
+XFILLER_579_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_540_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_505_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48120_ _78672_/Q _48120_/B VGND VGND VPWR VPWR _48120_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_406_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_677_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_9_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57318_ _66505_/A _57557_/B VGND VGND VPWR VPWR _57318_/Y sky130_fd_sc_hd__nor2_2
+X_45332_ _45332_/A VGND VGND VPWR VPWR _45332_/Y sky130_fd_sc_hd__inv_8
+X_76152_ _76152_/A VGND VGND VPWR VPWR _76153_/A sky130_fd_sc_hd__buf_1
+XPHY_3263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42544_ _42511_/X _70217_/Y _70215_/X _42512_/X VGND VGND VPWR VPWR _42544_/X sky130_fd_sc_hd__o22a_4
+X_73364_ _73364_/A _73363_/X VGND VGND VPWR VPWR _73364_/Y sky130_fd_sc_hd__nand2_2
+XPHY_35011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_297_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58298_ _57981_/A _58280_/Y _58298_/C VGND VGND VPWR VPWR _58298_/X sky130_fd_sc_hd__or3_2
+XPHY_18399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70576_ _70575_/X VGND VGND VPWR VPWR _70576_/Y sky130_fd_sc_hd__inv_8
+XFILLER_655_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_54_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_221_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75103_ _75103_/A _75120_/B _75103_/C VGND VGND VPWR VPWR _75103_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_592_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48051_ _48049_/Y _48050_/X VGND VGND VPWR VPWR _78691_/D sky130_fd_sc_hd__nand2_2
+XFILLER_401_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72315_ _72299_/A _72310_/B _56702_/A VGND VGND VPWR VPWR _72316_/B sky130_fd_sc_hd__nand3_2
+XPHY_17687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_694_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_30_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_477_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45263_ _45174_/A _45263_/B VGND VGND VPWR VPWR _45263_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_657_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_655_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57249_ _57249_/A _57248_/Y VGND VGND VPWR VPWR _57249_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_141_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76083_ _76083_/A VGND VGND VPWR VPWR _76359_/A sky130_fd_sc_hd__buf_1
+XPHY_16953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42475_ _42475_/A VGND VGND VPWR VPWR _42628_/A sky130_fd_sc_hd__buf_1
+XFILLER_475_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73295_ _73295_/A _73295_/B _68406_/A VGND VGND VPWR VPWR _73295_/Y sky130_fd_sc_hd__nand3_2
+XPHY_34321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_32_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47002_ _47002_/A _47002_/B VGND VGND VPWR VPWR _47003_/B sky130_fd_sc_hd__and2_2
+XFILLER_376_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44214_ _44208_/X _44211_/Y _66535_/A _44213_/Y VGND VGND VPWR VPWR _44214_/X sky130_fd_sc_hd__o22a_4
+XPHY_1861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75034_ _75030_/A _75030_/B _65571_/A VGND VGND VPWR VPWR _75036_/A sky130_fd_sc_hd__nand3_2
+X_79911_ _79907_/CLK _40279_/Y VGND VGND VPWR VPWR _68457_/A sky130_fd_sc_hd__dfxtp_4
+X_41426_ _41407_/A VGND VGND VPWR VPWR _41430_/B sky130_fd_sc_hd__buf_1
+XPHY_34354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60260_ _60180_/Y _60260_/B VGND VGND VPWR VPWR _76732_/D sky130_fd_sc_hd__nor2_2
+XPHY_1872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72246_ _72274_/A VGND VGND VPWR VPWR _72263_/B sky130_fd_sc_hd__buf_1
+XFILLER_391_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45194_ _44939_/X VGND VGND VPWR VPWR _45429_/A sky130_fd_sc_hd__buf_1
+XPHY_34365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_329_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_128_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44145_ _43676_/A VGND VGND VPWR VPWR _44228_/A sky130_fd_sc_hd__buf_1
+XFILLER_256_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79842_ _79940_/CLK _40570_/Y VGND VGND VPWR VPWR _79842_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_48_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41357_ _41355_/X _41357_/B VGND VGND VPWR VPWR _41357_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_221_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60191_ _59543_/A _60185_/Y _60191_/C VGND VGND VPWR VPWR _60199_/B sky130_fd_sc_hd__nor3_2
+XFILLER_156_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72177_ _72177_/A _72176_/X VGND VGND VPWR VPWR _72177_/X sky130_fd_sc_hd__or2_2
+XPHY_23141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_139_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_371_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_346_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_316_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_711_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40308_ _40314_/A _40322_/B _67146_/A VGND VGND VPWR VPWR _40309_/B sky130_fd_sc_hd__nand3_2
+XPHY_23174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71128_ _71128_/A VGND VGND VPWR VPWR _71661_/A sky130_fd_sc_hd__buf_1
+XFILLER_354_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_344_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48953_ _49069_/A _48920_/A VGND VGND VPWR VPWR _48954_/B sky130_fd_sc_hd__or2_2
+X_44076_ _44068_/X _44072_/Y _44071_/A _44075_/Y VGND VGND VPWR VPWR _44077_/B sky130_fd_sc_hd__o22a_4
+XFILLER_272_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79773_ _79772_/CLK _79773_/D VGND VGND VPWR VPWR _66829_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_477_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41288_ _41019_/A _41291_/B VGND VGND VPWR VPWR _41288_/X sky130_fd_sc_hd__or2_2
+XFILLER_124_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76985_ _76993_/CLK _76985_/D VGND VGND VPWR VPWR _59823_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_332_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_83_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_520_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47904_ _47843_/A _47910_/B VGND VGND VPWR VPWR _47904_/X sky130_fd_sc_hd__or2_2
+XPHY_22473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43027_ _45114_/A VGND VGND VPWR VPWR _43027_/Y sky130_fd_sc_hd__inv_8
+XFILLER_586_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78724_ _78721_/CLK _47921_/Y VGND VGND VPWR VPWR _78724_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_315_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_319_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63950_ _78604_/Q _63819_/B VGND VGND VPWR VPWR _63952_/B sky130_fd_sc_hd__nor2_2
+X_40239_ _40001_/A _40236_/B VGND VGND VPWR VPWR _40243_/A sky130_fd_sc_hd__or2_2
+XFILLER_217_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_75936_ _75934_/X _75936_/B VGND VGND VPWR VPWR _75936_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71059_ _71037_/X _71059_/B VGND VGND VPWR VPWR _81517_/D sky130_fd_sc_hd__nand2_2
+XFILLER_312_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_152_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_586_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48884_ _48884_/A _48883_/X VGND VGND VPWR VPWR _48884_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_291_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62901_ _62901_/A _62901_/B VGND VGND VPWR VPWR _62901_/Y sky130_fd_sc_hd__nor2_2
+XPHY_21783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_230_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47835_ _47835_/A _47834_/X VGND VGND VPWR VPWR _47835_/X sky130_fd_sc_hd__or2_2
+XFILLER_65_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78655_ _78638_/CLK _78655_/D VGND VGND VPWR VPWR _48190_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_297_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63881_ _64012_/A _63881_/B _63881_/C VGND VGND VPWR VPWR _63882_/C sky130_fd_sc_hd__nor3_2
+XPHY_21794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75867_ _75867_/A _75866_/Y VGND VGND VPWR VPWR _80581_/D sky130_fd_sc_hd__nand2_2
+XFILLER_627_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_113_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_285_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_465_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_61_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65620_ _65620_/A _65449_/B VGND VGND VPWR VPWR _65621_/C sky130_fd_sc_hd__nor2_2
+XFILLER_310_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_674_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77606_ _77606_/CLK _52218_/Y VGND VGND VPWR VPWR _52216_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_296_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_81_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62832_ _62832_/A _62988_/B VGND VGND VPWR VPWR _62833_/C sky130_fd_sc_hd__nor2_2
+X_74818_ _74815_/X _74817_/Y VGND VGND VPWR VPWR _80847_/D sky130_fd_sc_hd__nand2_2
+XFILLER_634_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47766_ _47707_/A _47763_/B VGND VGND VPWR VPWR _47766_/X sky130_fd_sc_hd__or2_2
+XFILLER_430_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78586_ _78586_/CLK _78586_/D VGND VGND VPWR VPWR _63728_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_486_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_447_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44978_ _44978_/A _44978_/B _44978_/C VGND VGND VPWR VPWR _44978_/X sky130_fd_sc_hd__or3_2
+X_75798_ _75548_/A _75808_/B VGND VGND VPWR VPWR _75800_/A sky130_fd_sc_hd__or2_2
+XFILLER_580_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_387_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_349_2962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49505_ _49505_/A VGND VGND VPWR VPWR _49809_/A sky130_fd_sc_hd__buf_1
+XFILLER_725_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_525_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65551_ _65551_/A _65551_/B _65551_/C _65550_/Y VGND VGND VPWR VPWR _76691_/D sky130_fd_sc_hd__or4_2
+XFILLER_4_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46717_ _43083_/B _46716_/X VGND VGND VPWR VPWR _46717_/X sky130_fd_sc_hd__or2_2
+XFILLER_408_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77537_ _77528_/CLK _77537_/D VGND VGND VPWR VPWR _61097_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_49_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43929_ _43705_/A _43929_/B VGND VGND VPWR VPWR _43930_/B sky130_fd_sc_hd__nor2_2
+XFILLER_253_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74749_ _74749_/A _74749_/B _80862_/Q VGND VGND VPWR VPWR _74749_/Y sky130_fd_sc_hd__nand3_2
+X_62763_ _77964_/Q _63389_/B VGND VGND VPWR VPWR _62766_/B sky130_fd_sc_hd__nor2_2
+XFILLER_96_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47697_ _47456_/B VGND VGND VPWR VPWR _47698_/B sky130_fd_sc_hd__buf_1
+XFILLER_365_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_20_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_168_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64502_ _64502_/A _65246_/B VGND VGND VPWR VPWR _64503_/C sky130_fd_sc_hd__nor2_2
+XFILLER_453_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_111_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49436_ _62480_/A _49436_/B VGND VGND VPWR VPWR _49439_/A sky130_fd_sc_hd__nand2_2
+X_61714_ _60933_/A VGND VGND VPWR VPWR _61714_/X sky130_fd_sc_hd__buf_1
+XFILLER_59_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68270_ _68776_/A _68268_/Y _68270_/C VGND VGND VPWR VPWR _68274_/B sky130_fd_sc_hd__nor3_2
+XFILLER_640_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_398_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46648_ _46643_/Y _46648_/B _46648_/C _46647_/X VGND VGND VPWR VPWR _46648_/X sky130_fd_sc_hd__or4_2
+XFILLER_228_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65482_ _41371_/C _65129_/B VGND VGND VPWR VPWR _65486_/B sky130_fd_sc_hd__nor2_2
+XFILLER_146_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77468_ _77474_/CLK _77468_/D VGND VGND VPWR VPWR _52729_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62694_ _61913_/A VGND VGND VPWR VPWR _62697_/A sky130_fd_sc_hd__buf_1
+XFILLER_241_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_213_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_506_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67221_ _67221_/A _67055_/B VGND VGND VPWR VPWR _67221_/Y sky130_fd_sc_hd__nor2_2
+X_79207_ _79207_/CLK _79207_/D VGND VGND VPWR VPWR _44636_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_361_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64433_ _64433_/A VGND VGND VPWR VPWR _66052_/A sky130_fd_sc_hd__buf_1
+X_76419_ _76419_/A _76419_/B _80443_/Q VGND VGND VPWR VPWR _76420_/B sky130_fd_sc_hd__nand3_2
+XFILLER_631_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_580_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61645_ _61645_/A _61645_/B _61645_/C VGND VGND VPWR VPWR _61646_/C sky130_fd_sc_hd__nor3_2
+X_49367_ _51167_/A VGND VGND VPWR VPWR _49367_/X sky130_fd_sc_hd__buf_1
+XFILLER_94_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46579_ _46584_/A _46575_/Y _46578_/Y VGND VGND VPWR VPWR _46579_/X sky130_fd_sc_hd__or3_2
+X_77399_ _77749_/CLK _77399_/D VGND VGND VPWR VPWR _77399_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_107_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_703_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_597_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_460_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39120_ _39109_/X _39105_/B _69130_/A VGND VGND VPWR VPWR _39120_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_205_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48318_ _63334_/A _48328_/B VGND VGND VPWR VPWR _48318_/Y sky130_fd_sc_hd__nand2_2
+X_67152_ _66982_/A _67152_/B _67152_/C VGND VGND VPWR VPWR _67153_/B sky130_fd_sc_hd__nor3_2
+X_79138_ _76714_/CLK _45555_/Y VGND VGND VPWR VPWR _42506_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_61_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64364_ _64364_/A _69502_/B VGND VGND VPWR VPWR _64365_/C sky130_fd_sc_hd__nor2_2
+XFILLER_601_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49298_ _49240_/A _49295_/B VGND VGND VPWR VPWR _49299_/B sky130_fd_sc_hd__or2_2
+XFILLER_37_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61576_ _61277_/A _61574_/Y _61576_/C VGND VGND VPWR VPWR _61576_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_406_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66103_ _66103_/A _66103_/B _66102_/Y VGND VGND VPWR VPWR _66107_/B sky130_fd_sc_hd__nor3_2
+XFILLER_320_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_719_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39051_ _38554_/A _39056_/B VGND VGND VPWR VPWR _39055_/A sky130_fd_sc_hd__or2_2
+XFILLER_495_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63315_ _59113_/A VGND VGND VPWR VPWR _63872_/B sky130_fd_sc_hd__buf_1
+XFILLER_378_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_673_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60527_ _77653_/Q _60044_/X VGND VGND VPWR VPWR _60528_/C sky130_fd_sc_hd__nor2_2
+X_48249_ _48159_/X _48252_/B VGND VGND VPWR VPWR _48249_/X sky130_fd_sc_hd__or2_2
+XFILLER_367_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67083_ _67083_/A _67747_/B VGND VGND VPWR VPWR _67086_/B sky130_fd_sc_hd__nor2_2
+XFILLER_366_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_339_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79069_ _79057_/CLK _45921_/Y VGND VGND VPWR VPWR _43489_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_222_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64295_ _64438_/A VGND VGND VPWR VPWR _64296_/A sky130_fd_sc_hd__buf_1
+XFILLER_296_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_221_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_127_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_359_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_3022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_124_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_81100_ _81133_/CLK _81100_/D VGND VGND VPWR VPWR _81100_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_302_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66034_ _65866_/A _66034_/B VGND VGND VPWR VPWR _66034_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_376_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_571_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51260_ _53069_/A VGND VGND VPWR VPWR _51385_/A sky130_fd_sc_hd__buf_1
+X_63246_ _76760_/Q _63401_/B VGND VGND VPWR VPWR _63247_/C sky130_fd_sc_hd__nor2_2
+XFILLER_146_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60458_ _59964_/A _60458_/B _60458_/C VGND VGND VPWR VPWR _60459_/B sky130_fd_sc_hd__nor3_2
+XFILLER_257_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_119_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_198_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_4_0_u_core.clock clkbuf_8_4_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_9_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_615_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50211_ _48257_/X _50211_/B VGND VGND VPWR VPWR _50221_/A sky130_fd_sc_hd__or2_2
+XFILLER_337_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_698_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81031_ _81004_/CLK _74032_/Y VGND VGND VPWR VPWR _68399_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_119_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_147_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_710_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_400_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51191_ _61885_/A _51194_/B VGND VGND VPWR VPWR _51193_/A sky130_fd_sc_hd__nand2_2
+XFILLER_179_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63177_ _63177_/A VGND VGND VPWR VPWR _63178_/B sky130_fd_sc_hd__buf_1
+XFILLER_551_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60389_ _60073_/A _60389_/B _60388_/Y VGND VGND VPWR VPWR _60390_/C sky130_fd_sc_hd__nor3_2
+XFILLER_256_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_552_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_274_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50142_ _62244_/A _50142_/B VGND VGND VPWR VPWR _50144_/A sky130_fd_sc_hd__nand2_2
+XFILLER_489_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62128_ _77895_/Q _62128_/B VGND VGND VPWR VPWR _62128_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_530_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39953_ _39953_/A _39953_/B VGND VGND VPWR VPWR _39953_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_322_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_179_1498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_487_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_120_0_u_core.clock clkbuf_8_60_0_u_core.clock/X VGND VGND VPWR VPWR _77854_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_277_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67985_ _67650_/A _67985_/B _67985_/C VGND VGND VPWR VPWR _67986_/C sky130_fd_sc_hd__nor3_2
+XFILLER_322_226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_200_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_684_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38904_ _38922_/A VGND VGND VPWR VPWR _38915_/B sky130_fd_sc_hd__buf_1
+XFILLER_133_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69724_ _69636_/Y _69724_/B VGND VGND VPWR VPWR _69726_/B sky130_fd_sc_hd__nor2_2
+XFILLER_647_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_118_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50073_ _49988_/A _50085_/B VGND VGND VPWR VPWR _50074_/B sky130_fd_sc_hd__or2_2
+X_54950_ _54950_/A _54949_/X VGND VGND VPWR VPWR _54950_/Y sky130_fd_sc_hd__nand2_2
+X_66936_ _66444_/A _66934_/Y _66936_/C VGND VGND VPWR VPWR _66936_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_303_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62059_ _62049_/X _62052_/Y _62058_/Y VGND VGND VPWR VPWR _62059_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_700_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39884_ _39944_/A VGND VGND VPWR VPWR _39885_/A sky130_fd_sc_hd__buf_1
+XFILLER_83_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_153_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_125_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_153_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_550_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53901_ _53844_/A _53904_/B VGND VGND VPWR VPWR _53902_/B sky130_fd_sc_hd__or2_2
+XFILLER_628_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38835_ _38835_/A _38835_/B VGND VGND VPWR VPWR _38835_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_448_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_487_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69655_ _69655_/A _76725_/Q _69655_/C _69654_/Y VGND VGND VPWR VPWR _72586_/A sky130_fd_sc_hd__or4_2
+XFILLER_248_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_330_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_64_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54881_ _54881_/A _54895_/B VGND VGND VPWR VPWR _54884_/A sky130_fd_sc_hd__nand2_2
+X_66867_ _64108_/X VGND VGND VPWR VPWR _66868_/B sky130_fd_sc_hd__buf_1
+XFILLER_666_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_436_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_173_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56620_ _56780_/A _56620_/B _56620_/C VGND VGND VPWR VPWR _56626_/B sky130_fd_sc_hd__nor3_2
+XPHY_6806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68606_ _58418_/A _69080_/B VGND VGND VPWR VPWR _68608_/B sky130_fd_sc_hd__nor2_2
+XFILLER_5_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53832_ _53832_/A _53831_/X VGND VGND VPWR VPWR _53832_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_487_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_667_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65818_ _65322_/A _65816_/Y _65818_/C VGND VGND VPWR VPWR _65818_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_25_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38766_ _38766_/A _38766_/B VGND VGND VPWR VPWR _80297_/D sky130_fd_sc_hd__nand2_2
+X_69586_ _69587_/B _69585_/X VGND VGND VPWR VPWR _69586_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66798_ _65135_/A VGND VGND VPWR VPWR _66798_/X sky130_fd_sc_hd__buf_1
+XFILLER_645_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_236_0_u_core.clock clkbuf_9_237_0_u_core.clock/A VGND VGND VPWR VPWR _79750_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_681_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_418_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_151_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_383_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80815_ _80845_/CLK _80815_/D VGND VGND VPWR VPWR _64143_/A sky130_fd_sc_hd__dfxtp_4
+X_56551_ _56551_/A _56358_/B VGND VGND VPWR VPWR _56552_/C sky130_fd_sc_hd__nor2_2
+XFILLER_28_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68537_ _68537_/A _68360_/B VGND VGND VPWR VPWR _68537_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_524_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_461_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53763_ _49941_/A _53799_/B VGND VGND VPWR VPWR _53797_/B sky130_fd_sc_hd__or2_2
+XFILLER_256_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65749_ _65749_/A _65749_/B VGND VGND VPWR VPWR _65750_/B sky130_fd_sc_hd__nor2_2
+XFILLER_348_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_422_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38697_ _38696_/X _38689_/B _80315_/Q VGND VGND VPWR VPWR _38697_/Y sky130_fd_sc_hd__nand3_2
+X_50975_ _50991_/B VGND VGND VPWR VPWR _50988_/B sky130_fd_sc_hd__buf_1
+XFILLER_465_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_606_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_18_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_229_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55502_ _55323_/A _55502_/B _55501_/Y VGND VGND VPWR VPWR _55505_/B sky130_fd_sc_hd__or3_2
+XFILLER_217_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_721_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52714_ _60895_/A _52714_/B VGND VGND VPWR VPWR _52716_/A sky130_fd_sc_hd__nand2_2
+XPHY_28119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59270_ _59607_/A _59257_/Y _59269_/Y VGND VGND VPWR VPWR _59270_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_283_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56482_ _56482_/A _58808_/B VGND VGND VPWR VPWR _56484_/B sky130_fd_sc_hd__nor2_2
+X_80746_ _80714_/CLK _80746_/D VGND VGND VPWR VPWR _80746_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_563_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68468_ _64270_/A VGND VGND VPWR VPWR _68968_/A sky130_fd_sc_hd__buf_1
+XFILLER_227_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_285_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_704_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53694_ _53694_/A _53691_/B VGND VGND VPWR VPWR _53696_/A sky130_fd_sc_hd__nand2_2
+XFILLER_460_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_56_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_3_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_0_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58221_ _56617_/A VGND VGND VPWR VPWR _58473_/A sky130_fd_sc_hd__buf_1
+XFILLER_424_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55433_ _55517_/A _45370_/A _55331_/X _55432_/Y VGND VGND VPWR VPWR _55434_/C sky130_fd_sc_hd__o22a_4
+X_67419_ _81249_/Q _67096_/X VGND VGND VPWR VPWR _67419_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_129_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52645_ _52668_/B VGND VGND VPWR VPWR _52667_/B sky130_fd_sc_hd__buf_1
+XPHY_27429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_426_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_364_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80677_ _80641_/CLK _75474_/Y VGND VGND VPWR VPWR _80677_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_459_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68399_ _68399_/A _68574_/B VGND VGND VPWR VPWR _68400_/C sky130_fd_sc_hd__nor2_2
+XFILLER_502_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_225_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_129_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_305_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39318_ _39316_/X _39317_/Y VGND VGND VPWR VPWR _39318_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_547_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58152_ _68120_/A _57828_/X VGND VGND VPWR VPWR _58153_/C sky130_fd_sc_hd__nor2_2
+XFILLER_107_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70430_ _70388_/A _70430_/B _70388_/C VGND VGND VPWR VPWR _70431_/B sky130_fd_sc_hd__or3_2
+XFILLER_604_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_322_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_180_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55364_ _55480_/A VGND VGND VPWR VPWR _55396_/A sky130_fd_sc_hd__buf_1
+XFILLER_205_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40590_ _40590_/A _40589_/X VGND VGND VPWR VPWR _40590_/X sky130_fd_sc_hd__or2_2
+XFILLER_34_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_459_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52576_ _52576_/A _52575_/X VGND VGND VPWR VPWR _52576_/Y sky130_fd_sc_hd__nand2_2
+XPHY_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_399_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57103_ _81304_/Q _57184_/B VGND VGND VPWR VPWR _57103_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_459_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_297_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54315_ _54344_/A _54315_/B VGND VGND VPWR VPWR _54315_/X sky130_fd_sc_hd__or2_2
+XFILLER_303_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_71_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39249_ _39248_/X _39113_/B _64409_/A VGND VGND VPWR VPWR _39250_/B sky130_fd_sc_hd__nand3_2
+X_51527_ _51526_/X VGND VGND VPWR VPWR _51527_/X sky130_fd_sc_hd__buf_1
+XFILLER_297_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58083_ _58083_/A _57915_/B VGND VGND VPWR VPWR _58085_/B sky130_fd_sc_hd__nor2_2
+XPHY_16249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70361_ _56201_/A _70429_/B VGND VGND VPWR VPWR _70366_/B sky130_fd_sc_hd__nor2_2
+XFILLER_547_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55295_ _55293_/Y _55294_/X VGND VGND VPWR VPWR _55363_/A sky130_fd_sc_hd__or2_2
+XFILLER_592_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_386_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_142_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_547_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_613_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_528_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72100_ _70893_/X _72100_/B VGND VGND VPWR VPWR _72100_/X sky130_fd_sc_hd__and2_2
+XFILLER_138_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57034_ _80599_/Q _56707_/B VGND VGND VPWR VPWR _57034_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_177_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42260_ _42260_/A VGND VGND VPWR VPWR _46240_/B sky130_fd_sc_hd__buf_1
+XFILLER_475_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54246_ _54243_/Y _54245_/X VGND VGND VPWR VPWR _54246_/Y sky130_fd_sc_hd__nand2_2
+X_73080_ _42974_/A _73090_/B VGND VGND VPWR VPWR _73080_/X sky130_fd_sc_hd__or2_2
+XFILLER_652_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51458_ _51458_/A _51457_/X VGND VGND VPWR VPWR _51458_/Y sky130_fd_sc_hd__nand2_2
+XPHY_14814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70292_ _70289_/Y _70164_/X _70165_/X _70291_/X VGND VGND VPWR VPWR _70292_/X sky130_fd_sc_hd__o22a_4
+XFILLER_149_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_716_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41211_ _41211_/A _41211_/B VGND VGND VPWR VPWR _41211_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72031_ _71080_/A _72031_/B VGND VGND VPWR VPWR _72032_/B sky130_fd_sc_hd__nor2_2
+XFILLER_138_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_515_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_101_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50409_ _50856_/A VGND VGND VPWR VPWR _50409_/X sky130_fd_sc_hd__buf_1
+XFILLER_433_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81229_ _81228_/CLK _73274_/Y VGND VGND VPWR VPWR _69334_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_377_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42191_ _41969_/B _42190_/X VGND VGND VPWR VPWR _42192_/A sky130_fd_sc_hd__or2_2
+XFILLER_688_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54177_ _77088_/Q _54174_/B VGND VGND VPWR VPWR _54177_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_180_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_594_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51389_ _51389_/A _51388_/X VGND VGND VPWR VPWR _51389_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_516_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_256_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_166_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_88_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_611_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41142_ _39242_/A VGND VGND VPWR VPWR _41266_/A sky130_fd_sc_hd__buf_1
+XFILLER_257_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53128_ _53072_/A _53131_/B VGND VGND VPWR VPWR _53128_/X sky130_fd_sc_hd__or2_2
+XFILLER_715_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_689_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_273_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_513_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_336_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_676_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58985_ _59715_/A VGND VGND VPWR VPWR _58986_/A sky130_fd_sc_hd__buf_1
+XFILLER_587_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_630_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45950_ _45960_/A _45948_/Y _45950_/C VGND VGND VPWR VPWR _79061_/D sky130_fd_sc_hd__nor3_2
+X_41073_ _41071_/X _41072_/Y VGND VGND VPWR VPWR _79712_/D sky130_fd_sc_hd__nand2_2
+XPHY_30824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53059_ _53059_/A _53077_/B VGND VGND VPWR VPWR _53059_/X sky130_fd_sc_hd__or2_2
+XFILLER_332_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57936_ _57936_/A _57934_/Y _57936_/C VGND VGND VPWR VPWR _57937_/C sky130_fd_sc_hd__nor3_2
+XPHY_31569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76770_ _76768_/CLK _55810_/Y VGND VGND VPWR VPWR _55808_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_279_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73982_ _73740_/A _73985_/B VGND VGND VPWR VPWR _73984_/A sky130_fd_sc_hd__or2_2
+XFILLER_513_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_685_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_43_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_665_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_700_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_463_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40024_ _40018_/X _40011_/X _40024_/C VGND VGND VPWR VPWR _40024_/Y sky130_fd_sc_hd__nand3_2
+X_44901_ _44901_/A _44665_/X _44400_/A VGND VGND VPWR VPWR _44901_/X sky130_fd_sc_hd__and3_2
+XPHY_9476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_709_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75721_ _75794_/A VGND VGND VPWR VPWR _75725_/B sky130_fd_sc_hd__buf_1
+XPHY_21079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72933_ _73951_/A _72937_/B VGND VGND VPWR VPWR _72935_/A sky130_fd_sc_hd__or2_2
+XFILLER_310_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45881_ _45773_/Y _45881_/B _45885_/C VGND VGND VPWR VPWR _45882_/C sky130_fd_sc_hd__nor3_2
+X_57867_ _79938_/Q _57548_/B VGND VGND VPWR VPWR _57868_/C sky130_fd_sc_hd__nor2_2
+XFILLER_216_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_59_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_169_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_212_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47620_ _63363_/A _47608_/B VGND VGND VPWR VPWR _47622_/A sky130_fd_sc_hd__nand2_2
+XFILLER_656_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59606_ _59447_/A _59606_/B _59605_/Y VGND VGND VPWR VPWR _59607_/C sky130_fd_sc_hd__nor3_2
+XFILLER_121_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78440_ _78102_/CLK _49032_/Y VGND VGND VPWR VPWR _63413_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_278_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56818_ _79700_/Q _56818_/B VGND VGND VPWR VPWR _56819_/C sky130_fd_sc_hd__nor2_2
+X_44832_ _44797_/A _44827_/Y _44814_/X _44831_/X VGND VGND VPWR VPWR _44833_/B sky130_fd_sc_hd__o22a_4
+XPHY_8786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75652_ _75650_/X _75651_/Y VGND VGND VPWR VPWR _80638_/D sky130_fd_sc_hd__nand2_2
+XFILLER_616_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72864_ _72873_/A _72873_/B _68618_/A VGND VGND VPWR VPWR _72864_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_286_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_507_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_349_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57798_ _57798_/A _57797_/Y VGND VGND VPWR VPWR _57798_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_483_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_47_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_43_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_5_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_232_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_605_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74603_ _74070_/X _74596_/B VGND VGND VPWR VPWR _74603_/X sky130_fd_sc_hd__or2_2
+XFILLER_281_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47551_ _63664_/A _47544_/B VGND VGND VPWR VPWR _47551_/Y sky130_fd_sc_hd__nand2_2
+X_59537_ _59537_/A _59374_/B VGND VGND VPWR VPWR _59539_/B sky130_fd_sc_hd__nor2_2
+XFILLER_436_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71815_ _71792_/A _71710_/B _71814_/Y VGND VGND VPWR VPWR _71815_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_689_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78371_ _78474_/CLK _49292_/Y VGND VGND VPWR VPWR _78371_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_21_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44763_ _44683_/A _44758_/Y _44745_/X _44762_/X VGND VGND VPWR VPWR _44764_/B sky130_fd_sc_hd__o22a_4
+XPHY_29310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56749_ _56833_/A _56746_/Y _56749_/C VGND VGND VPWR VPWR _56750_/C sky130_fd_sc_hd__nor3_2
+XFILLER_707_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75583_ _75583_/A _75582_/Y VGND VGND VPWR VPWR _80655_/D sky130_fd_sc_hd__nand2_2
+XFILLER_483_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41975_ _41975_/A VGND VGND VPWR VPWR _41976_/A sky130_fd_sc_hd__buf_1
+XFILLER_698_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72795_ _72790_/X _72795_/B VGND VGND VPWR VPWR _72795_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_112_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_464_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_169_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_366_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_35_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46502_ _46517_/A _46624_/B VGND VGND VPWR VPWR _46619_/A sky130_fd_sc_hd__nor2_2
+XFILLER_75_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_696_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77322_ _77318_/CLK _53291_/Y VGND VGND VPWR VPWR _77322_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_78_1528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43714_ _43707_/Y _43714_/B VGND VGND VPWR VPWR _43935_/A sky130_fd_sc_hd__or2_4
+XFILLER_147_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74534_ _74147_/A _74538_/B VGND VGND VPWR VPWR _74537_/A sky130_fd_sc_hd__or2_2
+XFILLER_366_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_349_1579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_46_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40926_ _40565_/A _40915_/B VGND VGND VPWR VPWR _40928_/A sky130_fd_sc_hd__or2_2
+XFILLER_245_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_1539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47482_ _47480_/Y _47481_/X VGND VGND VPWR VPWR _78830_/D sky130_fd_sc_hd__nand2_2
+XFILLER_640_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59468_ _59468_/A _59464_/Y _59468_/C VGND VGND VPWR VPWR _59469_/C sky130_fd_sc_hd__nor3_2
+X_71746_ _71744_/X _71745_/Y VGND VGND VPWR VPWR _71746_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_403_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44694_ _44694_/A _44699_/B _79206_/Q VGND VGND VPWR VPWR _44694_/X sky130_fd_sc_hd__and3_2
+XPHY_29365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_207_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49221_ _49221_/A _49220_/X VGND VGND VPWR VPWR _49221_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_95_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_366_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58419_ _58709_/A _58416_/Y _58419_/C VGND VGND VPWR VPWR _58419_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_280_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46433_ _46422_/A _46432_/X VGND VGND VPWR VPWR _46433_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_613_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77253_ _77128_/CLK _77253_/D VGND VGND VPWR VPWR _59189_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_245_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43645_ _43628_/X _43645_/B _43645_/C VGND VGND VPWR VPWR _79308_/D sky130_fd_sc_hd__nor3_2
+XFILLER_167_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74465_ _74465_/A VGND VGND VPWR VPWR _74466_/A sky130_fd_sc_hd__inv_8
+XFILLER_340_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_451_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40857_ _40801_/A VGND VGND VPWR VPWR _40867_/B sky130_fd_sc_hd__buf_1
+XFILLER_61_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_700_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59399_ _77118_/Q _59721_/B VGND VGND VPWR VPWR _59400_/C sky130_fd_sc_hd__nor2_2
+XFILLER_377_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71677_ _76802_/Q VGND VGND VPWR VPWR _71678_/A sky130_fd_sc_hd__inv_8
+XFILLER_201_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76204_ _76204_/A _76204_/B VGND VGND VPWR VPWR _76204_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61430_ _61277_/A _61428_/Y _61430_/C VGND VGND VPWR VPWR _61430_/Y sky130_fd_sc_hd__nor3_2
+X_49152_ _49152_/A _49140_/X VGND VGND VPWR VPWR _49152_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_696_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73416_ _73414_/Y _73416_/B VGND VGND VPWR VPWR _81191_/D sky130_fd_sc_hd__nand2_2
+XFILLER_54_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_425_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46364_ _46109_/A _46364_/B VGND VGND VPWR VPWR _46365_/C sky130_fd_sc_hd__nor2_2
+X_70628_ _76652_/Q _70628_/B VGND VGND VPWR VPWR _70630_/A sky130_fd_sc_hd__nand2_2
+X_77184_ _77214_/CLK _77184_/D VGND VGND VPWR VPWR _77184_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_163_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_245_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43576_ _43576_/A _43574_/Y _43576_/C VGND VGND VPWR VPWR _43576_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_579_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74396_ _74396_/A _74396_/B VGND VGND VPWR VPWR _74396_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_360_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40788_ _40786_/X _40788_/B VGND VGND VPWR VPWR _40788_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_692_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_241_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48103_ _47857_/A _48199_/B VGND VGND VPWR VPWR _48103_/X sky130_fd_sc_hd__or2_2
+XPHY_3082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45315_ _45064_/A _45306_/Y _45314_/Y VGND VGND VPWR VPWR _45499_/B sky130_fd_sc_hd__or3_2
+XFILLER_148_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76135_ _74732_/A VGND VGND VPWR VPWR _38278_/A sky130_fd_sc_hd__buf_1
+XFILLER_553_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_518_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42527_ _68846_/A _42500_/B VGND VGND VPWR VPWR _42529_/B sky130_fd_sc_hd__nor2_2
+XFILLER_378_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61361_ _60733_/A _61359_/Y _61360_/Y VGND VGND VPWR VPWR _61365_/B sky130_fd_sc_hd__nor3_2
+XFILLER_657_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_501_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73347_ _73353_/A _73353_/B _66110_/A VGND VGND VPWR VPWR _73349_/A sky130_fd_sc_hd__nand3_2
+X_49083_ _49083_/A _49082_/X VGND VGND VPWR VPWR _49083_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_15_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46295_ _46292_/A _46295_/B _46295_/C VGND VGND VPWR VPWR _79022_/D sky130_fd_sc_hd__nor3_2
+XFILLER_30_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70559_ _70559_/A _70169_/A VGND VGND VPWR VPWR _70559_/X sky130_fd_sc_hd__or2_2
+XFILLER_673_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_379_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63100_ _49101_/A _62954_/B VGND VGND VPWR VPWR _63100_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_714_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_395_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_655_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60312_ _59023_/X VGND VGND VPWR VPWR _60315_/A sky130_fd_sc_hd__buf_1
+X_48034_ _48063_/A _48037_/B VGND VGND VPWR VPWR _48034_/X sky130_fd_sc_hd__or2_2
+XFILLER_403_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45246_ _45218_/A _45246_/B VGND VGND VPWR VPWR _45246_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_204_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64080_ _64079_/X VGND VGND VPWR VPWR _69419_/B sky130_fd_sc_hd__buf_1
+X_76066_ _76066_/A _75827_/B VGND VGND VPWR VPWR _76084_/A sky130_fd_sc_hd__or2_2
+XFILLER_565_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42458_ _69831_/A _42811_/A VGND VGND VPWR VPWR _42459_/A sky130_fd_sc_hd__or2_2
+XFILLER_634_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61292_ _61292_/A _60665_/B VGND VGND VPWR VPWR _61292_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73278_ _73268_/A _73268_/B _69056_/A VGND VGND VPWR VPWR _73282_/A sky130_fd_sc_hd__nand3_2
+XFILLER_223_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_102_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63031_ _63031_/A _63343_/B VGND VGND VPWR VPWR _63031_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_458_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75017_ _75017_/A _75014_/B VGND VGND VPWR VPWR _75017_/X sky130_fd_sc_hd__or2_2
+X_41409_ _41401_/A _41401_/B _69077_/A VGND VGND VPWR VPWR _41410_/B sky130_fd_sc_hd__nand3_2
+XFILLER_670_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60243_ _59759_/A _60243_/B _60243_/C VGND VGND VPWR VPWR _60248_/B sky130_fd_sc_hd__nor3_2
+XFILLER_334_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_293_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72229_ _72235_/A _72241_/B _67622_/A VGND VGND VPWR VPWR _72230_/B sky130_fd_sc_hd__nand3_2
+XFILLER_196_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_714_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45177_ _45207_/A _45177_/B VGND VGND VPWR VPWR _45177_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42389_ _42303_/A _42389_/B VGND VGND VPWR VPWR _42389_/X sky130_fd_sc_hd__or2_2
+XFILLER_217_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_588_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_651_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44128_ _43826_/X _44128_/B VGND VGND VPWR VPWR _44128_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_171_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79825_ _79788_/CLK _40631_/Y VGND VGND VPWR VPWR _79825_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_174_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60174_ _77059_/Q _59851_/B VGND VGND VPWR VPWR _60175_/C sky130_fd_sc_hd__nor2_2
+XFILLER_305_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49985_ _49983_/Y _49984_/X VGND VGND VPWR VPWR _78195_/D sky130_fd_sc_hd__nand2_2
+XFILLER_354_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_217_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44059_ _44086_/A _44085_/A VGND VGND VPWR VPWR _44059_/Y sky130_fd_sc_hd__nor2_4
+X_48936_ _48936_/A VGND VGND VPWR VPWR _48999_/A sky130_fd_sc_hd__buf_1
+XFILLER_237_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67770_ _67770_/A _67769_/Y VGND VGND VPWR VPWR _67770_/Y sky130_fd_sc_hd__nor2_2
+XPHY_22270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79756_ _79757_/CLK _40893_/Y VGND VGND VPWR VPWR _69221_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_113_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64982_ _39872_/C _65673_/B VGND VGND VPWR VPWR _64983_/C sky130_fd_sc_hd__nor2_2
+XFILLER_351_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76968_ _76939_/CLK _76968_/D VGND VGND VPWR VPWR _76968_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_629_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_459_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_312_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_520_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66721_ _65898_/A VGND VGND VPWR VPWR _67055_/B sky130_fd_sc_hd__buf_1
+XFILLER_45_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78707_ _78699_/CLK _78707_/D VGND VGND VPWR VPWR _78707_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_297_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63933_ _59088_/A _63933_/B _63932_/Y VGND VGND VPWR VPWR _63934_/B sky130_fd_sc_hd__nor3_2
+XFILLER_61_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75919_ _75917_/X _75919_/B VGND VGND VPWR VPWR _75919_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_41_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_410_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48867_ _48867_/A _48867_/B VGND VGND VPWR VPWR _48867_/X sky130_fd_sc_hd__or2_2
+XFILLER_458_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79687_ _79635_/CLK _79687_/D VGND VGND VPWR VPWR _68441_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_582_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_48_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76899_ _76893_/CLK _54887_/Y VGND VGND VPWR VPWR _60246_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_332_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_446_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38620_ _38620_/A VGND VGND VPWR VPWR _38740_/B sky130_fd_sc_hd__buf_1
+XFILLER_285_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_26_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69440_ _69300_/A _69440_/B _69440_/C VGND VGND VPWR VPWR _69456_/A sky130_fd_sc_hd__nor3_2
+XFILLER_269_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_484_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47818_ _48101_/A _48101_/B _47422_/A VGND VGND VPWR VPWR _47819_/A sky130_fd_sc_hd__or3_2
+XFILLER_22_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78638_ _78638_/CLK _48253_/Y VGND VGND VPWR VPWR _63168_/A sky130_fd_sc_hd__dfxtp_4
+X_66652_ _80028_/Q _66652_/B VGND VGND VPWR VPWR _66653_/C sky130_fd_sc_hd__nor2_2
+XFILLER_488_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63864_ _58979_/A _63860_/Y _63864_/C VGND VGND VPWR VPWR _63864_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_300_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48798_ _48795_/Y _48798_/B VGND VGND VPWR VPWR _48798_/Y sky130_fd_sc_hd__nand2_2
+XPHY_20890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_289_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65603_ _65603_/A _65603_/B VGND VGND VPWR VPWR _65604_/C sky130_fd_sc_hd__nor2_2
+XFILLER_408_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38551_ _38549_/X _38551_/B VGND VGND VPWR VPWR _38551_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_263_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62815_ _59355_/A VGND VGND VPWR VPWR _63440_/B sky130_fd_sc_hd__buf_1
+XFILLER_599_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69371_ _68948_/X _69371_/B _69371_/C VGND VGND VPWR VPWR _69372_/C sky130_fd_sc_hd__nor3_2
+XFILLER_37_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47749_ _47749_/A _47755_/B VGND VGND VPWR VPWR _47751_/A sky130_fd_sc_hd__nand2_2
+XFILLER_61_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66583_ _66103_/A _66583_/B _66582_/Y VGND VGND VPWR VPWR _66590_/B sky130_fd_sc_hd__nor3_2
+XFILLER_242_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78569_ _78867_/CLK _48534_/Y VGND VGND VPWR VPWR _63600_/A sky130_fd_sc_hd__dfxtp_4
+X_63795_ _63795_/A _63223_/X VGND VGND VPWR VPWR _63795_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_230_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_623_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_384_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_560_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_78_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80600_ _80597_/CLK _80600_/D VGND VGND VPWR VPWR _75792_/C sky130_fd_sc_hd__dfxtp_4
+X_68322_ _80134_/Q _68001_/X VGND VGND VPWR VPWR _68324_/B sky130_fd_sc_hd__nor2_2
+XFILLER_623_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_601_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_94_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65534_ _66357_/A VGND VGND VPWR VPWR _65854_/B sky130_fd_sc_hd__buf_1
+XFILLER_310_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38482_ _38493_/A VGND VGND VPWR VPWR _38507_/A sky130_fd_sc_hd__buf_1
+X_50760_ _50785_/B VGND VGND VPWR VPWR _50765_/B sky130_fd_sc_hd__buf_1
+X_62746_ _51063_/A _62745_/X VGND VGND VPWR VPWR _62747_/C sky130_fd_sc_hd__nor2_2
+XFILLER_560_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_703_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_267_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_228_2443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_447_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_599_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_587_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_80_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_207_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_430_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80531_ _80535_/CLK _80531_/D VGND VGND VPWR VPWR _65051_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_408_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49419_ _49353_/X _49419_/B VGND VGND VPWR VPWR _49420_/B sky130_fd_sc_hd__or2_2
+XFILLER_601_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_68253_ _68253_/A _68248_/Y _68253_/C VGND VGND VPWR VPWR _68253_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_345_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65465_ _65955_/A _65465_/B _65464_/Y VGND VGND VPWR VPWR _65550_/B sky130_fd_sc_hd__nor3_2
+XFILLER_402_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_179_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50691_ _50691_/A _50691_/B VGND VGND VPWR VPWR _50691_/Y sky130_fd_sc_hd__nand2_2
+X_62677_ _63301_/A _62674_/Y _62676_/Y VGND VGND VPWR VPWR _62677_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_675_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67204_ _67204_/A _66875_/B VGND VGND VPWR VPWR _67204_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_575_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52430_ _52429_/X VGND VGND VPWR VPWR _52430_/X sky130_fd_sc_hd__buf_1
+XFILLER_601_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64416_ _65197_/A _64416_/B VGND VGND VPWR VPWR _64417_/C sky130_fd_sc_hd__nor2_2
+XFILLER_343_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_94_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61628_ _60847_/A VGND VGND VPWR VPWR _61646_/A sky130_fd_sc_hd__buf_1
+X_68184_ _80678_/Q _67526_/B VGND VGND VPWR VPWR _68185_/C sky130_fd_sc_hd__nor2_2
+XFILLER_599_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80462_ _80495_/CLK _80462_/D VGND VGND VPWR VPWR _76348_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_345_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_34_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65396_ _66066_/A _65394_/Y _65395_/Y VGND VGND VPWR VPWR _65400_/B sky130_fd_sc_hd__nor3_2
+XFILLER_107_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_339_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_326_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39103_ _39128_/A VGND VGND VPWR VPWR _39103_/X sky130_fd_sc_hd__buf_1
+XFILLER_198_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67135_ _66786_/X _67135_/B _67134_/Y VGND VGND VPWR VPWR _67135_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_142_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64347_ _69455_/A VGND VGND VPWR VPWR _65177_/A sky130_fd_sc_hd__buf_1
+XFILLER_339_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52361_ _52330_/A _52364_/B VGND VGND VPWR VPWR _52361_/X sky130_fd_sc_hd__or2_2
+XFILLER_498_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_205_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80393_ _80393_/CLK _38372_/Y VGND VGND VPWR VPWR _80393_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61559_ _61416_/A _61554_/Y _61558_/Y VGND VGND VPWR VPWR _61559_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_395_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_300_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_60_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_304_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_675_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54100_ _59149_/A _54100_/B VGND VGND VPWR VPWR _54100_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_124_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39034_ _39034_/A VGND VGND VPWR VPWR _39045_/A sky130_fd_sc_hd__buf_1
+XFILLER_394_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51312_ _51312_/A _51311_/X VGND VGND VPWR VPWR _51315_/A sky130_fd_sc_hd__nand2_2
+XFILLER_673_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_124_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55080_ _45965_/X _55080_/B VGND VGND VPWR VPWR _55081_/B sky130_fd_sc_hd__or2_2
+X_67066_ _38287_/C _67390_/B VGND VGND VPWR VPWR _67068_/B sky130_fd_sc_hd__nor2_2
+XFILLER_653_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52292_ _52289_/Y _52292_/B VGND VGND VPWR VPWR _77586_/D sky130_fd_sc_hd__nand2_2
+XFILLER_296_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64278_ _64433_/A VGND VGND VPWR VPWR _64306_/A sky130_fd_sc_hd__buf_1
+XFILLER_689_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_517_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_147_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_159_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_491_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54031_ _54031_/A _54031_/B VGND VGND VPWR VPWR _54031_/Y sky130_fd_sc_hd__nand2_2
+X_66017_ _39580_/C _66185_/B VGND VGND VPWR VPWR _66018_/C sky130_fd_sc_hd__nor2_2
+XFILLER_536_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51243_ _51229_/A VGND VGND VPWR VPWR _51273_/A sky130_fd_sc_hd__buf_1
+XFILLER_382_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63229_ _63229_/A _63216_/Y _63229_/C VGND VGND VPWR VPWR _63229_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_571_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_146_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_267_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_716_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_239_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_135_0_u_core.clock clkbuf_7_67_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_271_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_194_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81014_ _80929_/CLK _74119_/Y VGND VGND VPWR VPWR _65610_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_135_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_174_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_352_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_195_0_u_core.clock clkbuf_8_97_0_u_core.clock/X VGND VGND VPWR VPWR _79506_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_192_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_710_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_362_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51174_ _51171_/Y _51174_/B VGND VGND VPWR VPWR _77876_/D sky130_fd_sc_hd__nand2_2
+XPHY_12719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_88_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_333_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_122_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_83_0_u_core.clock clkbuf_8_83_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_83_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_701_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50125_ _50122_/Y _50124_/X VGND VGND VPWR VPWR _50125_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_372_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39936_ _40295_/A _39921_/X VGND VGND VPWR VPWR _39938_/A sky130_fd_sc_hd__or2_2
+XFILLER_44_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58770_ _58837_/A _58770_/B _58769_/Y VGND VGND VPWR VPWR _58770_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_137_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55982_ _56097_/A VGND VGND VPWR VPWR _55982_/X sky130_fd_sc_hd__buf_1
+XFILLER_153_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67968_ _65497_/A VGND VGND VPWR VPWR _67968_/X sky130_fd_sc_hd__buf_1
+XFILLER_639_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_689_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57721_ _57721_/A VGND VGND VPWR VPWR _57795_/B sky130_fd_sc_hd__buf_1
+X_69707_ _64470_/A VGND VGND VPWR VPWR _64083_/A sky130_fd_sc_hd__inv_8
+XFILLER_217_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50056_ _50028_/A _50044_/B VGND VGND VPWR VPWR _50057_/B sky130_fd_sc_hd__or2_2
+X_54933_ _54961_/A _54908_/A VGND VGND VPWR VPWR _54934_/B sky130_fd_sc_hd__or2_2
+XFILLER_118_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66919_ _73812_/C _66425_/X VGND VGND VPWR VPWR _66920_/C sky130_fd_sc_hd__nor2_2
+XFILLER_330_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39867_ _39991_/A _39847_/B VGND VGND VPWR VPWR _39867_/X sky130_fd_sc_hd__or2_2
+XPHY_8049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67899_ _68722_/A VGND VGND VPWR VPWR _68563_/B sky130_fd_sc_hd__buf_1
+XFILLER_287_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_487_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_492_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38818_ _38562_/A _38812_/B VGND VGND VPWR VPWR _38821_/A sky130_fd_sc_hd__or2_2
+X_57652_ _80127_/Q _57566_/B VGND VGND VPWR VPWR _57653_/C sky130_fd_sc_hd__nor2_2
+XFILLER_686_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69638_ _69638_/A _69638_/B _69615_/A _69630_/B VGND VGND VPWR VPWR _69638_/X sky130_fd_sc_hd__or4_2
+XFILLER_130_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54864_ _76905_/Q _54867_/B VGND VGND VPWR VPWR _54866_/A sky130_fd_sc_hd__nand2_2
+XFILLER_723_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_453_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39798_ _39798_/A _39798_/B VGND VGND VPWR VPWR _39798_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56603_ _65013_/A _56862_/B VGND VGND VPWR VPWR _56604_/C sky130_fd_sc_hd__nor2_2
+XFILLER_275_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_448_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_79_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53815_ _77184_/Q _53808_/B VGND VGND VPWR VPWR _53815_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_446_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38749_ _38749_/A _38749_/B VGND VGND VPWR VPWR _38749_/Y sky130_fd_sc_hd__nand2_2
+X_57583_ _81310_/Q _57501_/X VGND VGND VPWR VPWR _57585_/B sky130_fd_sc_hd__nor2_2
+XFILLER_426_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69569_ _69569_/A _69729_/A VGND VGND VPWR VPWR _69670_/A sky130_fd_sc_hd__nor2_2
+XFILLER_613_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54795_ _54795_/A _54794_/X VGND VGND VPWR VPWR _76923_/D sky130_fd_sc_hd__nand2_2
+XFILLER_166_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_563_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_417_160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_461_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_57_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59322_ _59487_/A _59322_/B _59321_/Y VGND VGND VPWR VPWR _59328_/B sky130_fd_sc_hd__nor3_2
+XFILLER_268_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71600_ _70501_/Y _71600_/B VGND VGND VPWR VPWR _71600_/X sky130_fd_sc_hd__or2_2
+XFILLER_217_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56534_ _80273_/Q _56772_/B VGND VGND VPWR VPWR _56534_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_302_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41760_ _41753_/A VGND VGND VPWR VPWR _41760_/X sky130_fd_sc_hd__buf_1
+XPHY_38439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53746_ _53661_/A _53758_/B VGND VGND VPWR VPWR _53747_/B sky130_fd_sc_hd__or2_2
+X_72580_ _72563_/A VGND VGND VPWR VPWR _72584_/A sky130_fd_sc_hd__buf_1
+XFILLER_327_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50958_ _61851_/A _50955_/B VGND VGND VPWR VPWR _50958_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_96_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_37705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_626_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_229_1539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_622_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40711_ _40707_/A _40700_/B _66662_/A VGND VGND VPWR VPWR _40711_/Y sky130_fd_sc_hd__nand3_2
+XPHY_27204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59253_ _53304_/A _59764_/B VGND VGND VPWR VPWR _59257_/B sky130_fd_sc_hd__nor2_2
+XFILLER_90_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71531_ _71115_/X _71520_/Y _71523_/Y _71530_/X VGND VGND VPWR VPWR _42636_/B sky130_fd_sc_hd__o22a_4
+XFILLER_83_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56465_ _57413_/A VGND VGND VPWR VPWR _56465_/X sky130_fd_sc_hd__buf_1
+XFILLER_16_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80729_ _80734_/CLK _75266_/Y VGND VGND VPWR VPWR _80729_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_543_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_446_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41691_ _41682_/A _41690_/B VGND VGND VPWR VPWR _41692_/A sky130_fd_sc_hd__or2_2
+X_53677_ _53677_/A _53677_/B VGND VGND VPWR VPWR _77221_/D sky130_fd_sc_hd__nand2_2
+XPHY_37749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_216_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50889_ _77954_/Q _50881_/X VGND VGND VPWR VPWR _50889_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_147_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_420_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58204_ _68318_/A _58056_/B VGND VGND VPWR VPWR _58204_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_426_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_578_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43430_ _43466_/A VGND VGND VPWR VPWR _43430_/X sky130_fd_sc_hd__buf_1
+XFILLER_693_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55416_ _55416_/A _55416_/B VGND VGND VPWR VPWR _55416_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_610_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74250_ _74636_/A _74260_/B VGND VGND VPWR VPWR _74252_/A sky130_fd_sc_hd__or2_2
+XFILLER_164_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40642_ _40755_/B VGND VGND VPWR VPWR _40646_/B sky130_fd_sc_hd__buf_1
+XPHY_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52628_ _52744_/A _52632_/B VGND VGND VPWR VPWR _52628_/X sky130_fd_sc_hd__or2_2
+XPHY_27259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59184_ _59184_/A VGND VGND VPWR VPWR _59578_/A sky130_fd_sc_hd__buf_1
+X_71462_ _70379_/Y _71462_/B VGND VGND VPWR VPWR _71471_/B sky130_fd_sc_hd__nor2_2
+XFILLER_521_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_576_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56396_ _56488_/A _56396_/B _56396_/C VGND VGND VPWR VPWR _56396_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_243_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_246_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_575_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_63_0_u_core.clock clkbuf_9_63_0_u_core.clock/A VGND VGND VPWR VPWR _78405_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_340_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73201_ _75014_/A _73219_/B VGND VGND VPWR VPWR _73202_/B sky130_fd_sc_hd__or2_2
+XPHY_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_224_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58135_ _57970_/A _58135_/B _58134_/Y VGND VGND VPWR VPWR _58135_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_420_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70413_ _70327_/B _70413_/B VGND VGND VPWR VPWR _70413_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_169_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_26558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43361_ _43359_/X _43360_/Y VGND VGND VPWR VPWR _43361_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_220_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55347_ _55347_/A _55347_/B VGND VGND VPWR VPWR _55348_/B sky130_fd_sc_hd__nor2_2
+XFILLER_656_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74181_ _74181_/A VGND VGND VPWR VPWR _74185_/B sky130_fd_sc_hd__buf_1
+XFILLER_385_240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_107_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40573_ _40563_/A _40563_/B _79841_/Q VGND VGND VPWR VPWR _40573_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_12_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52559_ _52575_/B VGND VGND VPWR VPWR _52559_/X sky130_fd_sc_hd__buf_1
+XPHY_26569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71393_ _71083_/A _71392_/Y _71205_/X _70312_/Y VGND VGND VPWR VPWR _71393_/X sky130_fd_sc_hd__o22a_4
+XFILLER_652_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_358_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45100_ _45413_/A VGND VGND VPWR VPWR _45383_/A sky130_fd_sc_hd__buf_1
+XPHY_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42312_ _42312_/A _79001_/Q VGND VGND VPWR VPWR _42312_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_574_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73132_ _73132_/A _73131_/X VGND VGND VPWR VPWR _73132_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_300_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_71_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46080_ _42878_/A VGND VGND VPWR VPWR _46080_/Y sky130_fd_sc_hd__inv_8
+XFILLER_596_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58066_ _57815_/A _58066_/B _58066_/C VGND VGND VPWR VPWR _58067_/C sky130_fd_sc_hd__nor3_2
+XPHY_16079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70344_ _70295_/X _70869_/A VGND VGND VPWR VPWR _70345_/A sky130_fd_sc_hd__or2_2
+XFILLER_547_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_410_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43292_ _43281_/X _43286_/B _67602_/A VGND VGND VPWR VPWR _43292_/Y sky130_fd_sc_hd__nand3_2
+Xclkbuf_opt_3_u_core.clock _79501_/CLK VGND VGND VPWR VPWR _79017_/CLK sky130_fd_sc_hd__clkbuf_16
+XFILLER_693_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55278_ _55310_/A _55278_/B VGND VGND VPWR VPWR _55279_/B sky130_fd_sc_hd__nor2_2
+XFILLER_201_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45031_ _76741_/Q VGND VGND VPWR VPWR _45031_/Y sky130_fd_sc_hd__inv_8
+XFILLER_715_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57017_ _57345_/A _57017_/B _57017_/C VGND VGND VPWR VPWR _57018_/C sky130_fd_sc_hd__nor3_2
+XFILLER_689_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42243_ _42243_/A _42226_/B VGND VGND VPWR VPWR _42244_/B sky130_fd_sc_hd__nor2_2
+XPHY_14633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54229_ _54226_/Y _54228_/X VGND VGND VPWR VPWR _77075_/D sky130_fd_sc_hd__nand2_2
+XFILLER_16_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_554_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73063_ _73061_/Y _73063_/B VGND VGND VPWR VPWR _73063_/Y sky130_fd_sc_hd__nand2_2
+X_77940_ _77937_/CLK _77940_/D VGND VGND VPWR VPWR _77940_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_32001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70275_ _70275_/A _70275_/B VGND VGND VPWR VPWR _70275_/Y sky130_fd_sc_hd__nand2_2
+XPHY_14644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_342_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_175_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_123_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_9 io_in[27] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_32034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72014_ _71919_/X _71921_/B _56543_/A VGND VGND VPWR VPWR _72015_/B sky130_fd_sc_hd__nand3_2
+XFILLER_370_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_126_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42174_ _42172_/X _42174_/B _72466_/B VGND VGND VPWR VPWR _42175_/B sky130_fd_sc_hd__and3_2
+XPHY_14688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_513_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77871_ _77872_/CLK _77871_/D VGND VGND VPWR VPWR _62043_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_136_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_488_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_648_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_31333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79610_ _79610_/CLK _41474_/Y VGND VGND VPWR VPWR _57291_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_238_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41125_ _73236_/A VGND VGND VPWR VPWR _41253_/A sky130_fd_sc_hd__buf_1
+XFILLER_62_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76822_ _76684_/CLK _76822_/D VGND VGND VPWR VPWR _41696_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_611_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49770_ _62725_/A _49765_/X VGND VGND VPWR VPWR _49770_/Y sky130_fd_sc_hd__nand2_2
+XPHY_30610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46982_ _71620_/A _46982_/B VGND VGND VPWR VPWR _46984_/B sky130_fd_sc_hd__nor2_2
+X_58968_ _59119_/A VGND VGND VPWR VPWR _58968_/X sky130_fd_sc_hd__buf_1
+XPHY_13998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_84_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48721_ _48721_/A _48724_/B VGND VGND VPWR VPWR _48721_/X sky130_fd_sc_hd__or2_2
+XPHY_9262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79541_ _79540_/CLK _42003_/Y VGND VGND VPWR VPWR _41996_/A sky130_fd_sc_hd__dfxtp_4
+X_57919_ _57908_/Y _57919_/B VGND VGND VPWR VPWR _57920_/B sky130_fd_sc_hd__nor2_2
+X_41056_ _39155_/A VGND VGND VPWR VPWR _41056_/X sky130_fd_sc_hd__buf_1
+XFILLER_49_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45933_ _45873_/X VGND VGND VPWR VPWR _45943_/B sky130_fd_sc_hd__buf_1
+XPHY_31399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76753_ _78860_/CLK _76753_/D VGND VGND VPWR VPWR _76753_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_20131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73965_ _73965_/A _73973_/B VGND VGND VPWR VPWR _73965_/X sky130_fd_sc_hd__or2_2
+XFILLER_513_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_253_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58899_ _59318_/A VGND VGND VPWR VPWR _59373_/A sky130_fd_sc_hd__buf_1
+XFILLER_691_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_23_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40007_ _76066_/A _39888_/B VGND VGND VPWR VPWR _40030_/A sky130_fd_sc_hd__or2_2
+XFILLER_267_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75704_ _75704_/A _75703_/Y VGND VGND VPWR VPWR _80623_/D sky130_fd_sc_hd__nand2_2
+XPHY_20164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_663_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60930_ _59335_/A VGND VGND VPWR VPWR _61086_/B sky130_fd_sc_hd__buf_1
+XFILLER_310_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48652_ _63844_/A _48652_/B VGND VGND VPWR VPWR _48652_/Y sky130_fd_sc_hd__nand2_2
+XPHY_8572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72916_ _72916_/A _72916_/B VGND VGND VPWR VPWR _72916_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_466_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79472_ _79472_/CLK _42616_/Y VGND VGND VPWR VPWR _79472_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_349_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45864_ _45747_/Y _45851_/X VGND VGND VPWR VPWR _45865_/B sky130_fd_sc_hd__nor2_2
+XFILLER_286_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76684_ _76684_/CLK _76684_/D VGND VGND VPWR VPWR _76684_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_672_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73896_ _73655_/A _73885_/B VGND VGND VPWR VPWR _73896_/X sky130_fd_sc_hd__or2_2
+XFILLER_645_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_471_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_269_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47603_ _64042_/A _47607_/B VGND VGND VPWR VPWR _47603_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_640_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_624_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78423_ _78428_/CLK _49100_/Y VGND VGND VPWR VPWR _49097_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_483_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44815_ _44815_/A _44759_/X _44798_/Y VGND VGND VPWR VPWR _44818_/A sky130_fd_sc_hd__or3_2
+XPHY_7871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75635_ _75876_/A _75635_/B VGND VGND VPWR VPWR _75635_/X sky130_fd_sc_hd__or2_2
+XFILLER_466_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_624_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60861_ _60861_/A _60235_/B VGND VGND VPWR VPWR _60863_/B sky130_fd_sc_hd__nor2_2
+XFILLER_40_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72847_ _72941_/A VGND VGND VPWR VPWR _72857_/B sky130_fd_sc_hd__buf_1
+XFILLER_409_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48583_ _48456_/X _48583_/B VGND VGND VPWR VPWR _48584_/B sky130_fd_sc_hd__or2_2
+XPHY_7882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45795_ _45795_/A VGND VGND VPWR VPWR _45810_/B sky130_fd_sc_hd__buf_1
+XFILLER_443_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_75_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_47_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62600_ _78322_/Q _63064_/B VGND VGND VPWR VPWR _62601_/C sky130_fd_sc_hd__nor2_2
+XPHY_39652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_212_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_186_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47534_ _47627_/A _47492_/X VGND VGND VPWR VPWR _47534_/X sky130_fd_sc_hd__or2_2
+XFILLER_663_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78354_ _76844_/CLK _78354_/D VGND VGND VPWR VPWR _62589_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44746_ _44746_/A _44716_/B _44746_/C VGND VGND VPWR VPWR _44749_/A sky130_fd_sc_hd__or3_2
+XFILLER_48_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63580_ _63580_/A _63580_/B _63580_/C VGND VGND VPWR VPWR _63588_/B sky130_fd_sc_hd__nor3_2
+XFILLER_182_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75566_ _75566_/A VGND VGND VPWR VPWR _76205_/A sky130_fd_sc_hd__buf_1
+XFILLER_368_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41958_ _46002_/A _55264_/A _41958_/C VGND VGND VPWR VPWR _41958_/X sky130_fd_sc_hd__or3_2
+XFILLER_130_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_542_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60792_ _60331_/A _60792_/B _60792_/C VGND VGND VPWR VPWR _60796_/B sky130_fd_sc_hd__nor3_2
+XPHY_29151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72778_ _72776_/X _72778_/B VGND VGND VPWR VPWR _72778_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_526_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_483_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_569_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77305_ _76882_/CLK _77305_/D VGND VGND VPWR VPWR _53364_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_56_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62531_ _78218_/Q _62531_/B VGND VGND VPWR VPWR _62533_/B sky130_fd_sc_hd__nor2_2
+XFILLER_369_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74517_ _74513_/X _74516_/X VGND VGND VPWR VPWR _74517_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_520_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40909_ _40901_/A _40906_/B _58416_/A VGND VGND VPWR VPWR _40909_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47465_ _47433_/A _47480_/B VGND VGND VPWR VPWR _47465_/X sky130_fd_sc_hd__or2_2
+XFILLER_250_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_63_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71729_ _71729_/A _71729_/B VGND VGND VPWR VPWR _71729_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_147_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78285_ _78281_/CLK _49642_/Y VGND VGND VPWR VPWR _61798_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_38973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44677_ _44797_/A _44797_/B _44676_/X VGND VGND VPWR VPWR _44794_/C sky130_fd_sc_hd__or3_2
+XFILLER_524_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75497_ _75497_/A _75501_/B VGND VGND VPWR VPWR _75499_/A sky130_fd_sc_hd__or2_2
+XFILLER_612_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41889_ _41868_/X _41888_/X _45397_/A _41874_/X VGND VGND VPWR VPWR _79547_/D sky130_fd_sc_hd__o22a_4
+XPHY_38984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_679_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_63_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49204_ _49082_/A _49201_/B VGND VGND VPWR VPWR _49204_/X sky130_fd_sc_hd__or2_2
+XFILLER_16_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_557_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46416_ _43138_/Y _46424_/A _46412_/Y _46426_/A VGND VGND VPWR VPWR _46461_/A sky130_fd_sc_hd__a211o_4
+X_65250_ _80404_/Q _65909_/B VGND VGND VPWR VPWR _65250_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_90_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_657_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77236_ _77232_/CLK _53620_/Y VGND VGND VPWR VPWR _77236_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_460_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43628_ _43791_/A VGND VGND VPWR VPWR _43628_/X sky130_fd_sc_hd__buf_1
+X_62462_ _77954_/Q _62149_/X VGND VGND VPWR VPWR _62462_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_108_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74448_ _67909_/A VGND VGND VPWR VPWR _74448_/Y sky130_fd_sc_hd__inv_8
+XFILLER_340_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_95_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47396_ _47433_/A _47414_/B VGND VGND VPWR VPWR _47396_/X sky130_fd_sc_hd__or2_2
+XFILLER_499_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_403_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_182_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_37_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64201_ _64201_/A _64167_/B VGND VGND VPWR VPWR _64201_/Y sky130_fd_sc_hd__nor2_2
+XPHY_27771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_501_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49135_ _78413_/Q _49114_/B VGND VGND VPWR VPWR _49137_/A sky130_fd_sc_hd__nand2_2
+XFILLER_37_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61413_ _61413_/A _61254_/B VGND VGND VPWR VPWR _61415_/B sky130_fd_sc_hd__nor2_2
+XFILLER_495_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46347_ _46355_/A _46347_/B VGND VGND VPWR VPWR _46348_/C sky130_fd_sc_hd__nor2_2
+XFILLER_477_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65181_ _65851_/A _65181_/B _65180_/Y VGND VGND VPWR VPWR _65185_/B sky130_fd_sc_hd__nor3_2
+XPHY_27793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77167_ _77663_/CLK _77167_/D VGND VGND VPWR VPWR _77167_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_54_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43559_ _71108_/A _43552_/B VGND VGND VPWR VPWR _43559_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_594_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62393_ _62242_/A _62391_/Y _62393_/C VGND VGND VPWR VPWR _62393_/Y sky130_fd_sc_hd__nor3_2
+XPHY_17270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74379_ _74388_/A _74388_/B _80948_/Q VGND VGND VPWR VPWR _74379_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_305_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_349_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64132_ _65877_/A VGND VGND VPWR VPWR _69441_/B sky130_fd_sc_hd__buf_1
+XFILLER_553_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76118_ _76124_/A _76118_/B _68049_/A VGND VGND VPWR VPWR _76118_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_572_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_490_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49066_ _49066_/A _49053_/X VGND VGND VPWR VPWR _49066_/X sky130_fd_sc_hd__or2_2
+X_61344_ _61344_/A _61344_/B _61344_/C VGND VGND VPWR VPWR _61344_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_538_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46278_ _46278_/A _46278_/B _46241_/A VGND VGND VPWR VPWR _46278_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_473_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_301_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77098_ _77093_/CLK _77098_/D VGND VGND VPWR VPWR _54142_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_223_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_395_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_581_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_714_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48017_ _48040_/B VGND VGND VPWR VPWR _48039_/B sky130_fd_sc_hd__buf_1
+XFILLER_102_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64063_ _59533_/A _64062_/Y VGND VGND VPWR VPWR _64064_/C sky130_fd_sc_hd__nor2_2
+X_68940_ _68940_/A _69362_/B VGND VGND VPWR VPWR _68940_/Y sky130_fd_sc_hd__nor2_2
+X_45229_ _45429_/A _45229_/B VGND VGND VPWR VPWR _45229_/Y sky130_fd_sc_hd__nor2_2
+X_76049_ _76047_/X _76048_/Y VGND VGND VPWR VPWR _80532_/D sky130_fd_sc_hd__nand2_2
+XFILLER_175_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_128_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61275_ _59078_/X VGND VGND VPWR VPWR _61276_/B sky130_fd_sc_hd__buf_1
+XFILLER_204_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63014_ _62547_/A _63014_/B _63014_/C VGND VGND VPWR VPWR _63035_/A sky130_fd_sc_hd__nor3_2
+XFILLER_451_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_613_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60226_ _60226_/A _59902_/B VGND VGND VPWR VPWR _60226_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_271_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_580_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68871_ _68365_/X _68869_/Y _68870_/Y VGND VGND VPWR VPWR _68871_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_334_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_154_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67822_ _67822_/A _67820_/Y _67822_/C VGND VGND VPWR VPWR _67822_/Y sky130_fd_sc_hd__nor3_2
+X_79808_ _79809_/CLK _79808_/D VGND VGND VPWR VPWR _67325_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_695_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60157_ _59838_/A _60157_/B _60157_/C VGND VGND VPWR VPWR _60157_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_274_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_514_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49968_ _49968_/A _49967_/X VGND VGND VPWR VPWR _49968_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_354_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_119_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_664_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_531_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39721_ _39727_/A _39710_/X _80050_/Q VGND VGND VPWR VPWR _39721_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_217_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_312_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48919_ _49043_/A _54666_/A VGND VGND VPWR VPWR _48920_/A sky130_fd_sc_hd__or2_2
+XFILLER_230_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67753_ _68401_/A _67753_/B _67753_/C VGND VGND VPWR VPWR _67754_/C sky130_fd_sc_hd__nor3_2
+XFILLER_80_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79739_ _79737_/CLK _79739_/D VGND VGND VPWR VPWR _79739_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_547_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64965_ _80946_/Q _64799_/B VGND VGND VPWR VPWR _64967_/B sky130_fd_sc_hd__nor2_2
+X_60088_ _59923_/A _60086_/Y _60088_/C VGND VGND VPWR VPWR _60088_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_351_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_97_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_217_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49899_ _49897_/Y _49899_/B VGND VGND VPWR VPWR _78217_/D sky130_fd_sc_hd__nand2_2
+XFILLER_419_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_566_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_512_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66704_ _66704_/A _66704_/B _66704_/C VGND VGND VPWR VPWR _66708_/B sky130_fd_sc_hd__nor3_2
+XFILLER_647_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51930_ _51930_/A _51939_/B VGND VGND VPWR VPWR _51930_/X sky130_fd_sc_hd__or2_2
+XFILLER_474_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_449_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39652_ _39147_/A _39647_/B VGND VGND VPWR VPWR _39654_/A sky130_fd_sc_hd__or2_2
+XFILLER_367_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_300_240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63916_ _63916_/A _63519_/X VGND VGND VPWR VPWR _63916_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_691_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_566_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_154_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67684_ _44766_/A VGND VGND VPWR VPWR _67684_/Y sky130_fd_sc_hd__inv_8
+XFILLER_410_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_152_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64896_ _65255_/A _64896_/B _64896_/C VGND VGND VPWR VPWR _64897_/B sky130_fd_sc_hd__nor3_2
+XFILLER_85_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_323_0_u_core.clock clkbuf_9_323_0_u_core.clock/A VGND VGND VPWR VPWR _78660_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_403_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_508_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_6_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_564_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_386_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38603_ _74806_/A VGND VGND VPWR VPWR _38604_/A sky130_fd_sc_hd__buf_1
+XFILLER_26_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_662_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_445_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69423_ _69423_/A VGND VGND VPWR VPWR _69423_/Y sky130_fd_sc_hd__inv_8
+XFILLER_111_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_705_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66635_ _57425_/A _65981_/B VGND VGND VPWR VPWR _66637_/B sky130_fd_sc_hd__nor2_2
+XFILLER_465_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51861_ _51895_/A _51884_/B VGND VGND VPWR VPWR _51861_/X sky130_fd_sc_hd__or2_2
+XFILLER_449_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39583_ _39213_/A _39594_/B VGND VGND VPWR VPWR _39583_/X sky130_fd_sc_hd__or2_2
+XFILLER_367_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63847_ _63847_/A _59443_/B VGND VGND VPWR VPWR _63848_/C sky130_fd_sc_hd__nor2_2
+XPHY_5209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_403_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_566_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_607_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53600_ _53515_/A _53609_/B VGND VGND VPWR VPWR _53601_/B sky130_fd_sc_hd__or2_2
+XFILLER_408_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_380_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_697_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38534_ _76140_/A VGND VGND VPWR VPWR _38676_/A sky130_fd_sc_hd__buf_1
+X_50812_ _61676_/A _50794_/B VGND VGND VPWR VPWR _50812_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_465_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_525_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69354_ _79597_/Q _69354_/B VGND VGND VPWR VPWR _69354_/Y sky130_fd_sc_hd__nor2_2
+XPHY_4508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54580_ _54580_/A _54580_/B VGND VGND VPWR VPWR _76981_/D sky130_fd_sc_hd__nand2_2
+XFILLER_39_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66566_ _66566_/A _66564_/Y _66566_/C VGND VGND VPWR VPWR _66566_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_588_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51792_ _60503_/A _51789_/B VGND VGND VPWR VPWR _51794_/A sky130_fd_sc_hd__nand2_2
+XPHY_4519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_492_0_u_core.clock clkbuf_9_493_0_u_core.clock/A VGND VGND VPWR VPWR _80346_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_380_3067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63778_ _63778_/A _63359_/X VGND VGND VPWR VPWR _63778_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_544_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_81_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_199_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68305_ _68305_/A _68305_/B _68305_/C VGND VGND VPWR VPWR _68306_/C sky130_fd_sc_hd__nor3_2
+XFILLER_281_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53531_ _60376_/A _53542_/B VGND VGND VPWR VPWR _53534_/A sky130_fd_sc_hd__nand2_2
+XFILLER_430_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65517_ _64600_/A VGND VGND VPWR VPWR _68810_/A sky130_fd_sc_hd__buf_1
+XFILLER_65_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38465_ _75585_/A _38224_/B VGND VGND VPWR VPWR _38493_/A sky130_fd_sc_hd__or2_2
+X_50743_ _50740_/Y _50743_/B VGND VGND VPWR VPWR _50743_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_560_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62729_ _78283_/Q _62577_/B VGND VGND VPWR VPWR _62731_/B sky130_fd_sc_hd__nor2_2
+X_69285_ _69285_/A _69283_/Y _69284_/Y VGND VGND VPWR VPWR _69417_/C sky130_fd_sc_hd__or3_2
+XFILLER_25_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_599_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_700_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_640_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66497_ _66167_/A _66497_/B _66497_/C VGND VGND VPWR VPWR _66501_/B sky130_fd_sc_hd__nor3_2
+XFILLER_694_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_497_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_439_0_u_core.clock clkbuf_9_439_0_u_core.clock/A VGND VGND VPWR VPWR _80200_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_403_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80514_ _80473_/CLK _80514_/D VGND VGND VPWR VPWR _76133_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_577_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68236_ _68401_/A _68236_/B _68236_/C VGND VGND VPWR VPWR _68237_/C sky130_fd_sc_hd__nor3_2
+XFILLER_421_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56250_ _58833_/A _56250_/B _56249_/Y VGND VGND VPWR VPWR _56250_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_80_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53462_ _77277_/Q _53441_/B VGND VGND VPWR VPWR _53464_/A sky130_fd_sc_hd__nand2_2
+X_65448_ _68130_/A VGND VGND VPWR VPWR _65449_/B sky130_fd_sc_hd__buf_1
+XFILLER_361_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38396_ _38394_/X _38396_/B VGND VGND VPWR VPWR _80386_/D sky130_fd_sc_hd__nand2_2
+XFILLER_202_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50674_ _50645_/A _50692_/B VGND VGND VPWR VPWR _50674_/X sky130_fd_sc_hd__or2_2
+XFILLER_503_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81494_ _81499_/CLK _81494_/D VGND VGND VPWR VPWR _65652_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_17_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_557_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_421_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_693_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55201_ _55100_/C VGND VGND VPWR VPWR _55201_/Y sky130_fd_sc_hd__inv_8
+XFILLER_241_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52413_ _61116_/A _52413_/B VGND VGND VPWR VPWR _52413_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56181_ _56169_/A _55306_/B VGND VGND VPWR VPWR _56186_/B sky130_fd_sc_hd__nor2_2
+X_80445_ _80414_/CLK _76413_/Y VGND VGND VPWR VPWR _66733_/A sky130_fd_sc_hd__dfxtp_4
+X_68167_ _68167_/A _67842_/B VGND VGND VPWR VPWR _68167_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_601_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53393_ _53451_/A _53402_/B VGND VGND VPWR VPWR _53393_/X sky130_fd_sc_hd__or2_2
+XFILLER_21_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65379_ _65379_/A _65204_/B VGND VGND VPWR VPWR _65551_/B sky130_fd_sc_hd__nor2_2
+XFILLER_519_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_159_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_107_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_202_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_52_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_161_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55132_ _55132_/A _55115_/Y VGND VGND VPWR VPWR _55132_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_556_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67118_ _57694_/A _66613_/X VGND VGND VPWR VPWR _67118_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_358_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52344_ _48489_/X _52280_/B VGND VGND VPWR VPWR _52347_/A sky130_fd_sc_hd__or2_2
+XFILLER_590_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80376_ _80436_/CLK _80376_/D VGND VGND VPWR VPWR _80376_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_532_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68098_ _68253_/A _68094_/Y _68098_/C VGND VGND VPWR VPWR _68098_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_300_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_456_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39017_ _39024_/A _39017_/B _80230_/Q VGND VGND VPWR VPWR _39017_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_551_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59940_ _59460_/A _59940_/B _59939_/Y VGND VGND VPWR VPWR _59940_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_382_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55063_ _46018_/A _55062_/X VGND VGND VPWR VPWR _55063_/X sky130_fd_sc_hd__or2_2
+XFILLER_653_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67049_ _67049_/A _66890_/B VGND VGND VPWR VPWR _67049_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_653_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_11_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52275_ _77589_/Q _52275_/B VGND VGND VPWR VPWR _52277_/A sky130_fd_sc_hd__nand2_2
+XFILLER_536_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_3059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_257_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54014_ _54030_/B VGND VGND VPWR VPWR _54014_/X sky130_fd_sc_hd__buf_1
+XFILLER_108_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_135_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51226_ _53137_/A _51225_/X VGND VGND VPWR VPWR _51524_/A sky130_fd_sc_hd__or2_2
+XFILLER_198_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70060_ _70680_/B VGND VGND VPWR VPWR _70061_/A sky130_fd_sc_hd__buf_1
+XPHY_13239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59871_ _59871_/A _59549_/B VGND VGND VPWR VPWR _59871_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_512_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_630_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_276_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_612_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_135_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_540_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_219_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_571_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58822_ _80622_/Q _56447_/B VGND VGND VPWR VPWR _58824_/B sky130_fd_sc_hd__nor2_2
+XFILLER_391_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_647_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51157_ _62250_/A _51157_/B VGND VGND VPWR VPWR _51157_/Y sky130_fd_sc_hd__nand2_2
+XPHY_11804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_500_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_235_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50108_ _50108_/A _50116_/B VGND VGND VPWR VPWR _50108_/X sky130_fd_sc_hd__or2_2
+XFILLER_289_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39919_ _39907_/X _39912_/X _80007_/Q VGND VGND VPWR VPWR _39920_/B sky130_fd_sc_hd__nand3_2
+XFILLER_137_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58753_ _58753_/A _58753_/B _58753_/C VGND VGND VPWR VPWR _58754_/C sky130_fd_sc_hd__nor3_2
+XFILLER_311_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_153_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_587_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51088_ _51111_/B VGND VGND VPWR VPWR _51110_/B sky130_fd_sc_hd__buf_1
+XFILLER_24_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55965_ _55965_/A VGND VGND VPWR VPWR _55984_/A sky130_fd_sc_hd__buf_1
+XFILLER_163_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_315_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57704_ _57696_/Y _57703_/Y VGND VGND VPWR VPWR _57704_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_235_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_27_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42930_ _42930_/A _42930_/B VGND VGND VPWR VPWR _42930_/X sky130_fd_sc_hd__or2_2
+XFILLER_118_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50039_ _78179_/Q _50049_/B VGND VGND VPWR VPWR _50041_/A sky130_fd_sc_hd__nand2_2
+X_54916_ _60087_/A _54920_/B VGND VGND VPWR VPWR _54919_/A sky130_fd_sc_hd__nand2_2
+XFILLER_333_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73750_ _73750_/A _73750_/B VGND VGND VPWR VPWR _73754_/A sky130_fd_sc_hd__or2_2
+XFILLER_330_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_647_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58684_ _69229_/A _56285_/B VGND VGND VPWR VPWR _58684_/Y sky130_fd_sc_hd__nor2_2
+X_70962_ _70812_/A _72025_/B VGND VGND VPWR VPWR _72026_/A sky130_fd_sc_hd__and2_2
+XFILLER_663_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55896_ _55896_/A _55895_/Y VGND VGND VPWR VPWR _55896_/X sky130_fd_sc_hd__or2_2
+XFILLER_465_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72701_ _72699_/X _72701_/B VGND VGND VPWR VPWR _72701_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57635_ _57635_/A _57633_/Y _57635_/C VGND VGND VPWR VPWR _57636_/C sky130_fd_sc_hd__nor3_2
+XFILLER_236_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42861_ _79447_/Q _42843_/A VGND VGND VPWR VPWR _42861_/Y sky130_fd_sc_hd__nor2_2
+X_54847_ _58953_/A _54843_/B VGND VGND VPWR VPWR _54847_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_682_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73681_ _73671_/A _73671_/B _73681_/C VGND VGND VPWR VPWR _73682_/B sky130_fd_sc_hd__nand3_2
+XFILLER_264_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_606_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_385_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_56_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70893_ _69915_/X _69920_/B VGND VGND VPWR VPWR _70893_/X sky130_fd_sc_hd__or2_2
+XFILLER_188_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_723_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_264_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44600_ _74792_/A _44570_/B VGND VGND VPWR VPWR _44601_/C sky130_fd_sc_hd__nor2_2
+XPHY_6466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75420_ _75410_/X _75313_/B _75420_/C VGND VGND VPWR VPWR _75420_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_287_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_63_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41812_ wbs_dat_i[5] VGND VGND VPWR VPWR _41812_/Y sky130_fd_sc_hd__inv_8
+XPHY_38214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72632_ _72632_/A _72632_/B _81380_/Q VGND VGND VPWR VPWR _72633_/B sky130_fd_sc_hd__nand3_2
+XFILLER_324_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_248_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_704_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45580_ _71403_/A _45580_/B VGND VGND VPWR VPWR _45581_/C sky130_fd_sc_hd__nor2_2
+X_57566_ _80126_/Q _57566_/B VGND VGND VPWR VPWR _57567_/C sky130_fd_sc_hd__nor2_2
+XFILLER_406_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42792_ _42528_/A _42791_/X VGND VGND VPWR VPWR _42792_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_504_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54778_ _54807_/A _54775_/B VGND VGND VPWR VPWR _54779_/B sky130_fd_sc_hd__or2_2
+XFILLER_5_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_2_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_44_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59305_ _58887_/A VGND VGND VPWR VPWR _59306_/A sky130_fd_sc_hd__buf_1
+XFILLER_53_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_245_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44531_ _44531_/A _44538_/B VGND VGND VPWR VPWR _44537_/B sky130_fd_sc_hd__nor2_2
+XPHY_5776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_524_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56517_ _56517_/A _56679_/B VGND VGND VPWR VPWR _56520_/B sky130_fd_sc_hd__nor2_2
+XFILLER_328_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75351_ _75351_/A _75351_/B VGND VGND VPWR VPWR _75351_/X sky130_fd_sc_hd__or2_2
+X_41743_ _41741_/Y _41731_/X _41742_/Y _41733_/X VGND VGND VPWR VPWR _41743_/X sky130_fd_sc_hd__o22a_4
+XPHY_37524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53729_ _53701_/A _53729_/B VGND VGND VPWR VPWR _53729_/X sky130_fd_sc_hd__or2_2
+XPHY_38269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72563_ _72563_/A VGND VGND VPWR VPWR _72571_/A sky130_fd_sc_hd__buf_1
+XPHY_5787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57497_ _57417_/A _57493_/Y _57497_/C VGND VGND VPWR VPWR _57498_/B sky130_fd_sc_hd__nor3_2
+XFILLER_272_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74302_ _74308_/A _74308_/B _80969_/Q VGND VGND VPWR VPWR _74302_/Y sky130_fd_sc_hd__nand3_2
+XPHY_37557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_207_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_504_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47250_ _47249_/X VGND VGND VPWR VPWR _47387_/A sky130_fd_sc_hd__buf_1
+X_71514_ _71514_/A VGND VGND VPWR VPWR _71515_/A sky130_fd_sc_hd__inv_8
+XFILLER_654_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59236_ _77341_/Q _63757_/B VGND VGND VPWR VPWR _59237_/C sky130_fd_sc_hd__nor2_2
+X_78070_ _78070_/CLK _78070_/D VGND VGND VPWR VPWR _61893_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44462_ _44520_/A _44462_/B VGND VGND VPWR VPWR _44462_/Y sky130_fd_sc_hd__nor2_2
+X_56448_ _64684_/A _56448_/B VGND VGND VPWR VPWR _56448_/Y sky130_fd_sc_hd__nor2_2
+XPHY_27045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_328_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75282_ _74921_/A _75271_/B VGND VGND VPWR VPWR _75282_/X sky130_fd_sc_hd__or2_2
+XFILLER_521_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41674_ _55173_/A _76836_/Q _41674_/C _41674_/D VGND VGND VPWR VPWR _41674_/X sky130_fd_sc_hd__or4_2
+XFILLER_232_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_6_41_0_u_core.clock ANTENNA_558/DIODE VGND VGND VPWR VPWR clkbuf_7_83_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_72494_ _72195_/A _72482_/B VGND VGND VPWR VPWR _72494_/X sky130_fd_sc_hd__or2_2
+XPHY_27056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_598_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46201_ _43011_/Y _46216_/A VGND VGND VPWR VPWR _46201_/X sky130_fd_sc_hd__or2_2
+XFILLER_129_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77021_ _77029_/CLK _54431_/Y VGND VGND VPWR VPWR _77021_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_281_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43413_ _43413_/A _43406_/B _57925_/A VGND VGND VPWR VPWR _43413_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_53_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74233_ _74231_/X _74233_/B VGND VGND VPWR VPWR _74233_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_35_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40625_ _40987_/A _40522_/X VGND VGND VPWR VPWR _40627_/A sky130_fd_sc_hd__or2_2
+XPHY_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47181_ _47113_/B VGND VGND VPWR VPWR _47182_/B sky130_fd_sc_hd__inv_8
+XFILLER_213_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_693_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59167_ _59238_/A VGND VGND VPWR VPWR _59727_/A sky130_fd_sc_hd__buf_1
+XFILLER_398_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_51_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71445_ _71443_/X _71445_/B VGND VGND VPWR VPWR _71445_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_619_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_594_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44393_ _44393_/A _44393_/B VGND VGND VPWR VPWR _44393_/X sky130_fd_sc_hd__or2_2
+X_56379_ _58461_/A VGND VGND VPWR VPWR _58808_/B sky130_fd_sc_hd__buf_1
+XPHY_25610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_548_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_16_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46132_ _44935_/A _43111_/Y VGND VGND VPWR VPWR _46133_/D sky130_fd_sc_hd__nor2_2
+XFILLER_404_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58118_ _58517_/A VGND VGND VPWR VPWR _58357_/B sky130_fd_sc_hd__buf_1
+XPHY_185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_8_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43344_ _43350_/A _43354_/B _65295_/A VGND VGND VPWR VPWR _43344_/Y sky130_fd_sc_hd__nand3_2
+XPHY_15120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74164_ _74164_/A _74167_/B VGND VGND VPWR VPWR _74164_/X sky130_fd_sc_hd__or2_2
+X_40556_ _40414_/A _40565_/B VGND VGND VPWR VPWR _40556_/X sky130_fd_sc_hd__or2_2
+XPHY_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71376_ _71376_/A _71376_/B _68121_/A VGND VGND VPWR VPWR _71376_/Y sky130_fd_sc_hd__nand3_2
+X_59098_ _59098_/A _59375_/B VGND VGND VPWR VPWR _59099_/C sky130_fd_sc_hd__nor2_2
+XPHY_15131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_275_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_103_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_3071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_455_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73115_ _74946_/A _73253_/B VGND VGND VPWR VPWR _73115_/X sky130_fd_sc_hd__or2_2
+XFILLER_9_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46063_ _46063_/A _46063_/B VGND VGND VPWR VPWR _46064_/C sky130_fd_sc_hd__nor2_2
+X_58049_ _58049_/A VGND VGND VPWR VPWR _58052_/A sky130_fd_sc_hd__buf_1
+XFILLER_157_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70327_ _70007_/B _70327_/B VGND VGND VPWR VPWR _70328_/B sky130_fd_sc_hd__or2_2
+XFILLER_491_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43275_ _43275_/A _43275_/B VGND VGND VPWR VPWR _43275_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_201_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74095_ _74764_/A VGND VGND VPWR VPWR _74491_/A sky130_fd_sc_hd__buf_1
+XPHY_15175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78972_ _79497_/CLK _78972_/D VGND VGND VPWR VPWR _46089_/B sky130_fd_sc_hd__dfxtp_4
+X_40487_ _39220_/A VGND VGND VPWR VPWR _40615_/A sky130_fd_sc_hd__buf_1
+XPHY_24964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_516_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_374_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_554_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45014_ _45014_/A _45533_/B VGND VGND VPWR VPWR _45015_/B sky130_fd_sc_hd__nor2_2
+XFILLER_218_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42226_ _42226_/A _42226_/B VGND VGND VPWR VPWR _42227_/B sky130_fd_sc_hd__nor2_2
+X_61060_ _60440_/A _61056_/Y _61060_/C VGND VGND VPWR VPWR _61061_/C sky130_fd_sc_hd__nor3_2
+XFILLER_120_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73046_ _73082_/A VGND VGND VPWR VPWR _73061_/B sky130_fd_sc_hd__buf_1
+X_77923_ _77915_/CLK _77923_/D VGND VGND VPWR VPWR _62641_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_172_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_374_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70258_ _70258_/A VGND VGND VPWR VPWR _70259_/A sky130_fd_sc_hd__inv_8
+XFILLER_711_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_342_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_201_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60011_ _60011_/A VGND VGND VPWR VPWR _60012_/B sky130_fd_sc_hd__buf_1
+XFILLER_437_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_9_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49822_ _49936_/A _49822_/B VGND VGND VPWR VPWR _49822_/X sky130_fd_sc_hd__or2_2
+XFILLER_49_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_114_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_374_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42157_ _42157_/A VGND VGND VPWR VPWR _42178_/A sky130_fd_sc_hd__buf_1
+XFILLER_687_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77854_ _77854_/CLK _51275_/Y VGND VGND VPWR VPWR _77854_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70189_ _70023_/X _70189_/B VGND VGND VPWR VPWR _70189_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_218_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_140_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_488_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_475_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41108_ _41107_/X _41089_/X VGND VGND VPWR VPWR _41108_/X sky130_fd_sc_hd__or2_2
+XPHY_31174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_351_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76805_ _76800_/CLK _55490_/Y VGND VGND VPWR VPWR _76805_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_141_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49753_ _49751_/Y _49752_/X VGND VGND VPWR VPWR _78256_/D sky130_fd_sc_hd__nand2_2
+XPHY_30440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_390_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46965_ _46965_/A _46932_/B VGND VGND VPWR VPWR _46967_/B sky130_fd_sc_hd__nor2_2
+X_42088_ _42088_/A VGND VGND VPWR VPWR _42096_/C sky130_fd_sc_hd__buf_1
+XFILLER_618_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77785_ _77787_/CLK _51541_/Y VGND VGND VPWR VPWR _51539_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74997_ _74997_/A _74996_/X VGND VGND VPWR VPWR _80801_/D sky130_fd_sc_hd__nand2_2
+XFILLER_335_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_62_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_706_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_42_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48704_ _48704_/A _54460_/A VGND VGND VPWR VPWR _48705_/A sky130_fd_sc_hd__or2_2
+XPHY_9092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79524_ _79119_/CLK _42103_/Y VGND VGND VPWR VPWR _42101_/A sky130_fd_sc_hd__dfxtp_4
+X_41039_ _41038_/X _41019_/B VGND VGND VPWR VPWR _41041_/A sky130_fd_sc_hd__or2_2
+X_45916_ _41642_/C _45930_/B VGND VGND VPWR VPWR _45918_/B sky130_fd_sc_hd__nor2_2
+XFILLER_171_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64750_ _80881_/Q _64750_/B VGND VGND VPWR VPWR _64752_/B sky130_fd_sc_hd__nor2_2
+XFILLER_171_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76736_ _78860_/CLK _60890_/Y VGND VGND VPWR VPWR _45191_/A sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_7_21_0_u_core.clock clkbuf_7_21_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_42_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_95_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61962_ _59594_/A VGND VGND VPWR VPWR _62592_/B sky130_fd_sc_hd__buf_1
+X_73948_ _73892_/X VGND VGND VPWR VPWR _73963_/B sky130_fd_sc_hd__buf_1
+X_49684_ _49681_/Y _49683_/X VGND VGND VPWR VPWR _49684_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_268_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46896_ _46035_/A VGND VGND VPWR VPWR _46896_/X sky130_fd_sc_hd__buf_1
+XFILLER_456_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_45_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_665_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63701_ _63832_/A _63697_/Y _63700_/Y VGND VGND VPWR VPWR _63702_/C sky130_fd_sc_hd__nor3_2
+XFILLER_184_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60913_ _60289_/A _60913_/B _60912_/Y VGND VGND VPWR VPWR _60914_/C sky130_fd_sc_hd__nor3_2
+XFILLER_266_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_114_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48635_ _48724_/A _48627_/B VGND VGND VPWR VPWR _48636_/B sky130_fd_sc_hd__or2_2
+XFILLER_481_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79455_ _76677_/CLK _79455_/D VGND VGND VPWR VPWR _42789_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_368_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45847_ _45847_/A _45847_/B _45847_/C VGND VGND VPWR VPWR _45848_/C sky130_fd_sc_hd__nor3_2
+X_64681_ _80272_/Q _64839_/B VGND VGND VPWR VPWR _64683_/B sky130_fd_sc_hd__nor2_2
+XFILLER_286_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_266_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76667_ _79353_/CLK _58263_/Y VGND VGND VPWR VPWR _70270_/A sky130_fd_sc_hd__dfxtp_4
+X_61893_ _61893_/A _61892_/X VGND VGND VPWR VPWR _61893_/Y sky130_fd_sc_hd__nor2_2
+X_73879_ _73879_/A _73879_/B VGND VGND VPWR VPWR _81069_/D sky130_fd_sc_hd__nand2_2
+XFILLER_110_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_698_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_208_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_283_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66420_ _81147_/Q _66253_/X VGND VGND VPWR VPWR _66421_/C sky130_fd_sc_hd__nor2_2
+XFILLER_110_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_78_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78406_ _78405_/CLK _78406_/D VGND VGND VPWR VPWR _49161_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_608_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_509_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63632_ _48117_/A _63494_/B VGND VGND VPWR VPWR _63634_/B sky130_fd_sc_hd__nor2_2
+X_75618_ _75656_/A VGND VGND VPWR VPWR _75635_/B sky130_fd_sc_hd__buf_1
+XFILLER_463_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_364_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60844_ _60844_/A _60844_/B VGND VGND VPWR VPWR _60845_/B sky130_fd_sc_hd__nor2_2
+X_48566_ _48566_/A _48566_/B VGND VGND VPWR VPWR _48566_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_444_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79386_ _79347_/CLK _79386_/D VGND VGND VPWR VPWR _67279_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_620_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45778_ _70226_/B VGND VGND VPWR VPWR _45779_/A sky130_fd_sc_hd__inv_8
+XPHY_39460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76598_ VGND VGND VPWR VPWR _76598_/HI la_data_out[94] sky130_fd_sc_hd__conb_1
+XFILLER_466_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_706_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_184_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47517_ _47517_/A VGND VGND VPWR VPWR _47997_/A sky130_fd_sc_hd__buf_1
+X_66351_ _80058_/Q _66350_/X VGND VGND VPWR VPWR _66354_/B sky130_fd_sc_hd__nor2_2
+XFILLER_47_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78337_ _77891_/CLK _49442_/Y VGND VGND VPWR VPWR _78337_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_208_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44729_ _44727_/X _44728_/X VGND VGND VPWR VPWR _44729_/X sky130_fd_sc_hd__and2_2
+XFILLER_264_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_36_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_1_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63563_ _63956_/A _63563_/B _63562_/Y VGND VGND VPWR VPWR _63563_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_97_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_700_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75549_ _75534_/A _75528_/X _65560_/A VGND VGND VPWR VPWR _75550_/B sky130_fd_sc_hd__nand3_2
+XFILLER_63_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_223_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48497_ _48586_/A _48494_/B VGND VGND VPWR VPWR _48498_/B sky130_fd_sc_hd__or2_2
+X_60775_ _59029_/A VGND VGND VPWR VPWR _60943_/B sky130_fd_sc_hd__buf_1
+XFILLER_526_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_162_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_323_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_149_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_307_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_305_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65302_ _64949_/A _65297_/Y _65301_/Y VGND VGND VPWR VPWR _65302_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_264_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38250_ _38260_/A _38260_/B _38250_/C VGND VGND VPWR VPWR _38251_/B sky130_fd_sc_hd__nand3_2
+XFILLER_409_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62514_ _60166_/A VGND VGND VPWR VPWR _62984_/B sky130_fd_sc_hd__buf_1
+XFILLER_62_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69070_ _69070_/A _69069_/Y VGND VGND VPWR VPWR _69071_/B sky130_fd_sc_hd__nor2_2
+XFILLER_676_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47448_ _63097_/A _47451_/B VGND VGND VPWR VPWR _47448_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_147_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66282_ _66282_/A _66117_/B VGND VGND VPWR VPWR _66283_/C sky130_fd_sc_hd__nor2_2
+XFILLER_22_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78268_ _78276_/CLK _78268_/D VGND VGND VPWR VPWR _49709_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_396_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63494_ _78672_/Q _63494_/B VGND VGND VPWR VPWR _63496_/B sky130_fd_sc_hd__nor2_2
+XFILLER_51_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_559_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_260_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_245_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68021_ _68021_/A _68021_/B VGND VGND VPWR VPWR _68176_/A sky130_fd_sc_hd__nor2_2
+XFILLER_121_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65233_ _65233_/A _65043_/B VGND VGND VPWR VPWR _65233_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_503_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77219_ _77211_/CLK _77219_/D VGND VGND VPWR VPWR _77219_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_143_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_207_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_299_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_422_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62445_ _49504_/A _63064_/B VGND VGND VPWR VPWR _62445_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_342_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_637_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47379_ _47446_/A _47382_/B VGND VGND VPWR VPWR _47380_/B sky130_fd_sc_hd__or2_2
+X_78199_ _78189_/CLK _49968_/Y VGND VGND VPWR VPWR _78199_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_340_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_210_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_403_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_538_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49118_ _49116_/Y _49117_/X VGND VGND VPWR VPWR _78419_/D sky130_fd_sc_hd__nand2_2
+XFILLER_280_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80230_ _80226_/CLK _39018_/Y VGND VGND VPWR VPWR _80230_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_379_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_301_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65164_ _65335_/A _65164_/B _65164_/C VGND VGND VPWR VPWR _65168_/B sky130_fd_sc_hd__nor3_2
+XFILLER_676_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_113_0_u_core.clock clkbuf_8_56_0_u_core.clock/X VGND VGND VPWR VPWR _77093_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_34_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50390_ _50388_/Y _50389_/X VGND VGND VPWR VPWR _78087_/D sky130_fd_sc_hd__nand2_2
+XFILLER_108_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62376_ _62376_/A _62227_/B VGND VGND VPWR VPWR _62376_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_713_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_455_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64115_ _44287_/A _65027_/B VGND VGND VPWR VPWR _64115_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_258_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49049_ _63814_/A _49052_/B VGND VGND VPWR VPWR _49051_/A sky130_fd_sc_hd__nand2_2
+X_61327_ _60855_/A _61327_/B _61326_/Y VGND VGND VPWR VPWR _61336_/B sky130_fd_sc_hd__nor3_2
+XFILLER_553_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80161_ _80157_/CLK _39307_/Y VGND VGND VPWR VPWR _80161_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_572_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65095_ _64924_/A _65093_/Y _65094_/Y VGND VGND VPWR VPWR _65095_/Y sky130_fd_sc_hd__nor3_2
+X_69972_ _79482_/Q VGND VGND VPWR VPWR _70095_/A sky130_fd_sc_hd__inv_8
+XFILLER_375_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_222_0_u_core.clock clkbuf_8_222_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_445_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_375_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52060_ _52060_/A _52047_/X VGND VGND VPWR VPWR _52060_/X sky130_fd_sc_hd__or2_2
+XFILLER_631_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_282_0_u_core.clock clkbuf_9_283_0_u_core.clock/A VGND VGND VPWR VPWR _81370_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_68923_ _68758_/A _68923_/B _68923_/C VGND VGND VPWR VPWR _68923_/Y sky130_fd_sc_hd__nor3_2
+X_64046_ _59410_/A _64038_/Y _64046_/C VGND VGND VPWR VPWR _64062_/A sky130_fd_sc_hd__nor3_2
+XFILLER_536_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_3068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61258_ _61101_/A _61258_/B _61257_/Y VGND VGND VPWR VPWR _61258_/Y sky130_fd_sc_hd__nor3_2
+X_80092_ _80095_/CLK _80092_/D VGND VGND VPWR VPWR _39566_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_219_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51011_ _51011_/A _51019_/B VGND VGND VPWR VPWR _51011_/X sky130_fd_sc_hd__or2_2
+XFILLER_232_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_711_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60209_ _60209_/A VGND VGND VPWR VPWR _61776_/A sky130_fd_sc_hd__buf_1
+XFILLER_117_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68854_ _68854_/A _68350_/B VGND VGND VPWR VPWR _68854_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_692_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61189_ _60719_/A _61189_/B _61189_/C VGND VGND VPWR VPWR _61193_/B sky130_fd_sc_hd__nor3_2
+XFILLER_116_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_264_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_293_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_229_0_u_core.clock clkbuf_9_229_0_u_core.clock/A VGND VGND VPWR VPWR _79575_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_451_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_67_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_687_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67805_ _67151_/A _67801_/Y _67805_/C VGND VGND VPWR VPWR _67806_/C sky130_fd_sc_hd__nor3_2
+XFILLER_388_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_41_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68785_ _68785_/A _68946_/B VGND VGND VPWR VPWR _68785_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_466_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65997_ _65329_/A _65997_/B VGND VGND VPWR VPWR _65997_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_28_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39704_ _39704_/A _39692_/X _65849_/A VGND VGND VPWR VPWR _39705_/B sky130_fd_sc_hd__nand3_2
+XFILLER_286_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55750_ _54974_/A _55756_/B VGND VGND VPWR VPWR _55751_/B sky130_fd_sc_hd__or2_2
+XFILLER_230_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67736_ _67235_/A _67736_/B _67735_/Y VGND VGND VPWR VPWR _67737_/B sky130_fd_sc_hd__nor3_2
+XFILLER_369_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_644_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_410_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52962_ _60609_/A _52965_/B VGND VGND VPWR VPWR _52964_/A sky130_fd_sc_hd__nand2_2
+X_64948_ _64264_/A _64948_/B _64948_/C VGND VGND VPWR VPWR _64949_/C sky130_fd_sc_hd__nor3_2
+XFILLER_636_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_213_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_398_0_u_core.clock clkbuf_9_399_0_u_core.clock/A VGND VGND VPWR VPWR _80046_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_258_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_100_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_112_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80994_ _80902_/CLK _80994_/D VGND VGND VPWR VPWR _67574_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_269_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_561_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54701_ _54701_/A _54700_/X VGND VGND VPWR VPWR _76949_/D sky130_fd_sc_hd__nand2_2
+XFILLER_410_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51913_ _60514_/A _51910_/B VGND VGND VPWR VPWR _51915_/A sky130_fd_sc_hd__nand2_2
+XFILLER_468_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39635_ _39127_/A _39643_/B VGND VGND VPWR VPWR _39639_/A sky130_fd_sc_hd__or2_2
+XFILLER_286_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55681_ _55681_/A _55677_/Y _55680_/Y VGND VGND VPWR VPWR _55682_/B sky130_fd_sc_hd__nor3_2
+XFILLER_683_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67667_ _67667_/A _67665_/Y _67667_/C VGND VGND VPWR VPWR _67668_/C sky130_fd_sc_hd__nor3_2
+XFILLER_347_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52893_ _52893_/A _52899_/B VGND VGND VPWR VPWR _52893_/X sky130_fd_sc_hd__or2_2
+X_64879_ _64879_/A _64879_/B _64878_/Y VGND VGND VPWR VPWR _64897_/A sky130_fd_sc_hd__nor3_2
+XPHY_29909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_681_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_269_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_449_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57420_ _57349_/A _57420_/B _57420_/C VGND VGND VPWR VPWR _57420_/X sky130_fd_sc_hd__or3_2
+XFILLER_45_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69406_ _38629_/C _68990_/B VGND VGND VPWR VPWR _69407_/C sky130_fd_sc_hd__nor2_2
+XFILLER_27_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54632_ _54661_/A _54623_/B VGND VGND VPWR VPWR _54632_/X sky130_fd_sc_hd__or2_2
+XFILLER_347_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_132_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66618_ _66618_/A _66460_/B VGND VGND VPWR VPWR _66618_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_245_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51844_ _51729_/A _51847_/B VGND VGND VPWR VPWR _51845_/B sky130_fd_sc_hd__or2_2
+X_39566_ _39559_/A _39559_/B _39566_/C VGND VGND VPWR VPWR _39566_/Y sky130_fd_sc_hd__nand3_2
+XPHY_5039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67598_ _68254_/A _67587_/Y _67597_/Y VGND VGND VPWR VPWR _67598_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_642_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_207 _74732_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_167_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38517_ _38517_/A VGND VGND VPWR VPWR _38523_/B sky130_fd_sc_hd__buf_1
+XANTENNA_218 _75585_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_208_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57351_ _57351_/A _57275_/B VGND VGND VPWR VPWR _57352_/C sky130_fd_sc_hd__nor2_2
+X_69337_ _69337_/A _69199_/B VGND VGND VPWR VPWR _69337_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_260_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_347_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66549_ _75135_/C _67215_/B VGND VGND VPWR VPWR _66552_/B sky130_fd_sc_hd__nor2_2
+X_54563_ _54554_/A VGND VGND VPWR VPWR _54573_/B sky130_fd_sc_hd__buf_1
+XFILLER_243_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_229 _38344_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_525_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_443_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51775_ _51775_/A _51774_/X VGND VGND VPWR VPWR _51775_/Y sky130_fd_sc_hd__nand2_2
+X_39497_ _39507_/A VGND VGND VPWR VPWR _39498_/A sky130_fd_sc_hd__buf_1
+XPHY_4349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_187_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_214_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56302_ _64409_/A _56448_/B VGND VGND VPWR VPWR _56302_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_325_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53514_ _59899_/A _53514_/B VGND VGND VPWR VPWR _53514_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_430_431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38448_ _76327_/A _38434_/B VGND VGND VPWR VPWR _38450_/A sky130_fd_sc_hd__or2_2
+XFILLER_560_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50726_ _50726_/A _50725_/X VGND VGND VPWR VPWR _50726_/Y sky130_fd_sc_hd__nand2_2
+X_57282_ _80602_/Q _57282_/B VGND VGND VPWR VPWR _57284_/B sky130_fd_sc_hd__nor2_2
+X_69268_ _80332_/Q _68990_/B VGND VGND VPWR VPWR _69268_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_404_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54494_ _54494_/A _54493_/X VGND VGND VPWR VPWR _77004_/D sky130_fd_sc_hd__nand2_2
+XFILLER_345_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59021_ _59346_/A _59021_/B _59020_/Y VGND VGND VPWR VPWR _59021_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_403_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_282_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56233_ _56258_/A VGND VGND VPWR VPWR _56529_/A sky130_fd_sc_hd__buf_1
+XPHY_2936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68219_ _68061_/A _68219_/B VGND VGND VPWR VPWR _68257_/B sky130_fd_sc_hd__nor2_2
+XFILLER_718_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53445_ _53445_/A _53444_/X VGND VGND VPWR VPWR _53445_/Y sky130_fd_sc_hd__nand2_2
+XPHY_35429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_329_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50657_ _62008_/A _50663_/B VGND VGND VPWR VPWR _50657_/Y sky130_fd_sc_hd__nand2_2
+X_38379_ _38415_/A VGND VGND VPWR VPWR _38391_/B sky130_fd_sc_hd__buf_1
+XFILLER_697_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81477_ _81476_/CLK _81477_/D VGND VGND VPWR VPWR _68120_/A sky130_fd_sc_hd__dfxtp_4
+X_69199_ _81292_/Q _69199_/B VGND VGND VPWR VPWR _69199_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_35_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_573_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_167_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_13_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40410_ _40408_/X _40423_/B VGND VGND VPWR VPWR _40410_/X sky130_fd_sc_hd__or2_2
+X_71230_ _76815_/Q VGND VGND VPWR VPWR _71234_/A sky130_fd_sc_hd__inv_8
+XFILLER_671_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_221_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80428_ _80393_/CLK _38237_/Y VGND VGND VPWR VPWR _69173_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_24205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56164_ _45178_/Y _56157_/X VGND VGND VPWR VPWR _56165_/B sky130_fd_sc_hd__nor2_2
+XFILLER_439_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41390_ _41390_/A _41274_/B _64283_/A VGND VGND VPWR VPWR _41390_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_42_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53376_ _59264_/A _53370_/B VGND VGND VPWR VPWR _53376_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50588_ _62656_/A _50584_/B VGND VGND VPWR VPWR _50588_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_718_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_278_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_155_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_705_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_358_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_52_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55115_ _55133_/A VGND VGND VPWR VPWR _55115_/Y sky130_fd_sc_hd__inv_8
+XFILLER_158_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40341_ _39850_/X _40341_/B VGND VGND VPWR VPWR _40341_/X sky130_fd_sc_hd__or2_2
+XFILLER_358_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_516_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52327_ _52324_/Y _52327_/B VGND VGND VPWR VPWR _77577_/D sky130_fd_sc_hd__nand2_2
+XFILLER_560_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71161_ _71181_/A _71160_/X VGND VGND VPWR VPWR _71161_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_10_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56095_ _56089_/A _56095_/B VGND VGND VPWR VPWR _56096_/C sky130_fd_sc_hd__nor2_2
+X_80359_ _80354_/CLK _38505_/Y VGND VGND VPWR VPWR _68376_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_23515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_573_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_532_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_456_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_456_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_198_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70112_ _70419_/A VGND VGND VPWR VPWR _70112_/X sky130_fd_sc_hd__buf_1
+XFILLER_33_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55046_ _55044_/Y _55046_/B VGND VGND VPWR VPWR _76853_/D sky130_fd_sc_hd__nand2_2
+X_59923_ _59923_/A _59923_/B _59922_/Y VGND VGND VPWR VPWR _59923_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_370_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43060_ _46754_/A VGND VGND VPWR VPWR _43060_/Y sky130_fd_sc_hd__inv_8
+XFILLER_174_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40272_ _40278_/A _40271_/X _58482_/A VGND VGND VPWR VPWR _40273_/B sky130_fd_sc_hd__nand3_2
+XFILLER_13_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52258_ _52258_/A _52257_/X VGND VGND VPWR VPWR _77595_/D sky130_fd_sc_hd__nand2_2
+XPHY_22814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71092_ _71081_/X _71092_/B VGND VGND VPWR VPWR _71093_/B sky130_fd_sc_hd__nor2_2
+XFILLER_104_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_172_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_614_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_308_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42011_ _43084_/A VGND VGND VPWR VPWR _45382_/A sky130_fd_sc_hd__buf_1
+XPHY_13058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_686_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_46_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51209_ _51206_/Y _51209_/B VGND VGND VPWR VPWR _77866_/D sky130_fd_sc_hd__nand2_2
+XFILLER_659_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74920_ _74917_/X _74920_/B VGND VGND VPWR VPWR _80821_/D sky130_fd_sc_hd__nand2_2
+XFILLER_339_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_332_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70043_ _70042_/Y VGND VGND VPWR VPWR _70683_/C sky130_fd_sc_hd__buf_1
+XPHY_13069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59854_ _59695_/A _59854_/B _59853_/Y VGND VGND VPWR VPWR _59855_/B sky130_fd_sc_hd__nor3_2
+XFILLER_174_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52189_ _60522_/A _52184_/B VGND VGND VPWR VPWR _52193_/A sky130_fd_sc_hd__nand2_2
+XFILLER_336_2997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_512_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_649_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58805_ _80238_/Q _58536_/B VGND VGND VPWR VPWR _58807_/B sky130_fd_sc_hd__nor2_2
+XFILLER_674_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_81_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74851_ _75213_/A _74835_/X VGND VGND VPWR VPWR _74851_/X sky130_fd_sc_hd__or2_2
+XFILLER_434_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_313_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_296_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_254_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59785_ _59785_/A VGND VGND VPWR VPWR _60269_/B sky130_fd_sc_hd__buf_1
+XFILLER_81_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56997_ _56997_/A _56997_/B VGND VGND VPWR VPWR _56997_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_500_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73802_ _73805_/A _73791_/B _67401_/A VGND VGND VPWR VPWR _73803_/B sky130_fd_sc_hd__nand3_2
+XFILLER_387_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_66_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_46_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46750_ _46750_/A _46753_/B _46750_/C VGND VGND VPWR VPWR _78968_/D sky130_fd_sc_hd__nor3_2
+XPHY_11678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58736_ _58530_/X _58734_/Y _58736_/C VGND VGND VPWR VPWR _58736_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_330_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77570_ _77571_/CLK _77570_/D VGND VGND VPWR VPWR _61269_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_725_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43962_ _79275_/Q _43962_/B VGND VGND VPWR VPWR _43962_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_42_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55948_ _55948_/A _42154_/B VGND VGND VPWR VPWR _55948_/Y sky130_fd_sc_hd__nor2_2
+X_74782_ _74780_/X _74781_/Y VGND VGND VPWR VPWR _74782_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_104_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_49_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71994_ _70786_/X _71722_/B VGND VGND VPWR VPWR _71997_/B sky130_fd_sc_hd__nor2_2
+XFILLER_232_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_249_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_549_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_426_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45701_ _45684_/X _45691_/Y _45701_/C VGND VGND VPWR VPWR _79106_/D sky130_fd_sc_hd__nor3_2
+XFILLER_660_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76521_ VGND VGND VPWR VPWR _76521_/HI la_data_out[13] sky130_fd_sc_hd__conb_1
+XFILLER_111_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42913_ _42920_/A _42920_/B _68456_/A VGND VGND VPWR VPWR _42914_/B sky130_fd_sc_hd__nand3_2
+XFILLER_287_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73733_ _73731_/X _73733_/B VGND VGND VPWR VPWR _73733_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_426_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_114_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46681_ _46681_/A _46681_/B _46680_/Y VGND VGND VPWR VPWR _46682_/C sky130_fd_sc_hd__nor3_2
+XPHY_10988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58667_ _58667_/A _58531_/B VGND VGND VPWR VPWR _58669_/B sky130_fd_sc_hd__nor2_2
+X_70945_ _70701_/X _70709_/X VGND VGND VPWR VPWR _70945_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_428_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43893_ _43871_/A _43893_/B _43892_/Y VGND VGND VPWR VPWR _79284_/D sky130_fd_sc_hd__nor3_2
+XFILLER_67_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55879_ _55879_/A _55878_/X VGND VGND VPWR VPWR _55879_/Y sky130_fd_sc_hd__nor2_2
+XPHY_10999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_237_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_404_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48420_ _48420_/A _48395_/A VGND VGND VPWR VPWR _48420_/X sky130_fd_sc_hd__or2_2
+XFILLER_365_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_584_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79240_ _79248_/CLK _44309_/Y VGND VGND VPWR VPWR _44032_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_508_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45632_ _45538_/Y VGND VGND VPWR VPWR _45637_/B sky130_fd_sc_hd__buf_1
+XFILLER_98_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57618_ _57618_/A _57617_/X VGND VGND VPWR VPWR _57621_/B sky130_fd_sc_hd__nor2_2
+X_76452_ VGND VGND VPWR VPWR _76452_/HI io_oeb[12] sky130_fd_sc_hd__conb_1
+XFILLER_363_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42844_ _42860_/A _42844_/B _42844_/C VGND VGND VPWR VPWR _79451_/D sky130_fd_sc_hd__nor3_2
+X_73664_ _73662_/X _73664_/B VGND VGND VPWR VPWR _73664_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_467_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_365_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70876_ _71093_/A _70876_/B VGND VGND VPWR VPWR _70877_/B sky130_fd_sc_hd__nor2_2
+XFILLER_562_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58598_ _39391_/C _58665_/B VGND VGND VPWR VPWR _58598_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_463_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_96_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_264_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_92_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_229_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75403_ _74921_/A _75391_/B VGND VGND VPWR VPWR _75403_/X sky130_fd_sc_hd__or2_2
+XFILLER_523_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_701_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72615_ _72615_/A _72615_/B VGND VGND VPWR VPWR _81385_/D sky130_fd_sc_hd__nand2_2
+XPHY_19942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48351_ _48351_/A _48351_/B VGND VGND VPWR VPWR _78617_/D sky130_fd_sc_hd__nand2_2
+XPHY_5562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79171_ _79160_/CLK _45068_/Y VGND VGND VPWR VPWR _56214_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_441_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45563_ _45552_/X _45563_/B _45563_/C VGND VGND VPWR VPWR _79136_/D sky130_fd_sc_hd__nor3_2
+XPHY_38055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57549_ _57386_/A _57549_/B _57549_/C VGND VGND VPWR VPWR _57549_/Y sky130_fd_sc_hd__nor3_2
+X_76383_ _76383_/A _76383_/B VGND VGND VPWR VPWR _76383_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42775_ _65024_/A _42741_/X VGND VGND VPWR VPWR _42777_/B sky130_fd_sc_hd__nor2_2
+XFILLER_699_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73595_ _73521_/A VGND VGND VPWR VPWR _73609_/B sky130_fd_sc_hd__buf_1
+XFILLER_45_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47302_ _49331_/A VGND VGND VPWR VPWR _47302_/X sky130_fd_sc_hd__buf_1
+XFILLER_480_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78122_ _78129_/CLK _78122_/D VGND VGND VPWR VPWR _62553_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_422_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44514_ _44393_/B VGND VGND VPWR VPWR _44514_/Y sky130_fd_sc_hd__inv_8
+XFILLER_44_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_75334_ _75334_/A _75339_/B _68352_/A VGND VGND VPWR VPWR _75335_/B sky130_fd_sc_hd__nand3_2
+XFILLER_568_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41726_ _41726_/A VGND VGND VPWR VPWR _41727_/B sky130_fd_sc_hd__inv_8
+XPHY_37354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48282_ _48282_/A _48282_/B VGND VGND VPWR VPWR _48282_/Y sky130_fd_sc_hd__nand2_2
+X_60560_ _60560_/A _60401_/B VGND VGND VPWR VPWR _60560_/Y sky130_fd_sc_hd__nor2_2
+XPHY_4872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72546_ _72564_/A VGND VGND VPWR VPWR _72550_/B sky130_fd_sc_hd__buf_1
+XFILLER_264_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45494_ _45471_/A _45494_/B _45493_/Y VGND VGND VPWR VPWR _45494_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_324_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47233_ _47234_/A _41695_/Y VGND VGND VPWR VPWR _78871_/D sky130_fd_sc_hd__nor2_2
+XFILLER_203_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59219_ _59243_/A VGND VGND VPWR VPWR _60236_/A sky130_fd_sc_hd__buf_1
+XFILLER_144_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78053_ _78062_/CLK _78053_/D VGND VGND VPWR VPWR _61740_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_701_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44445_ _72866_/A _44503_/B VGND VGND VPWR VPWR _44446_/C sky130_fd_sc_hd__nor2_2
+XFILLER_324_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75265_ _75265_/A _75265_/B _80729_/Q VGND VGND VPWR VPWR _75265_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_73_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41657_ wbs_adr_i[13] wbs_adr_i[12] wbs_adr_i[15] wbs_adr_i[14] VGND VGND VPWR VPWR
++ _41913_/B sky130_fd_sc_hd__or4_2
+XFILLER_105_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72477_ _72170_/A _72584_/B VGND VGND VPWR VPWR _72479_/A sky130_fd_sc_hd__or2_2
+XFILLER_404_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60491_ _58968_/X VGND VGND VPWR VPWR _60795_/A sky130_fd_sc_hd__buf_1
+XFILLER_536_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_26141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_198_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77004_ _76998_/CLK _77004_/D VGND VGND VPWR VPWR _60301_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_26163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_158_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62230_ _62230_/A _61918_/B VGND VGND VPWR VPWR _62231_/C sky130_fd_sc_hd__nor2_2
+X_74216_ _74070_/X _74210_/B VGND VGND VPWR VPWR _74219_/A sky130_fd_sc_hd__or2_2
+XFILLER_422_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_13_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40608_ _40608_/A _40615_/B VGND VGND VPWR VPWR _40608_/X sky130_fd_sc_hd__or2_2
+XFILLER_693_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47164_ _46366_/B _47167_/A VGND VGND VPWR VPWR _47165_/C sky130_fd_sc_hd__nor2_2
+X_71428_ _71428_/A _71428_/B _71427_/Y VGND VGND VPWR VPWR _71428_/X sky130_fd_sc_hd__or3_2
+XPHY_35963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44376_ _44378_/C _44375_/Y VGND VGND VPWR VPWR _44376_/Y sky130_fd_sc_hd__nor2_2
+XPHY_25440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75196_ _75234_/A VGND VGND VPWR VPWR _75213_/B sky130_fd_sc_hd__buf_1
+XFILLER_536_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41588_ _41598_/A _41573_/X _66460_/A VGND VGND VPWR VPWR _41588_/Y sky130_fd_sc_hd__nand3_2
+XPHY_35974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_717_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_454_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46115_ _43084_/Y _78960_/Q VGND VGND VPWR VPWR _46116_/B sky130_fd_sc_hd__or2_2
+XPHY_35996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43327_ _43325_/X _43327_/B VGND VGND VPWR VPWR _43327_/Y sky130_fd_sc_hd__nand2_2
+X_62161_ _62310_/A _62159_/Y _62161_/C VGND VGND VPWR VPWR _62165_/B sky130_fd_sc_hd__nor3_2
+XFILLER_725_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74147_ _74147_/A _73994_/A VGND VGND VPWR VPWR _74147_/X sky130_fd_sc_hd__or2_2
+X_40539_ _40554_/A _40527_/B _68976_/A VGND VGND VPWR VPWR _40540_/B sky130_fd_sc_hd__nand3_2
+XFILLER_179_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71359_ _71416_/A _70985_/A VGND VGND VPWR VPWR _71359_/Y sky130_fd_sc_hd__nor2_2
+X_47095_ _47095_/A _47094_/X VGND VGND VPWR VPWR _47095_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_220_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_374_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_554_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61112_ _61112_/A _61111_/X VGND VGND VPWR VPWR _61115_/B sky130_fd_sc_hd__nor2_2
+XPHY_24772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_1491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_220_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_591_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46046_ _45657_/X _55925_/B VGND VGND VPWR VPWR _46053_/A sky130_fd_sc_hd__nor2_2
+XFILLER_550_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43258_ _42897_/A _43258_/B VGND VGND VPWR VPWR _43258_/X sky130_fd_sc_hd__or2_2
+X_62092_ _50081_/A _62092_/B VGND VGND VPWR VPWR _62094_/B sky130_fd_sc_hd__nor2_2
+X_78955_ _78897_/CLK _46791_/Y VGND VGND VPWR VPWR _78955_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_14260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74078_ _74076_/X _74077_/Y VGND VGND VPWR VPWR _81022_/D sky130_fd_sc_hd__nand2_2
+XFILLER_470_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_86_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42209_ _42209_/A _42209_/B _42200_/X VGND VGND VPWR VPWR _42210_/C sky130_fd_sc_hd__nor3_2
+XFILLER_99_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65920_ _73956_/C _65601_/B VGND VGND VPWR VPWR _65922_/B sky130_fd_sc_hd__nor2_2
+X_61043_ _60888_/A _61043_/B VGND VGND VPWR VPWR _61044_/C sky130_fd_sc_hd__nor2_2
+XFILLER_138_1514 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73029_ _73024_/X _73028_/X _67923_/A VGND VGND VPWR VPWR _73031_/A sky130_fd_sc_hd__nand3_2
+XFILLER_68_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77906_ _77903_/CLK _77906_/D VGND VGND VPWR VPWR _77906_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_711_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_64_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43189_ _42857_/A _46174_/B VGND VGND VPWR VPWR _43189_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_114_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_365_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78886_ _78890_/CLK _78886_/D VGND VGND VPWR VPWR _46417_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_315_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_504_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49805_ _49805_/A VGND VGND VPWR VPWR _49822_/B sky130_fd_sc_hd__buf_1
+XFILLER_29_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65851_ _65851_/A _65851_/B _65851_/C VGND VGND VPWR VPWR _65856_/B sky130_fd_sc_hd__nor3_2
+XFILLER_236_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77837_ _76893_/CLK _51337_/Y VGND VGND VPWR VPWR _60557_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_259_228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47997_ _47997_/A VGND VGND VPWR VPWR _48060_/A sky130_fd_sc_hd__buf_1
+XPHY_12880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_113_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64802_ _64802_/A _64797_/Y _64802_/C VGND VGND VPWR VPWR _64802_/Y sky130_fd_sc_hd__nor3_2
+Xclkbuf_7_96_0_u_core.clock clkbuf_7_97_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_96_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_49736_ _49738_/A VGND VGND VPWR VPWR _49736_/X sky130_fd_sc_hd__buf_1
+XFILLER_548_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68570_ _80904_/Q _68395_/B VGND VGND VPWR VPWR _68570_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_475_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_692_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46948_ _70393_/X _46925_/B VGND VGND VPWR VPWR _46949_/C sky130_fd_sc_hd__and2_2
+XFILLER_214_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_648_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65782_ _65782_/A _65949_/B VGND VGND VPWR VPWR _65783_/C sky130_fd_sc_hd__nor2_2
+XFILLER_256_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77768_ _77741_/CLK _77768_/D VGND VGND VPWR VPWR _51606_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62994_ _63463_/A _62994_/B _62993_/Y VGND VGND VPWR VPWR _62994_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_79_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_410_0_u_core.clock clkbuf_9_411_0_u_core.clock/A VGND VGND VPWR VPWR _79431_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_30292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_417_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_485_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_457_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67521_ _67521_/A _67521_/B _67520_/Y VGND VGND VPWR VPWR _67683_/C sky130_fd_sc_hd__or3_2
+X_79507_ _79479_/CLK _42175_/Y VGND VGND VPWR VPWR _42166_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_112_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64733_ _64733_/A _64733_/B _64733_/C VGND VGND VPWR VPWR _64733_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_255_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_295_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76719_ _76720_/CLK _55929_/Y VGND VGND VPWR VPWR _69862_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_708_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61945_ _61945_/A _61325_/B VGND VGND VPWR VPWR _61945_/Y sky130_fd_sc_hd__nor2_2
+X_49667_ _78279_/Q _49675_/B VGND VGND VPWR VPWR _49670_/A sky130_fd_sc_hd__nand2_2
+XFILLER_214_1479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_348_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_673_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_97_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46879_ _70198_/X _46871_/B VGND VGND VPWR VPWR _46879_/X sky130_fd_sc_hd__and2_2
+XFILLER_706_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77699_ _77699_/CLK _77699_/D VGND VGND VPWR VPWR _51859_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_368_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_563_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39420_ _39420_/A _39431_/B _80131_/Q VGND VGND VPWR VPWR _39420_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_3_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48618_ _48711_/A _48614_/B VGND VGND VPWR VPWR _48618_/X sky130_fd_sc_hd__or2_2
+XFILLER_227_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67452_ _67790_/A _67452_/B _67451_/Y VGND VGND VPWR VPWR _67452_/Y sky130_fd_sc_hd__nor3_2
+X_79438_ _79435_/CLK _42907_/Y VGND VGND VPWR VPWR _68792_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_661_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_544_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64664_ _40633_/C _69408_/B VGND VGND VPWR VPWR _64664_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_271_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_266_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61876_ _61873_/X _61874_/Y _61875_/Y VGND VGND VPWR VPWR _61876_/Y sky130_fd_sc_hd__nor3_2
+X_49598_ _62423_/A _49587_/B VGND VGND VPWR VPWR _49600_/A sky130_fd_sc_hd__nand2_2
+XFILLER_110_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_621_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_266_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_36_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66403_ _76166_/C _66403_/B VGND VGND VPWR VPWR _66403_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_129_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39351_ _39351_/A _39351_/B VGND VGND VPWR VPWR _39351_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_242_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63615_ _63615_/A _63008_/B VGND VGND VPWR VPWR _63616_/C sky130_fd_sc_hd__nor2_2
+XFILLER_364_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60827_ _77639_/Q _61301_/B VGND VGND VPWR VPWR _60828_/C sky130_fd_sc_hd__nor2_2
+X_48549_ _48551_/A VGND VGND VPWR VPWR _48554_/B sky130_fd_sc_hd__buf_1
+X_67383_ _80513_/Q _67383_/B VGND VGND VPWR VPWR _67383_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_250_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79369_ _81263_/CLK _43361_/Y VGND VGND VPWR VPWR _56383_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_559_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64595_ _66908_/A VGND VGND VPWR VPWR _69312_/B sky130_fd_sc_hd__buf_1
+XFILLER_36_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_397_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_643_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_602_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_270_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_63_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38302_ _76169_/A _38296_/B VGND VGND VPWR VPWR _38302_/X sky130_fd_sc_hd__or2_2
+XFILLER_498_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_121_0_u_core.clock clkbuf_6_60_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_121_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_561_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69122_ _68488_/A _69120_/Y _69121_/Y VGND VGND VPWR VPWR _69122_/Y sky130_fd_sc_hd__nor3_2
+X_81400_ _81366_/CLK _72554_/Y VGND VGND VPWR VPWR _72553_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_639_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_462_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_440_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_149_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66334_ _79994_/Q _66495_/B VGND VGND VPWR VPWR _66336_/B sky130_fd_sc_hd__nor2_2
+XFILLER_613_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51560_ _51560_/A _51560_/B VGND VGND VPWR VPWR _77780_/D sky130_fd_sc_hd__nand2_2
+XFILLER_93_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39282_ _39280_/X _39282_/B VGND VGND VPWR VPWR _39282_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_405_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63546_ _63546_/A _58998_/B VGND VGND VPWR VPWR _63547_/C sky130_fd_sc_hd__nor2_2
+Xclkbuf_8_181_0_u_core.clock clkbuf_7_90_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_363_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_424_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60758_ _60758_/A _60756_/Y _60757_/Y VGND VGND VPWR VPWR _60758_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_282_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_299_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_558_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_698_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50511_ _61897_/A _50514_/B VGND VGND VPWR VPWR _50513_/A sky130_fd_sc_hd__nand2_2
+X_38233_ _38227_/X _38241_/B _38233_/C VGND VGND VPWR VPWR _38233_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_323_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69053_ _68906_/A _69053_/B _69053_/C VGND VGND VPWR VPWR _69054_/C sky130_fd_sc_hd__nor3_2
+X_81331_ _81330_/CLK _81331_/D VGND VGND VPWR VPWR _81331_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_694_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_259_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66265_ _66265_/A _65763_/B VGND VGND VPWR VPWR _66267_/B sky130_fd_sc_hd__nor2_2
+XFILLER_369_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_342_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_637_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51491_ _51519_/A _51491_/B VGND VGND VPWR VPWR _51491_/X sky130_fd_sc_hd__or2_2
+XPHY_1509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63477_ _47962_/A _63008_/B VGND VGND VPWR VPWR _63477_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_14_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60689_ _60841_/A _60689_/B _60688_/Y VGND VGND VPWR VPWR _60689_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_672_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_527_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_279_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_8_128_0_u_core.clock clkbuf_7_64_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_128_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_189_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_52_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_574_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68004_ _80164_/Q _68004_/B VGND VGND VPWR VPWR _68005_/C sky130_fd_sc_hd__nor2_2
+XFILLER_492_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53230_ _60077_/A _53237_/B VGND VGND VPWR VPWR _53233_/A sky130_fd_sc_hd__nand2_2
+X_65216_ _65216_/A _65560_/B VGND VGND VPWR VPWR _65216_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_71_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_188_0_u_core.clock clkbuf_8_94_0_u_core.clock/X VGND VGND VPWR VPWR _76842_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_299_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50442_ _50442_/A _50435_/B VGND VGND VPWR VPWR _50445_/A sky130_fd_sc_hd__nand2_2
+XFILLER_342_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62428_ _60866_/A VGND VGND VPWR VPWR _62448_/A sky130_fd_sc_hd__buf_1
+XFILLER_418_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_384_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81262_ _81190_/CLK _73116_/Y VGND VGND VPWR VPWR _69476_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_637_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66196_ _66196_/A _66683_/B VGND VGND VPWR VPWR _66196_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_301_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_203_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_500_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_359_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_76_0_u_core.clock clkbuf_8_76_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_76_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_397_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_12_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80213_ _80226_/CLK _80213_/D VGND VGND VPWR VPWR _80213_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_109_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_457_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53161_ _53161_/A _53173_/B VGND VGND VPWR VPWR _53162_/B sky130_fd_sc_hd__nand2_2
+XFILLER_88_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65147_ _64801_/A _65147_/B _65147_/C VGND VGND VPWR VPWR _65148_/C sky130_fd_sc_hd__nor3_2
+XFILLER_326_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_618_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_338_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_590_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_353_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50373_ _50245_/A _50372_/X VGND VGND VPWR VPWR _50373_/X sky130_fd_sc_hd__or2_2
+X_62359_ _62060_/A _62359_/B _62358_/Y VGND VGND VPWR VPWR _62360_/B sky130_fd_sc_hd__nor3_2
+XFILLER_140_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81193_ _81513_/CLK _73410_/Y VGND VGND VPWR VPWR _81193_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_301_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_516_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_365_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_457_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52112_ _77631_/Q _52112_/B VGND VGND VPWR VPWR _52114_/A sky130_fd_sc_hd__nand2_2
+XFILLER_394_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_30_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_687_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80144_ _80144_/CLK _39370_/Y VGND VGND VPWR VPWR _80144_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_314_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53092_ _53089_/Y _53092_/B VGND VGND VPWR VPWR _77370_/D sky130_fd_sc_hd__nand2_2
+XFILLER_69_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65078_ _67570_/A VGND VGND VPWR VPWR _65081_/A sky130_fd_sc_hd__buf_1
+X_69955_ _69955_/A _70463_/B _70463_/C VGND VGND VPWR VPWR _69955_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_551_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_65_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_457_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_431_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_380_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_258_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52043_ _77651_/Q _52053_/B VGND VGND VPWR VPWR _52043_/Y sky130_fd_sc_hd__nand2_2
+X_56920_ _56582_/X _56920_/B _56919_/Y VGND VGND VPWR VPWR _56920_/Y sky130_fd_sc_hd__nor3_2
+X_68906_ _68906_/A _68906_/B _68906_/C VGND VGND VPWR VPWR _68907_/C sky130_fd_sc_hd__nor3_2
+X_64029_ _63636_/A _64021_/Y _64029_/C VGND VGND VPWR VPWR _64029_/Y sky130_fd_sc_hd__nor3_2
+XPHY_21409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_277_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80075_ _80104_/CLK _80075_/D VGND VGND VPWR VPWR _58601_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_254_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69886_ _42819_/A _69886_/B VGND VGND VPWR VPWR _69886_/X sky130_fd_sc_hd__or2_2
+XPHY_9828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_666_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_353_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_514_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_511_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68837_ _68669_/A _68837_/B _68836_/Y VGND VGND VPWR VPWR _68838_/C sky130_fd_sc_hd__nor3_2
+X_56851_ _57650_/A VGND VGND VPWR VPWR _56851_/X sky130_fd_sc_hd__buf_1
+XFILLER_314_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38997_ _39034_/A VGND VGND VPWR VPWR _39012_/A sky130_fd_sc_hd__buf_1
+XFILLER_271_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_510_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_369_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55802_ _51148_/A _55817_/B VGND VGND VPWR VPWR _55803_/B sky130_fd_sc_hd__or2_2
+XFILLER_43_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59570_ _63005_/A VGND VGND VPWR VPWR _59571_/B sky130_fd_sc_hd__buf_1
+XFILLER_683_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56782_ _56782_/A _56702_/B VGND VGND VPWR VPWR _56783_/C sky130_fd_sc_hd__nor2_2
+XFILLER_8_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68768_ _64272_/A VGND VGND VPWR VPWR _69354_/B sky130_fd_sc_hd__buf_1
+XFILLER_369_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_722_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53994_ _53992_/Y _53993_/X VGND VGND VPWR VPWR _77136_/D sky130_fd_sc_hd__nand2_2
+XFILLER_386_3062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_3024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58521_ _58660_/A _58518_/Y _58521_/C VGND VGND VPWR VPWR _58521_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_86_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55733_ _55606_/X _55732_/X VGND VGND VPWR VPWR _55733_/X sky130_fd_sc_hd__and2_2
+XFILLER_644_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67719_ _75874_/C _67545_/B VGND VGND VPWR VPWR _67720_/C sky130_fd_sc_hd__nor2_2
+XFILLER_386_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_286_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52945_ _52945_/A _52945_/B VGND VGND VPWR VPWR _77412_/D sky130_fd_sc_hd__nand2_2
+XFILLER_189_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_249_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_429_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68699_ _68540_/A _68699_/B _68698_/Y VGND VGND VPWR VPWR _68718_/A sky130_fd_sc_hd__nor3_2
+X_80977_ _80969_/CLK _74269_/Y VGND VGND VPWR VPWR _74268_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_189_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_1377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_150_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_724_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39618_ _39608_/A _39633_/B _58802_/A VGND VGND VPWR VPWR _39618_/Y sky130_fd_sc_hd__nand3_2
+X_70730_ _69969_/X _70726_/X _70034_/A _70729_/X VGND VGND VPWR VPWR _70730_/X sky130_fd_sc_hd__o22a_4
+XFILLER_609_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58452_ _56345_/A VGND VGND VPWR VPWR _58531_/B sky130_fd_sc_hd__buf_1
+XFILLER_484_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55664_ _55426_/A _55320_/A _55663_/Y VGND VGND VPWR VPWR _55664_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_605_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_248_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40890_ _40888_/X _40889_/Y VGND VGND VPWR VPWR _40890_/Y sky130_fd_sc_hd__nand2_2
+X_52876_ _54787_/A _52968_/B VGND VGND VPWR VPWR _52902_/B sky130_fd_sc_hd__or2_2
+XFILLER_720_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_41_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_56_0_u_core.clock clkbuf_9_57_0_u_core.clock/A VGND VGND VPWR VPWR _78586_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_234_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_273_275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57403_ _66676_/A _57566_/B VGND VGND VPWR VPWR _57403_/Y sky130_fd_sc_hd__nor2_2
+XPHY_4113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_497_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54615_ _76971_/Q _54615_/B VGND VGND VPWR VPWR _54617_/A sky130_fd_sc_hd__nand2_2
+XFILLER_261_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_579_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51827_ _51850_/B VGND VGND VPWR VPWR _51849_/B sky130_fd_sc_hd__buf_1
+X_39549_ _39171_/A _39558_/B VGND VGND VPWR VPWR _39549_/X sky130_fd_sc_hd__or2_2
+XFILLER_427_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_328_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58383_ _58473_/A _58381_/Y _58383_/C VGND VGND VPWR VPWR _58387_/B sky130_fd_sc_hd__nor3_2
+XPHY_19249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70661_ _70661_/A _70661_/B VGND VGND VPWR VPWR _70662_/A sky130_fd_sc_hd__or2_2
+XFILLER_622_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55595_ _71807_/A _55666_/B VGND VGND VPWR VPWR _55595_/X sky130_fd_sc_hd__or2_2
+XFILLER_167_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_480_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_76_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72400_ _72410_/A _72400_/B _67424_/A VGND VGND VPWR VPWR _72400_/Y sky130_fd_sc_hd__nand3_2
+XPHY_4157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_280_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_265_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_699_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57334_ _80091_/Q _57568_/B VGND VGND VPWR VPWR _57336_/B sky130_fd_sc_hd__nor2_2
+XFILLER_57_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_387_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_577_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54546_ _54695_/A VGND VGND VPWR VPWR _54661_/A sky130_fd_sc_hd__buf_1
+XPHY_18548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42560_ _42560_/A _42557_/Y _42559_/X _42581_/D VGND VGND VPWR VPWR _42560_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_677_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73380_ _73380_/A _73379_/X VGND VGND VPWR VPWR _73380_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_677_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_230_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51758_ _60809_/A _51761_/B VGND VGND VPWR VPWR _51760_/A sky130_fd_sc_hd__nand2_2
+XPHY_18559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70592_ _69745_/X _76654_/Q _70591_/A VGND VGND VPWR VPWR _70593_/B sky130_fd_sc_hd__and3_2
+XFILLER_620_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_360_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_399_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41511_ _41509_/X _41510_/Y VGND VGND VPWR VPWR _79599_/D sky130_fd_sc_hd__nand2_2
+XFILLER_54_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72331_ _72326_/A _72331_/B _64634_/A VGND VGND VPWR VPWR _72331_/Y sky130_fd_sc_hd__nand3_2
+XPHY_2722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_180_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_521_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50709_ _50827_/A _50725_/B VGND VGND VPWR VPWR _50710_/B sky130_fd_sc_hd__or2_2
+X_57265_ _80314_/Q _57264_/X VGND VGND VPWR VPWR _57265_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_208_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_565_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42491_ _42471_/X _42491_/B _42491_/C VGND VGND VPWR VPWR _79483_/D sky130_fd_sc_hd__nor3_2
+XFILLER_497_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54477_ _54477_/A _54477_/B VGND VGND VPWR VPWR _54477_/X sky130_fd_sc_hd__or2_2
+XFILLER_74_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51689_ _51571_/X _51685_/X VGND VGND VPWR VPWR _51690_/B sky130_fd_sc_hd__or2_2
+XPHY_3489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59004_ _59338_/A VGND VGND VPWR VPWR _59005_/B sky130_fd_sc_hd__buf_1
+XPHY_34503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44230_ _44208_/X _44227_/Y _44226_/A _44229_/X VGND VGND VPWR VPWR _44231_/B sky130_fd_sc_hd__o22a_4
+XFILLER_52_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56216_ _56258_/A VGND VGND VPWR VPWR _56229_/A sky130_fd_sc_hd__inv_8
+XFILLER_329_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75050_ _75048_/Y _75049_/X VGND VGND VPWR VPWR _75050_/Y sky130_fd_sc_hd__nand2_2
+X_41442_ _41061_/X _41430_/B VGND VGND VPWR VPWR _41444_/A sky130_fd_sc_hd__or2_2
+XFILLER_690_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53428_ _53428_/A _53427_/X VGND VGND VPWR VPWR _53428_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_393_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72262_ _72659_/A _72279_/B VGND VGND VPWR VPWR _72262_/X sky130_fd_sc_hd__or2_2
+XPHY_2777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57196_ _79897_/Q _57196_/B VGND VGND VPWR VPWR _57196_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_323_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_35_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74001_ _74001_/A VGND VGND VPWR VPWR _74167_/A sky130_fd_sc_hd__buf_1
+XFILLER_354_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_551_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71213_ _71213_/A _71171_/X VGND VGND VPWR VPWR _71213_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_10_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44161_ _44228_/A _44159_/C VGND VGND VPWR VPWR _44167_/A sky130_fd_sc_hd__or2_2
+XFILLER_295_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_13_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56147_ _56153_/A _45239_/B VGND VGND VPWR VPWR _56147_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_707_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_393_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_328_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41373_ _41253_/A _41376_/B VGND VGND VPWR VPWR _41375_/A sky130_fd_sc_hd__or2_2
+XFILLER_633_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53359_ _53357_/Y _53359_/B VGND VGND VPWR VPWR _77307_/D sky130_fd_sc_hd__nand2_2
+XFILLER_358_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_534_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_72193_ _74023_/A VGND VGND VPWR VPWR _72862_/A sky130_fd_sc_hd__buf_1
+XFILLER_278_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_384_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43112_ _44949_/A _43111_/Y VGND VGND VPWR VPWR _43113_/D sky130_fd_sc_hd__nor2_2
+XFILLER_202_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40324_ _39831_/X _40331_/B VGND VGND VPWR VPWR _40324_/X sky130_fd_sc_hd__or2_2
+XPHY_23334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71144_ _71224_/A VGND VGND VPWR VPWR _71144_/X sky130_fd_sc_hd__buf_1
+XFILLER_182_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44092_ _44093_/A _44000_/B VGND VGND VPWR VPWR _44098_/B sky130_fd_sc_hd__nor2_2
+XFILLER_33_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_5_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56078_ _56081_/A _56078_/B _56078_/C VGND VGND VPWR VPWR _56079_/C sky130_fd_sc_hd__nor3_2
+XFILLER_417_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_178_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_272_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_616_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47920_ _47826_/A _47935_/B VGND VGND VPWR VPWR _47921_/B sky130_fd_sc_hd__or2_2
+XPHY_23378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43043_ _45237_/A _43042_/Y VGND VGND VPWR VPWR _43044_/A sky130_fd_sc_hd__or2_2
+XFILLER_215_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55029_ _55022_/A VGND VGND VPWR VPWR _55030_/B sky130_fd_sc_hd__buf_1
+X_59906_ _77281_/Q _60068_/B VGND VGND VPWR VPWR _59907_/C sky130_fd_sc_hd__nor2_2
+XPHY_12110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78740_ _78739_/CLK _47863_/Y VGND VGND VPWR VPWR _78740_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_453_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_108_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_182_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40255_ _40258_/A _40251_/X _79917_/Q VGND VGND VPWR VPWR _40256_/B sky130_fd_sc_hd__nand3_2
+XFILLER_371_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75952_ _75941_/X _75967_/B _80558_/Q VGND VGND VPWR VPWR _75952_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_491_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71075_ _70121_/X _71074_/X VGND VGND VPWR VPWR _71075_/X sky130_fd_sc_hd__and2_2
+XFILLER_174_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_688_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74903_ _75384_/A _74894_/B VGND VGND VPWR VPWR _74905_/A sky130_fd_sc_hd__or2_2
+XFILLER_371_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_324_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70026_ _70095_/A _70025_/X VGND VGND VPWR VPWR _70026_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47851_ _47851_/A _47834_/X VGND VGND VPWR VPWR _47851_/X sky130_fd_sc_hd__or2_2
+XPHY_22688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59837_ _59510_/A _59837_/B _59837_/C VGND VGND VPWR VPWR _59838_/C sky130_fd_sc_hd__nor3_2
+XFILLER_137_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78671_ _78671_/CLK _78671_/D VGND VGND VPWR VPWR _78671_/Q sky130_fd_sc_hd__dfxtp_4
+X_40186_ _39947_/A _40186_/B VGND VGND VPWR VPWR _40186_/X sky130_fd_sc_hd__or2_2
+XFILLER_532_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_69_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75883_ _75497_/A _75891_/B VGND VGND VPWR VPWR _75883_/X sky130_fd_sc_hd__or2_2
+XPHY_22699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_586_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46802_ _43149_/A _46802_/B VGND VGND VPWR VPWR _46804_/B sky130_fd_sc_hd__nor2_2
+XPHY_12198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77622_ _77629_/CLK _77622_/D VGND VGND VPWR VPWR _52144_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_296_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74834_ _74834_/A VGND VGND VPWR VPWR _74872_/A sky130_fd_sc_hd__buf_1
+XFILLER_545_3025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_674_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47782_ _47753_/A _47776_/B VGND VGND VPWR VPWR _47783_/B sky130_fd_sc_hd__or2_2
+XFILLER_81_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_627_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59768_ _77304_/Q _59604_/B VGND VGND VPWR VPWR _59768_/Y sky130_fd_sc_hd__nor2_2
+XPHY_10730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44994_ _44994_/A _44993_/X VGND VGND VPWR VPWR _44995_/B sky130_fd_sc_hd__nand2_2
+XFILLER_632_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49521_ _49821_/A VGND VGND VPWR VPWR _49638_/A sky130_fd_sc_hd__buf_1
+XFILLER_211_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46733_ _46771_/A _46731_/Y _46733_/C VGND VGND VPWR VPWR _78973_/D sky130_fd_sc_hd__nor3_2
+XPHY_10763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58719_ _69383_/A _58786_/B VGND VGND VPWR VPWR _58720_/C sky130_fd_sc_hd__nor2_2
+XFILLER_313_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77553_ _77558_/CLK _77553_/D VGND VGND VPWR VPWR _61116_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_608_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43945_ _43905_/A VGND VGND VPWR VPWR _43951_/A sky130_fd_sc_hd__buf_1
+X_74765_ _75380_/A _74761_/B VGND VGND VPWR VPWR _74768_/A sky130_fd_sc_hd__or2_2
+XFILLER_655_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71977_ _71224_/A _71961_/X _71240_/A _42786_/B VGND VGND VPWR VPWR _45645_/A sky130_fd_sc_hd__o22a_4
+XFILLER_615_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59699_ _59699_/A _59374_/B VGND VGND VPWR VPWR _59701_/B sky130_fd_sc_hd__nor2_2
+XFILLER_24_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_549_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_387_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_310_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_662_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76504_ VGND VGND VPWR VPWR _76504_/HI io_out[34] sky130_fd_sc_hd__conb_1
+XFILLER_545_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73716_ _73959_/A _73722_/B VGND VGND VPWR VPWR _73718_/A sky130_fd_sc_hd__or2_2
+X_61730_ _61263_/A _61730_/B _61729_/Y VGND VGND VPWR VPWR _61736_/B sky130_fd_sc_hd__nor3_2
+X_49452_ _61699_/A _49446_/B VGND VGND VPWR VPWR _49452_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_447_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46664_ _46653_/Y _46664_/B VGND VGND VPWR VPWR _46664_/X sky130_fd_sc_hd__or2_2
+XFILLER_111_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70928_ _70928_/A _70927_/Y VGND VGND VPWR VPWR _70928_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_441_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77484_ _77474_/CLK _77484_/D VGND VGND VPWR VPWR _52672_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_292_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_454_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43876_ _43876_/A _43873_/Y VGND VGND VPWR VPWR _43883_/A sky130_fd_sc_hd__nor2_2
+XPHY_6060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74696_ _74696_/A VGND VGND VPWR VPWR _74720_/A sky130_fd_sc_hd__buf_1
+XFILLER_523_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48403_ _78602_/Q _48400_/B VGND VGND VPWR VPWR _48406_/A sky130_fd_sc_hd__nand2_2
+XPHY_6082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79223_ _81212_/CLK _79223_/D VGND VGND VPWR VPWR _44506_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_98_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45615_ _45615_/A _45615_/B VGND VGND VPWR VPWR _45618_/B sky130_fd_sc_hd__nor2_2
+XFILLER_224_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76435_ _76435_/A _76435_/B VGND VGND VPWR VPWR _76435_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42827_ _41937_/X _42826_/X VGND VGND VPWR VPWR _42827_/X sky130_fd_sc_hd__or2_2
+X_49383_ _49380_/Y _49382_/X VGND VGND VPWR VPWR _78354_/D sky130_fd_sc_hd__nand2_2
+X_61661_ _60091_/A VGND VGND VPWR VPWR _61662_/B sky130_fd_sc_hd__buf_1
+X_73647_ _73644_/A _73644_/B _81130_/Q VGND VGND VPWR VPWR _73647_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_621_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46595_ _42868_/X _46567_/A VGND VGND VPWR VPWR _46599_/B sky130_fd_sc_hd__nor2_2
+XFILLER_18_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70859_ _70939_/C VGND VGND VPWR VPWR _70860_/B sky130_fd_sc_hd__inv_8
+XFILLER_248_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_523_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63400_ _63400_/A _63084_/B VGND VGND VPWR VPWR _63400_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_222_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_3089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48334_ _49254_/B VGND VGND VPWR VPWR _48335_/B sky130_fd_sc_hd__buf_1
+X_60612_ _60758_/A _60609_/Y _60612_/C VGND VGND VPWR VPWR _60612_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_307_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79154_ _79163_/CLK _45463_/Y VGND VGND VPWR VPWR _70639_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_209_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_233_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_421_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_163_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45546_ _45546_/A _45541_/B VGND VGND VPWR VPWR _45548_/B sky130_fd_sc_hd__nor2_2
+XFILLER_660_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76366_ _76366_/A VGND VGND VPWR VPWR _76403_/A sky130_fd_sc_hd__buf_1
+X_64380_ _66838_/A VGND VGND VPWR VPWR _64387_/A sky130_fd_sc_hd__buf_1
+XFILLER_621_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42758_ _42734_/A _42758_/B _42757_/Y VGND VGND VPWR VPWR _79459_/D sky130_fd_sc_hd__nor3_2
+XFILLER_248_1586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73578_ _73941_/A _73588_/B VGND VGND VPWR VPWR _73580_/A sky130_fd_sc_hd__or2_2
+X_61592_ _61911_/A _61590_/Y _61591_/Y VGND VGND VPWR VPWR _61593_/C sky130_fd_sc_hd__nor3_2
+XPHY_37151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_308_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_283_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_560 ANTENNA_560/DIODE VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_543_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78105_ _78114_/CLK _50326_/Y VGND VGND VPWR VPWR _62348_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75317_ _75372_/A VGND VGND VPWR VPWR _75317_/X sky130_fd_sc_hd__buf_1
+X_63331_ _63331_/A _63168_/B VGND VGND VPWR VPWR _63331_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_610_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41709_ _41666_/B _41709_/B _41708_/Y VGND VGND VPWR VPWR _41709_/Y sky130_fd_sc_hd__nor3_2
+XPHY_37184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48265_ _48178_/A _48283_/B VGND VGND VPWR VPWR _48266_/B sky130_fd_sc_hd__or2_2
+X_60543_ _59743_/A VGND VGND VPWR VPWR _60544_/B sky130_fd_sc_hd__buf_1
+XFILLER_719_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72529_ _72542_/A _72542_/B _72529_/C VGND VGND VPWR VPWR _72530_/B sky130_fd_sc_hd__nand3_2
+XFILLER_568_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79085_ _79051_/CLK _79085_/D VGND VGND VPWR VPWR _79085_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_178_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_422_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45477_ _45477_/A _45477_/B _45476_/Y VGND VGND VPWR VPWR _45477_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76297_ _76165_/A _76294_/B VGND VGND VPWR VPWR _76297_/X sky130_fd_sc_hd__or2_2
+XFILLER_621_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42689_ _66376_/A _42634_/B VGND VGND VPWR VPWR _42692_/B sky130_fd_sc_hd__nor2_2
+XFILLER_673_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_504_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_359_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47216_ _47211_/B _47214_/Y _47216_/C VGND VGND VPWR VPWR _78882_/D sky130_fd_sc_hd__nor3_2
+XFILLER_92_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66050_ _64248_/A VGND VGND VPWR VPWR _66050_/X sky130_fd_sc_hd__buf_1
+XFILLER_204_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78036_ _78044_/CLK _50587_/Y VGND VGND VPWR VPWR _62813_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44428_ _79233_/Q _44398_/B VGND VGND VPWR VPWR _44428_/Y sky130_fd_sc_hd__nor2_2
+X_63262_ _63259_/X _63262_/B _63261_/Y VGND VGND VPWR VPWR _63266_/B sky130_fd_sc_hd__nor3_2
+XFILLER_32_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75248_ _75246_/X _75247_/Y VGND VGND VPWR VPWR _75248_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_394_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_200_0_u_core.clock clkbuf_9_201_0_u_core.clock/A VGND VGND VPWR VPWR _79353_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_36494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60474_ _60474_/A _59980_/B VGND VGND VPWR VPWR _60475_/C sky130_fd_sc_hd__nor2_2
+X_48196_ _63025_/A _48196_/B VGND VGND VPWR VPWR _48198_/A sky130_fd_sc_hd__nand2_2
+XFILLER_324_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_200_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65001_ _64377_/X VGND VGND VPWR VPWR _65693_/A sky130_fd_sc_hd__buf_1
+XFILLER_319_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62213_ _62060_/A _62213_/B _62212_/Y VGND VGND VPWR VPWR _62213_/Y sky130_fd_sc_hd__nor3_2
+XPHY_35782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47147_ _47124_/X VGND VGND VPWR VPWR _47153_/A sky130_fd_sc_hd__inv_8
+XFILLER_186_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44359_ _44359_/A _44359_/B VGND VGND VPWR VPWR _44360_/B sky130_fd_sc_hd__or2_4
+XFILLER_564_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63193_ _63193_/A _63038_/B VGND VGND VPWR VPWR _63193_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_71_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75179_ _75166_/X _75070_/B _75179_/C VGND VGND VPWR VPWR _75179_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_31_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_724_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_572_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_31_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_669_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62144_ _62144_/A _62613_/B VGND VGND VPWR VPWR _62144_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_145_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47078_ _46863_/A _47078_/B VGND VGND VPWR VPWR _47082_/A sky130_fd_sc_hd__or2_2
+XFILLER_654_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_12_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79987_ _80147_/CLK _79987_/D VGND VGND VPWR VPWR _79987_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_392_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_534_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_350_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38920_ _38539_/A _38920_/B VGND VGND VPWR VPWR _38920_/X sky130_fd_sc_hd__or2_2
+XFILLER_526_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46029_ _46029_/A VGND VGND VPWR VPWR _46851_/A sky130_fd_sc_hd__buf_1
+XFILLER_673_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69740_ _69862_/A VGND VGND VPWR VPWR _69740_/Y sky130_fd_sc_hd__inv_8
+XFILLER_550_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_362_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62075_ _78183_/Q _62075_/B VGND VGND VPWR VPWR _62077_/B sky130_fd_sc_hd__nor2_2
+XFILLER_511_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66952_ _43427_/C _66615_/X VGND VGND VPWR VPWR _66952_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78938_ _78940_/CLK _46860_/Y VGND VGND VPWR VPWR _71112_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_667_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_417_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_489_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_303_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_316_0_u_core.clock clkbuf_9_317_0_u_core.clock/A VGND VGND VPWR VPWR _81301_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_83_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65903_ _65903_/A _65903_/B _65903_/C VGND VGND VPWR VPWR _65903_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_638_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61026_ _61026_/A _60395_/B VGND VGND VPWR VPWR _61026_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_170_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38851_ _38851_/A _38837_/X _80273_/Q VGND VGND VPWR VPWR _38852_/B sky130_fd_sc_hd__nand3_2
+XFILLER_370_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69671_ _69716_/A _55945_/C _69668_/X _42146_/A _69670_/X VGND VGND VPWR VPWR _72984_/B
++ sky130_fd_sc_hd__a32o_4
+XFILLER_417_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_48_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66883_ _66883_/A VGND VGND VPWR VPWR _67376_/A sky130_fd_sc_hd__buf_1
+XFILLER_610_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78869_ _79565_/CLK _78869_/D VGND VGND VPWR VPWR _44931_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_330_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_64_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_134_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80900_ _80902_/CLK _80900_/D VGND VGND VPWR VPWR _67907_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_413_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68622_ _58394_/A _68285_/B VGND VGND VPWR VPWR _68622_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_135_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65834_ _67932_/A VGND VGND VPWR VPWR _66499_/B sky130_fd_sc_hd__buf_1
+XFILLER_29_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38782_ _38662_/A _38789_/B VGND VGND VPWR VPWR _38785_/A sky130_fd_sc_hd__or2_2
+XFILLER_9_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_233_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_708_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_485_0_u_core.clock clkbuf_9_485_0_u_core.clock/A VGND VGND VPWR VPWR _80525_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_542_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_309 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_511_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_665_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_302_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49719_ _49719_/A _49718_/X VGND VGND VPWR VPWR _49719_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_268_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80831_ _80862_/CLK _74883_/Y VGND VGND VPWR VPWR _80831_/Q sky130_fd_sc_hd__dfxtp_4
+X_68553_ _66906_/A VGND VGND VPWR VPWR _68554_/B sky130_fd_sc_hd__buf_1
+XFILLER_468_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65765_ _81015_/Q _65765_/B VGND VGND VPWR VPWR _65765_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_643_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50991_ _50991_/A _50991_/B VGND VGND VPWR VPWR _50991_/X sky130_fd_sc_hd__or2_2
+XFILLER_266_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2845 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62977_ _62977_/A _62975_/Y _62977_/C VGND VGND VPWR VPWR _62977_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_618_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67504_ _80321_/Q _67341_/B VGND VGND VPWR VPWR _67505_/C sky130_fd_sc_hd__nor2_2
+XFILLER_472_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52730_ _52730_/A VGND VGND VPWR VPWR _52752_/B sky130_fd_sc_hd__buf_1
+XFILLER_56_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64716_ _64467_/A _64714_/Y _64715_/Y VGND VGND VPWR VPWR _64716_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_99_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61928_ _62243_/A _61928_/B _61927_/Y VGND VGND VPWR VPWR _61936_/B sky130_fd_sc_hd__nor3_2
+XFILLER_329_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_256_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80762_ _80766_/CLK _80762_/D VGND VGND VPWR VPWR _66226_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_604_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68484_ _67810_/X _68475_/Y _68483_/Y VGND VGND VPWR VPWR _68484_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_266_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65696_ _80310_/Q _65695_/X VGND VGND VPWR VPWR _65697_/C sky130_fd_sc_hd__nor2_2
+XFILLER_424_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_724_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39403_ _39401_/X _39402_/Y VGND VGND VPWR VPWR _80136_/D sky130_fd_sc_hd__nand2_2
+XFILLER_270_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67435_ _64939_/X VGND VGND VPWR VPWR _68109_/A sky130_fd_sc_hd__buf_1
+XFILLER_3_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52661_ _77487_/Q _52667_/B VGND VGND VPWR VPWR _52663_/A sky130_fd_sc_hd__nand2_2
+XFILLER_329_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64647_ _64341_/A _64647_/B _64646_/Y VGND VGND VPWR VPWR _64648_/C sky130_fd_sc_hd__nor3_2
+XFILLER_541_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_661_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61859_ _61859_/A _61390_/B VGND VGND VPWR VPWR _61859_/Y sky130_fd_sc_hd__nor2_2
+X_80693_ _80742_/CLK _80693_/D VGND VGND VPWR VPWR _75401_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_110_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_307_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_270_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_309_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54400_ _54400_/A _54396_/B VGND VGND VPWR VPWR _54403_/A sky130_fd_sc_hd__nand2_2
+XFILLER_599_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_184_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39334_ _39203_/A _39323_/B VGND VGND VPWR VPWR _39336_/A sky130_fd_sc_hd__or2_2
+X_51612_ _60695_/A _51615_/B VGND VGND VPWR VPWR _51614_/A sky130_fd_sc_hd__nand2_2
+XFILLER_36_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55380_ _55310_/A VGND VGND VPWR VPWR _55443_/A sky130_fd_sc_hd__buf_1
+X_67366_ _67203_/X _67362_/Y _67365_/Y VGND VGND VPWR VPWR _67377_/B sky130_fd_sc_hd__nor3_2
+XFILLER_481_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52592_ _52563_/A _52601_/B VGND VGND VPWR VPWR _52592_/X sky130_fd_sc_hd__or2_2
+X_64578_ _64577_/X VGND VGND VPWR VPWR _69330_/A sky130_fd_sc_hd__buf_1
+XFILLER_208_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_90_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_326_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_19_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69105_ _39904_/C _69523_/B VGND VGND VPWR VPWR _69105_/Y sky130_fd_sc_hd__nor2_2
+XPHY_2018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54331_ _54244_/X _54322_/B VGND VGND VPWR VPWR _54332_/B sky130_fd_sc_hd__or2_2
+XFILLER_559_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66317_ _65808_/X _66315_/Y _66316_/Y VGND VGND VPWR VPWR _66317_/Y sky130_fd_sc_hd__nor3_2
+XPHY_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39265_ _39255_/A VGND VGND VPWR VPWR _39304_/A sky130_fd_sc_hd__buf_1
+X_51543_ _51485_/A _51549_/B VGND VGND VPWR VPWR _51544_/B sky130_fd_sc_hd__or2_2
+XPHY_2029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63529_ _62899_/X _63523_/Y _63529_/C VGND VGND VPWR VPWR _63529_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_702_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_547_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_401_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67297_ _67297_/A _66800_/X VGND VGND VPWR VPWR _67297_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_180_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_307_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_51_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_2715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_479_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_260_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_500_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38216_ _38210_/A _38206_/B _64731_/A VGND VGND VPWR VPWR _38217_/B sky130_fd_sc_hd__nand3_2
+X_57050_ _56798_/X _57047_/Y _57050_/C VGND VGND VPWR VPWR _57055_/B sky130_fd_sc_hd__nor3_2
+X_69036_ _69036_/A _69032_/Y _69036_/C VGND VGND VPWR VPWR _69037_/C sky130_fd_sc_hd__nor3_2
+XFILLER_518_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81314_ _81306_/CLK _72892_/Y VGND VGND VPWR VPWR _67629_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_678_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_36_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_205_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_405_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54262_ _54227_/X _54262_/B VGND VGND VPWR VPWR _54262_/X sky130_fd_sc_hd__or2_2
+XFILLER_299_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66248_ _66736_/A _66248_/B _66247_/Y VGND VGND VPWR VPWR _66249_/B sky130_fd_sc_hd__nor3_2
+XFILLER_479_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_715_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_225_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39196_ _39194_/X _39195_/Y VGND VGND VPWR VPWR _39196_/Y sky130_fd_sc_hd__nand2_2
+X_51474_ _61486_/A _51477_/B VGND VGND VPWR VPWR _51476_/A sky130_fd_sc_hd__nand2_2
+XPHY_15719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_690_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_656_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56001_ _55988_/A _56001_/B _56000_/Y VGND VGND VPWR VPWR _56002_/B sky130_fd_sc_hd__or3_2
+XFILLER_637_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_573_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53213_ _53213_/A _53210_/B VGND VGND VPWR VPWR _53213_/X sky130_fd_sc_hd__or2_2
+XFILLER_326_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50425_ _61895_/A _50429_/B VGND VGND VPWR VPWR _50428_/A sky130_fd_sc_hd__nand2_2
+X_81245_ _81273_/CLK _81245_/D VGND VGND VPWR VPWR _66761_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_260_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_633_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_295_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54193_ _77084_/Q _54207_/B VGND VGND VPWR VPWR _54193_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_539_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66179_ _57157_/A _65843_/X VGND VGND VPWR VPWR _66179_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_177_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_192_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_197_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_418_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53144_ _53179_/B _53225_/A VGND VGND VPWR VPWR _53147_/A sky130_fd_sc_hd__or2_2
+XFILLER_197_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_704_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50356_ _50266_/A _50350_/B VGND VGND VPWR VPWR _50356_/X sky130_fd_sc_hd__or2_2
+XFILLER_381_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_opt_8_u_core.clock _79559_/CLK VGND VGND VPWR VPWR _79015_/CLK sky130_fd_sc_hd__clkbuf_16
+XFILLER_238_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81176_ _81206_/CLK _81176_/D VGND VGND VPWR VPWR _65935_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_499_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_192_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_611_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_570_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_436_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80127_ _80157_/CLK _80127_/D VGND VGND VPWR VPWR _80127_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_3_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_687_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53075_ _53075_/A _53072_/B VGND VGND VPWR VPWR _53075_/X sky130_fd_sc_hd__or2_2
+X_57952_ _67811_/A _58033_/B VGND VGND VPWR VPWR _57952_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_69_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69938_ _69937_/X VGND VGND VPWR VPWR _71337_/A sky130_fd_sc_hd__buf_1
+XPHY_9603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50287_ _50245_/A _50308_/B VGND VGND VPWR VPWR _50288_/B sky130_fd_sc_hd__or2_2
+XFILLER_431_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40040_ _40037_/X _40039_/Y VGND VGND VPWR VPWR _79975_/D sky130_fd_sc_hd__nand2_2
+XPHY_9636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52026_ _51728_/A VGND VGND VPWR VPWR _52057_/A sky130_fd_sc_hd__buf_1
+X_56903_ _65478_/A _56818_/B VGND VGND VPWR VPWR _56904_/C sky130_fd_sc_hd__nor2_2
+XFILLER_668_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80058_ _80095_/CLK _39694_/Y VGND VGND VPWR VPWR _80058_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_20505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57883_ _80162_/Q _57728_/B VGND VGND VPWR VPWR _57883_/Y sky130_fd_sc_hd__nor2_2
+XPHY_8913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69869_ _42473_/A VGND VGND VPWR VPWR _69955_/A sky130_fd_sc_hd__inv_8
+XFILLER_611_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_431_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_648_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_726_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59622_ _59622_/A _60108_/B VGND VGND VPWR VPWR _59622_/Y sky130_fd_sc_hd__nor2_2
+X_71900_ _71427_/A _71900_/B _71899_/X VGND VGND VPWR VPWR _71900_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_212_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56834_ _56917_/A _56834_/B _56834_/C VGND VGND VPWR VPWR _56849_/A sky130_fd_sc_hd__nor3_2
+XPHY_8946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72880_ _74718_/A VGND VGND VPWR VPWR _73668_/A sky130_fd_sc_hd__buf_1
+XFILLER_132_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_59_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_684_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_271_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_454_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71831_ _71510_/A VGND VGND VPWR VPWR _71864_/A sky130_fd_sc_hd__buf_1
+XFILLER_5_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59553_ _54023_/A _59717_/B VGND VGND VPWR VPWR _59555_/B sky130_fd_sc_hd__nor2_2
+XFILLER_388_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_366_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_609_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56765_ _56684_/A _56765_/B _56764_/Y VGND VGND VPWR VPWR _56766_/C sky130_fd_sc_hd__nor3_2
+XFILLER_466_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_312_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41991_ _41990_/Y _42016_/B VGND VGND VPWR VPWR _41992_/B sky130_fd_sc_hd__nor2_2
+XFILLER_683_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53977_ _53976_/X VGND VGND VPWR VPWR _53978_/B sky130_fd_sc_hd__buf_1
+XFILLER_58_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_546_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_47_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58504_ _58339_/A _58504_/B _58503_/Y VGND VGND VPWR VPWR _58504_/X sky130_fd_sc_hd__or3_2
+XPHY_29503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43730_ _43727_/X VGND VGND VPWR VPWR _43744_/C sky130_fd_sc_hd__inv_8
+XFILLER_408_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55716_ _55680_/A _55715_/X VGND VGND VPWR VPWR _55716_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_232_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74550_ _74164_/A _74660_/B VGND VGND VPWR VPWR _74552_/A sky130_fd_sc_hd__or2_2
+XFILLER_349_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_609_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_549_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40942_ _40978_/A VGND VGND VPWR VPWR _40943_/B sky130_fd_sc_hd__buf_1
+XFILLER_544_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52928_ _60757_/A _52936_/B VGND VGND VPWR VPWR _52931_/A sky130_fd_sc_hd__nand2_2
+XFILLER_652_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59484_ _59803_/A _59484_/B _59483_/Y VGND VGND VPWR VPWR _59492_/B sky130_fd_sc_hd__nor3_2
+X_71762_ _70919_/Y _71758_/Y _71759_/X _71761_/Y VGND VGND VPWR VPWR _71762_/X sky130_fd_sc_hd__o22a_4
+XFILLER_101_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_644_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_34_0_u_core.clock clkbuf_6_35_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_69_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_644_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56696_ _57102_/A VGND VGND VPWR VPWR _56778_/B sky130_fd_sc_hd__buf_1
+XFILLER_463_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_546_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73501_ _75058_/A _73501_/B VGND VGND VPWR VPWR _73502_/B sky130_fd_sc_hd__or2_2
+XPHY_29547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58435_ _57084_/A VGND VGND VPWR VPWR _58435_/X sky130_fd_sc_hd__buf_1
+X_70713_ _70713_/A VGND VGND VPWR VPWR _70714_/A sky130_fd_sc_hd__inv_8
+XFILLER_581_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43661_ _43549_/A _43661_/B VGND VGND VPWR VPWR _43661_/Y sky130_fd_sc_hd__nor2_2
+X_55647_ _55559_/A VGND VGND VPWR VPWR _55704_/A sky130_fd_sc_hd__buf_1
+X_74481_ _74481_/A _74480_/X VGND VGND VPWR VPWR _74481_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40873_ _40873_/A VGND VGND VPWR VPWR _40889_/A sky130_fd_sc_hd__buf_1
+XFILLER_64_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52859_ _52856_/Y _52858_/X VGND VGND VPWR VPWR _77434_/D sky130_fd_sc_hd__nand2_2
+XPHY_18301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71693_ _71693_/A _71693_/B _71692_/Y VGND VGND VPWR VPWR _71693_/X sky130_fd_sc_hd__or3_2
+XFILLER_186_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_182_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_3054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_280_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45400_ _44938_/X _45395_/Y _45399_/Y VGND VGND VPWR VPWR _45400_/X sky130_fd_sc_hd__or3_2
+XFILLER_249_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76220_ _76280_/A VGND VGND VPWR VPWR _76220_/X sky130_fd_sc_hd__buf_1
+XFILLER_579_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42612_ _45585_/A VGND VGND VPWR VPWR _67517_/A sky130_fd_sc_hd__inv_8
+XFILLER_562_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73432_ _73417_/X _73432_/B _81186_/Q VGND VGND VPWR VPWR _73434_/A sky130_fd_sc_hd__nand3_2
+XFILLER_325_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46380_ _43098_/Y _46380_/B VGND VGND VPWR VPWR _46381_/B sky130_fd_sc_hd__or2_2
+XFILLER_199_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_540_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58366_ _58366_/A _58366_/B VGND VGND VPWR VPWR _58368_/B sky130_fd_sc_hd__nor2_2
+XPHY_19079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70644_ _70621_/Y _70644_/B VGND VGND VPWR VPWR _70644_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_696_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43592_ _71439_/A _43588_/B VGND VGND VPWR VPWR _43594_/B sky130_fd_sc_hd__nor2_2
+XPHY_17600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55578_ _55578_/A _55577_/X VGND VGND VPWR VPWR _55578_/Y sky130_fd_sc_hd__nand2_2
+XPHY_18345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_692_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45331_ _45089_/A _55634_/B VGND VGND VPWR VPWR _45339_/B sky130_fd_sc_hd__nor2_2
+XFILLER_423_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57317_ _66506_/A _57239_/X VGND VGND VPWR VPWR _57317_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_262_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_202_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76151_ _76287_/A _76156_/B VGND VGND VPWR VPWR _76151_/X sky130_fd_sc_hd__or2_2
+XFILLER_575_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_401_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_349_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42543_ _68511_/A _42552_/B VGND VGND VPWR VPWR _42548_/A sky130_fd_sc_hd__nor2_2
+X_54529_ _54076_/A VGND VGND VPWR VPWR _54649_/A sky130_fd_sc_hd__buf_1
+XFILLER_505_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73363_ _75039_/A _73366_/B VGND VGND VPWR VPWR _73363_/X sky130_fd_sc_hd__or2_2
+XFILLER_265_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58297_ _58217_/A _58297_/B VGND VGND VPWR VPWR _58298_/C sky130_fd_sc_hd__nor2_2
+XFILLER_325_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70575_ _70388_/C _70569_/X _69958_/A _70574_/X VGND VGND VPWR VPWR _70575_/X sky130_fd_sc_hd__o22a_4
+XFILLER_600_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75102_ _75102_/A VGND VGND VPWR VPWR _75120_/B sky130_fd_sc_hd__buf_1
+XFILLER_497_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_655_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48050_ _48021_/A _48068_/B VGND VGND VPWR VPWR _48050_/X sky130_fd_sc_hd__or2_2
+X_72314_ _72313_/X _72295_/B VGND VGND VPWR VPWR _72314_/X sky130_fd_sc_hd__or2_2
+XPHY_16932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_14_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45262_ _45260_/X _45261_/X VGND VGND VPWR VPWR _45263_/B sky130_fd_sc_hd__nand2_2
+XPHY_35045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76082_ _76080_/X _76082_/B VGND VGND VPWR VPWR _76082_/Y sky130_fd_sc_hd__nand2_2
+X_57248_ _57238_/X _57248_/B _57247_/Y VGND VGND VPWR VPWR _57248_/Y sky130_fd_sc_hd__nor3_2
+XPHY_2563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_341_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42474_ _42465_/A VGND VGND VPWR VPWR _42474_/X sky130_fd_sc_hd__buf_1
+XFILLER_592_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73294_ _73294_/A _73293_/X VGND VGND VPWR VPWR _81224_/D sky130_fd_sc_hd__nand2_2
+XFILLER_74_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47001_ _47001_/A _47001_/B _47001_/C VGND VGND VPWR VPWR _47002_/B sky130_fd_sc_hd__or3_2
+XFILLER_475_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44213_ _44218_/A _44213_/B VGND VGND VPWR VPWR _44213_/Y sky130_fd_sc_hd__nor2_2
+XPHY_2596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79910_ _79907_/CLK _40284_/Y VGND VGND VPWR VPWR _58235_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_16976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75033_ _75033_/A _75032_/X VGND VGND VPWR VPWR _75033_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_506_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_3027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_690_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41425_ _41423_/X _41424_/Y VGND VGND VPWR VPWR _79623_/D sky130_fd_sc_hd__nand2_2
+XFILLER_204_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_180_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72245_ _72245_/A _72233_/X VGND VGND VPWR VPWR _72248_/A sky130_fd_sc_hd__or2_2
+XFILLER_317_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_239_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_458_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45193_ _45191_/Y _45425_/B VGND VGND VPWR VPWR _45198_/B sky130_fd_sc_hd__nor2_2
+XFILLER_690_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57179_ _57345_/A _57177_/Y _57179_/C VGND VGND VPWR VPWR _57180_/C sky130_fd_sc_hd__nor3_2
+XFILLER_518_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_706_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_390_127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_195_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_295_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_655_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44144_ _44243_/A VGND VGND VPWR VPWR _44229_/A sky130_fd_sc_hd__buf_1
+XFILLER_319_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79841_ _79940_/CLK _40574_/Y VGND VGND VPWR VPWR _79841_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_10_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41356_ _41341_/X _41367_/B _66140_/A VGND VGND VPWR VPWR _41357_/B sky130_fd_sc_hd__nand3_2
+XFILLER_651_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60190_ _60017_/A _60187_/Y _60189_/Y VGND VGND VPWR VPWR _60191_/C sky130_fd_sc_hd__nor3_2
+XFILLER_128_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72176_ _72289_/A VGND VGND VPWR VPWR _72176_/X sky130_fd_sc_hd__buf_1
+XFILLER_371_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40307_ _40325_/A VGND VGND VPWR VPWR _40322_/B sky130_fd_sc_hd__buf_1
+XFILLER_256_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71127_ _71320_/A _71127_/B _71127_/C _71126_/Y VGND VGND VPWR VPWR _71127_/X sky130_fd_sc_hd__or4_2
+XFILLER_142_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_139_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_14_0_u_core.clock clkbuf_6_7_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_29_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_33698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44075_ _44073_/X _44074_/Y VGND VGND VPWR VPWR _44075_/Y sky130_fd_sc_hd__nor2_2
+X_48952_ _48330_/A VGND VGND VPWR VPWR _49069_/A sky130_fd_sc_hd__buf_1
+XFILLER_551_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79772_ _79772_/CLK _79772_/D VGND VGND VPWR VPWR _66661_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_722_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41287_ _41286_/X VGND VGND VPWR VPWR _41291_/B sky130_fd_sc_hd__buf_1
+XFILLER_633_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_332_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76984_ _76993_/CLK _76984_/D VGND VGND VPWR VPWR _59661_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_100_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_89_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_178_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_694_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47903_ _63469_/A _47896_/B VGND VGND VPWR VPWR _47903_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_452_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43026_ _43026_/A _43024_/Y _43025_/Y VGND VGND VPWR VPWR _43210_/A sky130_fd_sc_hd__or3_2
+XFILLER_647_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78723_ _78721_/CLK _78723_/D VGND VGND VPWR VPWR _78723_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_32997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40238_ _40236_/X _40238_/B VGND VGND VPWR VPWR _40238_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_319_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_100_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75935_ _75926_/A _75926_/B _80562_/Q VGND VGND VPWR VPWR _75936_/B sky130_fd_sc_hd__nand3_2
+XFILLER_520_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71058_ _71188_/A _71188_/B _69367_/A VGND VGND VPWR VPWR _71059_/B sky130_fd_sc_hd__nand3_2
+XFILLER_174_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_174_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48883_ _48883_/A _48877_/B VGND VGND VPWR VPWR _48883_/X sky130_fd_sc_hd__or2_2
+XPHY_21740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_412_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62900_ _62900_/A _62900_/B VGND VGND VPWR VPWR _62900_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_6_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70009_ _70260_/A _70285_/A _70266_/B VGND VGND VPWR VPWR _70232_/A sky130_fd_sc_hd__or3_2
+XFILLER_684_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47834_ _47825_/A VGND VGND VPWR VPWR _47834_/X sky130_fd_sc_hd__buf_1
+XFILLER_512_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78654_ _78156_/CLK _78654_/D VGND VGND VPWR VPWR _78654_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_269_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_4_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40169_ _40205_/A VGND VGND VPWR VPWR _40184_/A sky130_fd_sc_hd__buf_1
+XFILLER_272_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_250_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75866_ _75866_/A _75866_/B _68045_/A VGND VGND VPWR VPWR _75866_/Y sky130_fd_sc_hd__nand3_2
+X_63880_ _78699_/Q _63880_/B VGND VGND VPWR VPWR _63881_/C sky130_fd_sc_hd__nor2_2
+XFILLER_486_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_567_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_117_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_300_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77605_ _77093_/CLK _77605_/D VGND VGND VPWR VPWR _60484_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_649_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74817_ _74816_/X _74680_/B _64145_/A VGND VGND VPWR VPWR _74817_/Y sky130_fd_sc_hd__nand3_2
+XPHY_11294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62831_ _78076_/Q _62987_/B VGND VGND VPWR VPWR _62833_/B sky130_fd_sc_hd__nor2_2
+XFILLER_529_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47765_ _47765_/A _47761_/B VGND VGND VPWR VPWR _47765_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_61_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78585_ _78580_/CLK _48472_/Y VGND VGND VPWR VPWR _78585_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_482_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44977_ _44965_/X _44976_/Y VGND VGND VPWR VPWR _79173_/D sky130_fd_sc_hd__nor2_2
+X_75797_ _75797_/A _75796_/Y VGND VGND VPWR VPWR _80599_/D sky130_fd_sc_hd__nand2_2
+XFILLER_81_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_289_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_285_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49504_ _49504_/A _49509_/B VGND VGND VPWR VPWR _49508_/A sky130_fd_sc_hd__nand2_2
+XFILLER_580_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46716_ _43103_/Y _46769_/A VGND VGND VPWR VPWR _46716_/X sky130_fd_sc_hd__or2_2
+XFILLER_725_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65550_ _65376_/A _65550_/B _65550_/C VGND VGND VPWR VPWR _65550_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_78_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77536_ _77528_/CLK _77536_/D VGND VGND VPWR VPWR _77536_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_226_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43928_ _43928_/A VGND VGND VPWR VPWR _43929_/B sky130_fd_sc_hd__inv_8
+XFILLER_345_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_580_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62762_ _60090_/A VGND VGND VPWR VPWR _63389_/B sky130_fd_sc_hd__buf_1
+X_74748_ _74725_/A VGND VGND VPWR VPWR _74749_/A sky130_fd_sc_hd__buf_1
+XFILLER_427_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_383_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_725_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47696_ _47696_/A _47695_/X VGND VGND VPWR VPWR _78781_/D sky130_fd_sc_hd__nand2_2
+XFILLER_580_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_165_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64501_ _65412_/A VGND VGND VPWR VPWR _65246_/B sky130_fd_sc_hd__buf_1
+XFILLER_454_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61713_ _61402_/A _61710_/Y _61712_/Y VGND VGND VPWR VPWR _61713_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_597_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49435_ _49433_/Y _49434_/X VGND VGND VPWR VPWR _49435_/Y sky130_fd_sc_hd__nand2_2
+X_46647_ _46647_/A _46647_/B VGND VGND VPWR VPWR _46647_/X sky130_fd_sc_hd__and2_2
+XFILLER_670_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77467_ _77474_/CLK _52735_/Y VGND VGND VPWR VPWR _61360_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_59_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65481_ _64626_/X VGND VGND VPWR VPWR _65486_/A sky130_fd_sc_hd__buf_1
+XFILLER_621_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43859_ _43859_/A _43866_/B VGND VGND VPWR VPWR _43865_/B sky130_fd_sc_hd__nor2_2
+XFILLER_541_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62693_ _62693_/A _62689_/Y _62693_/C VGND VGND VPWR VPWR _62693_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_267_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_679_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74679_ _74679_/A VGND VGND VPWR VPWR _75192_/A sky130_fd_sc_hd__buf_1
+XFILLER_523_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_231_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_64_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_630_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67220_ _80544_/Q _66894_/B VGND VGND VPWR VPWR _67222_/B sky130_fd_sc_hd__nor2_2
+X_79206_ _79238_/CLK _44697_/Y VGND VGND VPWR VPWR _79206_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_482_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64432_ _66381_/A VGND VGND VPWR VPWR _64447_/A sky130_fd_sc_hd__buf_1
+XFILLER_365_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76418_ _76165_/A _76418_/B VGND VGND VPWR VPWR _76418_/X sky130_fd_sc_hd__or2_2
+XFILLER_283_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61644_ _61641_/X _61644_/B _61644_/C VGND VGND VPWR VPWR _61645_/C sky130_fd_sc_hd__nor3_2
+Xclkbuf_9_106_0_u_core.clock clkbuf_8_53_0_u_core.clock/X VGND VGND VPWR VPWR _78251_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_49366_ _49525_/A VGND VGND VPWR VPWR _51167_/A sky130_fd_sc_hd__buf_1
+XFILLER_621_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46578_ _46583_/A _46576_/Y _46577_/Y VGND VGND VPWR VPWR _46578_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_421_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77398_ _77749_/CLK _77398_/D VGND VGND VPWR VPWR _52990_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_401_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48317_ _48317_/A _48317_/B VGND VGND VPWR VPWR _48317_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_326_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_556_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67151_ _67151_/A _67147_/Y _67151_/C VGND VGND VPWR VPWR _67152_/C sky130_fd_sc_hd__nor3_2
+XFILLER_181_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79137_ _76708_/CLK _79137_/D VGND VGND VPWR VPWR _45556_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_441_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45529_ _45460_/A _44958_/A _45016_/A VGND VGND VPWR VPWR _45529_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_597_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64363_ _64362_/X VGND VGND VPWR VPWR _69502_/B sky130_fd_sc_hd__buf_1
+XFILLER_601_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76349_ _76349_/A _76349_/B VGND VGND VPWR VPWR _80462_/D sky130_fd_sc_hd__nand2_2
+XFILLER_343_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_1589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61575_ _52374_/A _61276_/B VGND VGND VPWR VPWR _61576_/C sky130_fd_sc_hd__nor2_2
+X_49297_ _49297_/A _49286_/B VGND VGND VPWR VPWR _49299_/A sky130_fd_sc_hd__nand2_2
+XFILLER_163_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_390 _53221_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_61_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_215_0_u_core.clock clkbuf_8_214_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_431_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_18890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_209_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66102_ _66102_/A _65434_/B VGND VGND VPWR VPWR _66102_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_146_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_406_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39050_ _39050_/A VGND VGND VPWR VPWR _39056_/B sky130_fd_sc_hd__buf_1
+X_63314_ _63314_/A _63312_/Y _63313_/Y VGND VGND VPWR VPWR _63314_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_261_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_275_0_u_core.clock clkbuf_9_275_0_u_core.clock/A VGND VGND VPWR VPWR _77120_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_402_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60526_ _52062_/A _60043_/B VGND VGND VPWR VPWR _60526_/Y sky130_fd_sc_hd__nor2_2
+X_48248_ _63331_/A _48254_/B VGND VGND VPWR VPWR _48248_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_691_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67082_ _68729_/A VGND VGND VPWR VPWR _67747_/B sky130_fd_sc_hd__buf_1
+X_79068_ _79057_/CLK _45924_/Y VGND VGND VPWR VPWR _41647_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_638_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_124_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64294_ _64294_/A VGND VGND VPWR VPWR _64438_/A sky130_fd_sc_hd__buf_1
+XFILLER_320_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_105_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_387_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_222_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_500_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66033_ _66014_/Y _66033_/B VGND VGND VPWR VPWR _66034_/B sky130_fd_sc_hd__nor2_2
+XFILLER_558_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78019_ _78530_/CLK _50646_/Y VGND VGND VPWR VPWR _62634_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_382_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63245_ _63245_/A _63084_/B VGND VGND VPWR VPWR _63247_/B sky130_fd_sc_hd__nor2_2
+XFILLER_204_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48179_ _48177_/Y _48178_/X VGND VGND VPWR VPWR _78659_/D sky130_fd_sc_hd__nand2_2
+X_60457_ _60135_/A _60457_/B _60456_/Y VGND VGND VPWR VPWR _60458_/C sky130_fd_sc_hd__nor3_2
+XFILLER_179_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_415_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_105_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50210_ _49912_/A VGND VGND VPWR VPWR _50211_/B sky130_fd_sc_hd__buf_1
+XFILLER_308_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_591_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81030_ _80902_/CLK _81030_/D VGND VGND VPWR VPWR _68234_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_517_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_9_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63176_ _61614_/A VGND VGND VPWR VPWR _63181_/A sky130_fd_sc_hd__buf_1
+X_51190_ _51188_/Y _51189_/X VGND VGND VPWR VPWR _77871_/D sky130_fd_sc_hd__nand2_2
+XFILLER_118_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_356_2967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60388_ _53381_/A _60388_/B VGND VGND VPWR VPWR _60388_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_147_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_192_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50141_ _50139_/Y _50141_/B VGND VGND VPWR VPWR _78153_/D sky130_fd_sc_hd__nand2_2
+XFILLER_372_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62127_ _59357_/A VGND VGND VPWR VPWR _62128_/B sky130_fd_sc_hd__buf_1
+XFILLER_66_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_415_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39952_ _39949_/A _39964_/B _79998_/Q VGND VGND VPWR VPWR _39953_/B sky130_fd_sc_hd__nand3_2
+XFILLER_669_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_66_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67984_ _58029_/A _67649_/B VGND VGND VPWR VPWR _67985_/C sky130_fd_sc_hd__nor2_2
+XFILLER_157_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38903_ _38921_/A VGND VGND VPWR VPWR _38915_/A sky130_fd_sc_hd__buf_1
+XFILLER_612_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_354_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69723_ _69723_/A _64517_/A VGND VGND VPWR VPWR _69726_/A sky130_fd_sc_hd__nor2_2
+XFILLER_565_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50072_ _50072_/A VGND VGND VPWR VPWR _50085_/B sky130_fd_sc_hd__buf_1
+XFILLER_126_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66935_ _66935_/A _66276_/X VGND VGND VPWR VPWR _66936_/C sky130_fd_sc_hd__nor2_2
+X_62058_ _62053_/X _62055_/Y _62057_/Y VGND VGND VPWR VPWR _62058_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_255_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_534_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39883_ _40004_/A _39871_/B VGND VGND VPWR VPWR _39886_/A sky130_fd_sc_hd__or2_2
+XFILLER_118_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_435_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_88_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_432_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_610_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53900_ _59728_/A _53885_/X VGND VGND VPWR VPWR _53900_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_276_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61009_ _61009_/A VGND VGND VPWR VPWR _61012_/A sky130_fd_sc_hd__buf_1
+XFILLER_83_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_173_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38834_ _38827_/A _38820_/B _38834_/C VGND VGND VPWR VPWR _38835_/B sky130_fd_sc_hd__nand3_2
+XFILLER_638_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69654_ _69654_/A _69654_/B _69653_/Y VGND VGND VPWR VPWR _69654_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_389_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54880_ _54889_/A VGND VGND VPWR VPWR _54895_/B sky130_fd_sc_hd__buf_1
+XFILLER_142_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_487_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66866_ _44370_/A _66866_/B VGND VGND VPWR VPWR _66871_/A sky130_fd_sc_hd__nor2_2
+XFILLER_141_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_487_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_233_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_585_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68605_ _68934_/A _68600_/Y _68604_/Y VGND VGND VPWR VPWR _68605_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_436_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53831_ _53831_/A _53831_/B VGND VGND VPWR VPWR _53831_/X sky130_fd_sc_hd__or2_2
+X_65817_ _65817_/A _65661_/X VGND VGND VPWR VPWR _65818_/C sky130_fd_sc_hd__nor2_2
+XFILLER_385_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38765_ _38768_/A _38780_/B _38765_/C VGND VGND VPWR VPWR _38766_/B sky130_fd_sc_hd__nand3_2
+XFILLER_508_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69585_ _69589_/A _69585_/B VGND VGND VPWR VPWR _69585_/X sky130_fd_sc_hd__and2_2
+XFILLER_680_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_77_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66797_ _66294_/X _66785_/Y _66797_/C VGND VGND VPWR VPWR _66797_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_704_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_446_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56550_ _56550_/A _56355_/B VGND VGND VPWR VPWR _56552_/B sky130_fd_sc_hd__nor2_2
+XFILLER_112_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68536_ _68536_/A _68195_/B VGND VGND VPWR VPWR _68536_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_563_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_546_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80814_ _81476_/CLK _74947_/Y VGND VGND VPWR VPWR _69437_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_309_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53762_ _53762_/A _53761_/X VGND VGND VPWR VPWR _53762_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_448_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65748_ _66090_/A _65739_/Y _65747_/Y VGND VGND VPWR VPWR _65749_/B sky130_fd_sc_hd__nor3_2
+XFILLER_383_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38696_ _38678_/A VGND VGND VPWR VPWR _38696_/X sky130_fd_sc_hd__buf_1
+X_50974_ _62464_/A _50967_/B VGND VGND VPWR VPWR _50977_/A sky130_fd_sc_hd__nand2_2
+XFILLER_284_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_141_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_383_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_446_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_407_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_502_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55501_ _55356_/A _55501_/B _55501_/C VGND VGND VPWR VPWR _55501_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_246_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_446_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_606_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52713_ _52713_/A _52712_/X VGND VGND VPWR VPWR _77473_/D sky130_fd_sc_hd__nand2_2
+XFILLER_432_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_721_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80745_ _80742_/CLK _75209_/Y VGND VGND VPWR VPWR _75208_/C sky130_fd_sc_hd__dfxtp_4
+X_56481_ _56375_/A _56481_/B _56481_/C VGND VGND VPWR VPWR _56481_/Y sky130_fd_sc_hd__nor3_2
+X_68467_ _67774_/X _68467_/B VGND VGND VPWR VPWR _68505_/B sky130_fd_sc_hd__nor2_2
+XFILLER_56_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53693_ _53691_/Y _53692_/X VGND VGND VPWR VPWR _53693_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_443_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65679_ _56924_/A _65518_/X VGND VGND VPWR VPWR _65681_/B sky130_fd_sc_hd__nor2_2
+XFILLER_405_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_262_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_141_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_77_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_43_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_426_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_502_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_309_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_281_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58220_ _56826_/A VGND VGND VPWR VPWR _58220_/X sky130_fd_sc_hd__buf_1
+XFILLER_168_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55432_ _55432_/A _55432_/B VGND VGND VPWR VPWR _55432_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_205_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67418_ _67092_/X _67418_/B _67417_/Y VGND VGND VPWR VPWR _67418_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_364_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_344_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52644_ _77492_/Q _52643_/X VGND VGND VPWR VPWR _52647_/A sky130_fd_sc_hd__nand2_2
+XFILLER_578_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_442_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_404_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_164_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80676_ _80641_/CLK _75479_/Y VGND VGND VPWR VPWR _80676_/Q sky130_fd_sc_hd__dfxtp_4
+X_68398_ _80999_/Q _68903_/B VGND VGND VPWR VPWR _68400_/B sky130_fd_sc_hd__nor2_2
+XFILLER_24_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_383_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_621_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_696_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39317_ _39317_/A _39317_/B _80158_/Q VGND VGND VPWR VPWR _39317_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_36_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58151_ _68121_/A _57826_/X VGND VGND VPWR VPWR _58151_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_129_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_404_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_307_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_196_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67349_ _67025_/A _67349_/B VGND VGND VPWR VPWR _67349_/Y sky130_fd_sc_hd__nor2_2
+X_55363_ _55363_/A VGND VGND VPWR VPWR _55373_/A sky130_fd_sc_hd__buf_1
+XFILLER_576_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52575_ _52604_/A _52575_/B VGND VGND VPWR VPWR _52575_/X sky130_fd_sc_hd__or2_2
+XFILLER_240_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_107_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_240_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_600_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_322_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57102_ _57102_/A VGND VGND VPWR VPWR _57184_/B sky130_fd_sc_hd__buf_1
+XFILLER_262_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_180_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54314_ _54314_/A VGND VGND VPWR VPWR _54315_/B sky130_fd_sc_hd__buf_1
+XFILLER_197_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39248_ _39181_/A VGND VGND VPWR VPWR _39248_/X sky130_fd_sc_hd__buf_1
+XFILLER_396_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51526_ _53437_/A _51554_/B VGND VGND VPWR VPWR _51526_/X sky130_fd_sc_hd__or2_2
+XFILLER_209_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58082_ _58082_/A _58082_/B _58082_/C VGND VGND VPWR VPWR _58086_/B sky130_fd_sc_hd__nor3_2
+XPHY_16239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70360_ _70360_/A VGND VGND VPWR VPWR _70429_/B sky130_fd_sc_hd__buf_1
+XFILLER_574_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55294_ _43534_/Y _55377_/A _55294_/C VGND VGND VPWR VPWR _55294_/X sky130_fd_sc_hd__and3_2
+XFILLER_180_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_297_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_535_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_576_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_3046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_475_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57033_ _56882_/A _57033_/B _57032_/Y VGND VGND VPWR VPWR _57033_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_437_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69019_ _69019_/A _69019_/B VGND VGND VPWR VPWR _69019_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_377_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54245_ _54244_/X _54241_/B VGND VGND VPWR VPWR _54245_/X sky130_fd_sc_hd__or2_2
+XFILLER_554_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_184_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51457_ _51485_/A _51450_/X VGND VGND VPWR VPWR _51457_/X sky130_fd_sc_hd__or2_2
+XPHY_14804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39179_ _76150_/A VGND VGND VPWR VPWR _39179_/X sky130_fd_sc_hd__buf_1
+XFILLER_373_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70291_ _70290_/Y _70196_/X _70285_/X _70171_/X VGND VGND VPWR VPWR _70291_/X sky130_fd_sc_hd__o22a_4
+XFILLER_574_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_460 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_177_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_515_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_437_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_652_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_149_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41210_ _41209_/X _41196_/B _67291_/A VGND VGND VPWR VPWR _41211_/B sky130_fd_sc_hd__nand3_2
+XFILLER_457_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_338_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72030_ _71089_/A _72029_/Y _71082_/A _70796_/X VGND VGND VPWR VPWR _72031_/B sky130_fd_sc_hd__o22a_4
+XFILLER_316_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50408_ _62519_/A _50404_/B VGND VGND VPWR VPWR _50412_/A sky130_fd_sc_hd__nand2_2
+XFILLER_436_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81228_ _81228_/CLK _81228_/D VGND VGND VPWR VPWR _69196_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_716_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_3058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42190_ _42188_/Y _41925_/X _42189_/Y _41953_/B VGND VGND VPWR VPWR _42190_/X sky130_fd_sc_hd__o22a_4
+XFILLER_570_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54176_ _54176_/A _54175_/X VGND VGND VPWR VPWR _54176_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_279_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51388_ _51358_/A _51391_/B VGND VGND VPWR VPWR _51388_/X sky130_fd_sc_hd__or2_2
+XFILLER_531_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_165_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_158_1506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_180_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_166_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41141_ _41141_/A _41141_/B VGND VGND VPWR VPWR _79697_/D sky130_fd_sc_hd__nand2_2
+XPHY_31504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53127_ _77359_/Q _53133_/B VGND VGND VPWR VPWR _53129_/A sky130_fd_sc_hd__nand2_2
+XFILLER_124_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50339_ _48394_/B _50211_/B VGND VGND VPWR VPWR _50340_/A sky130_fd_sc_hd__or2_2
+XFILLER_193_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81159_ _81133_/CLK _73540_/Y VGND VGND VPWR VPWR _68388_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_611_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_499_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58984_ _59657_/A VGND VGND VPWR VPWR _59533_/A sky130_fd_sc_hd__buf_1
+XFILLER_101_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_234_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_371_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_453_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53058_ _53058_/A _53061_/B VGND VGND VPWR VPWR _53060_/A sky130_fd_sc_hd__nand2_2
+XFILLER_69_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41072_ _41059_/A _41054_/B _67287_/A VGND VGND VPWR VPWR _41072_/Y sky130_fd_sc_hd__nand3_2
+X_57935_ _67611_/A _57935_/B VGND VGND VPWR VPWR _57936_/C sky130_fd_sc_hd__nor2_2
+XPHY_31559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73981_ _73981_/A _73981_/B VGND VGND VPWR VPWR _73981_/Y sky130_fd_sc_hd__nand2_2
+XPHY_30825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_45_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_685_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52009_ _51711_/A VGND VGND VPWR VPWR _52044_/A sky130_fd_sc_hd__buf_1
+X_40023_ _40142_/A _40034_/B VGND VGND VPWR VPWR _40025_/A sky130_fd_sc_hd__or2_2
+X_44900_ _44660_/A _44437_/B VGND VGND VPWR VPWR _44903_/B sky130_fd_sc_hd__nor2_2
+XFILLER_118_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75720_ _75706_/A VGND VGND VPWR VPWR _75794_/A sky130_fd_sc_hd__buf_1
+XPHY_21069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72932_ _72932_/A VGND VGND VPWR VPWR _73951_/A sky130_fd_sc_hd__buf_1
+XPHY_8732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45880_ _70618_/Y _45694_/A VGND VGND VPWR VPWR _45881_/B sky130_fd_sc_hd__nor2_2
+XFILLER_700_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57866_ _79970_/Q _57708_/B VGND VGND VPWR VPWR _57868_/B sky130_fd_sc_hd__nor2_2
+XPHY_30869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_267_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_59_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59605_ _53336_/A _59446_/B VGND VGND VPWR VPWR _59605_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_82_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44831_ _44831_/A _44830_/X VGND VGND VPWR VPWR _44831_/X sky130_fd_sc_hd__and2_2
+XPHY_8776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56817_ _56404_/X VGND VGND VPWR VPWR _56818_/B sky130_fd_sc_hd__buf_1
+X_75651_ _75651_/A _75651_/B _80638_/Q VGND VGND VPWR VPWR _75651_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_384_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72863_ _73655_/A _72857_/B VGND VGND VPWR VPWR _72863_/X sky130_fd_sc_hd__or2_2
+XPHY_8787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57797_ _57879_/A _57797_/B _57796_/Y VGND VGND VPWR VPWR _57797_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_486_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_2906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74602_ _74600_/X _74602_/B VGND VGND VPWR VPWR _74602_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_486_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59536_ _59536_/A VGND VGND VPWR VPWR _59543_/A sky130_fd_sc_hd__buf_1
+X_71814_ _70860_/B _71814_/B VGND VGND VPWR VPWR _71814_/Y sky130_fd_sc_hd__nor2_2
+X_47550_ _47550_/A _47550_/B VGND VGND VPWR VPWR _47550_/Y sky130_fd_sc_hd__nand2_2
+X_78370_ _78474_/CLK _49296_/Y VGND VGND VPWR VPWR _78370_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_43_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56748_ _79988_/Q _57072_/B VGND VGND VPWR VPWR _56749_/C sky130_fd_sc_hd__nor2_2
+XFILLER_268_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44762_ _44760_/X _44761_/X VGND VGND VPWR VPWR _44762_/X sky130_fd_sc_hd__and2_2
+X_75582_ _75581_/X _75577_/B _80655_/Q VGND VGND VPWR VPWR _75582_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_448_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_281_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41974_ wbs_dat_i[23] VGND VGND VPWR VPWR _41974_/Y sky130_fd_sc_hd__inv_8
+XFILLER_546_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72794_ _72801_/A _72801_/B _66119_/A VGND VGND VPWR VPWR _72795_/B sky130_fd_sc_hd__nand3_2
+XFILLER_1_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_707_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46501_ _46617_/A VGND VGND VPWR VPWR _46624_/B sky130_fd_sc_hd__inv_8
+X_77321_ _77252_/CLK _53294_/Y VGND VGND VPWR VPWR _77321_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_698_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43713_ _43708_/Y _43954_/A VGND VGND VPWR VPWR _43714_/B sky130_fd_sc_hd__or2_4
+XFILLER_409_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74533_ _74533_/A _74533_/B VGND VGND VPWR VPWR _74533_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_366_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40925_ _40925_/A _40924_/Y VGND VGND VPWR VPWR _40925_/Y sky130_fd_sc_hd__nand2_2
+XPHY_29344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47481_ _47449_/A _47481_/B VGND VGND VPWR VPWR _47481_/X sky130_fd_sc_hd__or2_2
+XFILLER_652_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59467_ _63893_/A _59467_/B _59467_/C VGND VGND VPWR VPWR _59468_/C sky130_fd_sc_hd__nor3_2
+X_71745_ _71640_/A _71640_/B _57278_/A VGND VGND VPWR VPWR _71745_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_644_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_327_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44693_ _44690_/Y VGND VGND VPWR VPWR _44699_/B sky130_fd_sc_hd__inv_8
+XFILLER_75_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56679_ _56679_/A _56679_/B VGND VGND VPWR VPWR _56681_/B sky130_fd_sc_hd__nor2_2
+XFILLER_207_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_235_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49220_ _49133_/A _49208_/B VGND VGND VPWR VPWR _49220_/X sky130_fd_sc_hd__or2_2
+XPHY_29377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46432_ _45250_/A _46432_/B VGND VGND VPWR VPWR _46432_/X sky130_fd_sc_hd__or2_2
+XFILLER_602_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58418_ _58418_/A _58708_/B VGND VGND VPWR VPWR _58419_/C sky130_fd_sc_hd__nor2_2
+XFILLER_507_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77252_ _77252_/CLK _77252_/D VGND VGND VPWR VPWR _53559_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_249_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43644_ _42766_/B _43640_/X VGND VGND VPWR VPWR _43645_/C sky130_fd_sc_hd__nor2_2
+XPHY_18120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74464_ _74600_/A _74452_/B VGND VGND VPWR VPWR _74467_/A sky130_fd_sc_hd__or2_2
+XFILLER_423_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40856_ _40873_/A VGND VGND VPWR VPWR _40867_/A sky130_fd_sc_hd__buf_1
+XFILLER_520_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59398_ _59398_/A _59720_/B VGND VGND VPWR VPWR _59398_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_95_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71676_ _70537_/X _71482_/B VGND VGND VPWR VPWR _71681_/B sky130_fd_sc_hd__nor2_2
+XFILLER_382_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_700_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76203_ _76199_/A _76194_/B _65056_/A VGND VGND VPWR VPWR _76204_/B sky130_fd_sc_hd__nand3_2
+XFILLER_377_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_343_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_182_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73415_ _74974_/A _73404_/B VGND VGND VPWR VPWR _73416_/B sky130_fd_sc_hd__or2_2
+XFILLER_76_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49151_ _49148_/Y _49151_/B VGND VGND VPWR VPWR _78410_/D sky130_fd_sc_hd__nand2_2
+XPHY_28687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46363_ _45317_/A _46363_/B VGND VGND VPWR VPWR _46365_/B sky130_fd_sc_hd__nor2_2
+X_70627_ _70019_/B _70626_/X VGND VGND VPWR VPWR _70627_/Y sky130_fd_sc_hd__nand2_2
+X_58349_ _39770_/C _58510_/B VGND VGND VPWR VPWR _58352_/B sky130_fd_sc_hd__nor2_2
+XFILLER_305_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77183_ _77183_/CLK _53820_/Y VGND VGND VPWR VPWR _77183_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_27953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43575_ _42559_/B _43575_/B VGND VGND VPWR VPWR _43576_/C sky130_fd_sc_hd__nor2_2
+XFILLER_403_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74395_ _74554_/A _74284_/B _80943_/Q VGND VGND VPWR VPWR _74396_/B sky130_fd_sc_hd__nand3_2
+XFILLER_389_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40787_ _40797_/A _40797_/B _68643_/A VGND VGND VPWR VPWR _40788_/B sky130_fd_sc_hd__nand3_2
+XFILLER_206_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48102_ _48102_/A VGND VGND VPWR VPWR _48199_/B sky130_fd_sc_hd__buf_1
+XPHY_17452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_579_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45314_ _45133_/X _45314_/B _45313_/Y VGND VGND VPWR VPWR _45314_/Y sky130_fd_sc_hd__nor3_2
+XPHY_27986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76134_ _76132_/X _76133_/Y VGND VGND VPWR VPWR _80514_/D sky130_fd_sc_hd__nand2_2
+XFILLER_593_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42526_ _45556_/A VGND VGND VPWR VPWR _68846_/A sky130_fd_sc_hd__inv_8
+XFILLER_638_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61360_ _61360_/A _61203_/B VGND VGND VPWR VPWR _61360_/Y sky130_fd_sc_hd__nor2_2
+X_49082_ _49082_/A _49082_/B VGND VGND VPWR VPWR _49082_/X sky130_fd_sc_hd__or2_2
+XFILLER_520_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73346_ _73344_/Y _73345_/X VGND VGND VPWR VPWR _81210_/D sky130_fd_sc_hd__nand2_2
+XFILLER_188_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_223_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46294_ _43221_/A _46291_/B VGND VGND VPWR VPWR _46295_/C sky130_fd_sc_hd__nor2_2
+XFILLER_726_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_349_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_89_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70558_ _76655_/Q _70558_/B VGND VGND VPWR VPWR _70560_/A sky130_fd_sc_hd__nand2_2
+XFILLER_677_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_657_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48033_ _78695_/Q _48039_/B VGND VGND VPWR VPWR _48033_/Y sky130_fd_sc_hd__nand2_2
+X_60311_ _60311_/A _60309_/Y _60310_/Y VGND VGND VPWR VPWR _60311_/Y sky130_fd_sc_hd__nor3_2
+XPHY_2382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_230_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45245_ _45325_/A _45239_/Y _45244_/Y VGND VGND VPWR VPWR _45246_/B sky130_fd_sc_hd__or3_2
+XFILLER_670_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76065_ _76065_/A _76064_/Y VGND VGND VPWR VPWR _80527_/D sky130_fd_sc_hd__nand2_2
+XPHY_2393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61291_ _77698_/Q _60663_/B VGND VGND VPWR VPWR _61293_/B sky130_fd_sc_hd__nor2_2
+XFILLER_497_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42457_ _42457_/A VGND VGND VPWR VPWR _42586_/A sky130_fd_sc_hd__buf_1
+X_73277_ _73275_/Y _73276_/X VGND VGND VPWR VPWR _81228_/D sky130_fd_sc_hd__nand2_2
+XFILLER_364_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70489_ _70486_/X _70489_/B VGND VGND VPWR VPWR _70489_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_129_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_616_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63030_ _60687_/A VGND VGND VPWR VPWR _63343_/B sky130_fd_sc_hd__buf_1
+X_75016_ _75020_/A _75006_/B _66394_/A VGND VGND VPWR VPWR _75018_/A sky130_fd_sc_hd__nand3_2
+XFILLER_200_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41408_ _41019_/A _41408_/B VGND VGND VPWR VPWR _41408_/X sky130_fd_sc_hd__or2_2
+XFILLER_50_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72228_ _72228_/A _72205_/X VGND VGND VPWR VPWR _72228_/X sky130_fd_sc_hd__or2_2
+X_60242_ _77347_/Q _59590_/X VGND VGND VPWR VPWR _60243_/C sky130_fd_sc_hd__nor2_2
+XFILLER_32_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_454_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_471_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45176_ _45176_/A _45175_/Y VGND VGND VPWR VPWR _45177_/B sky130_fd_sc_hd__nor2_2
+XFILLER_670_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42388_ _41883_/A _42395_/A VGND VGND VPWR VPWR _42390_/A sky130_fd_sc_hd__nand2_2
+XFILLER_458_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_506_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_533_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_217_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_89_0_u_core.clock clkbuf_7_89_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_89_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_377_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44127_ _44127_/A _44126_/X VGND VGND VPWR VPWR _44127_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_271_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79824_ _79824_/CLK _79824_/D VGND VGND VPWR VPWR _40633_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_534_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41339_ _39363_/A VGND VGND VPWR VPWR _41619_/A sky130_fd_sc_hd__buf_1
+XPHY_33484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60173_ _60173_/A _60332_/B VGND VGND VPWR VPWR _60173_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_338_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72159_ _72189_/A VGND VGND VPWR VPWR _72319_/B sky130_fd_sc_hd__buf_1
+XFILLER_338_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_695_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49984_ _50012_/A _49996_/B VGND VGND VPWR VPWR _49984_/X sky130_fd_sc_hd__or2_2
+XFILLER_651_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_373_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_48_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_403_0_u_core.clock clkbuf_9_403_0_u_core.clock/A VGND VGND VPWR VPWR _81470_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_48_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_414_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_410_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_679_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_252_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48935_ _78465_/Q _48921_/B VGND VGND VPWR VPWR _48935_/Y sky130_fd_sc_hd__nand2_2
+X_44058_ _44093_/A _44057_/Y VGND VGND VPWR VPWR _44085_/A sky130_fd_sc_hd__nand2_4
+XFILLER_83_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_298_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79755_ _79788_/CLK _79755_/D VGND VGND VPWR VPWR _58640_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_711_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76967_ _76939_/CLK _76967_/D VGND VGND VPWR VPWR _76967_/Q sky130_fd_sc_hd__dfxtp_4
+X_64981_ _65828_/A VGND VGND VPWR VPWR _65673_/B sky130_fd_sc_hd__buf_1
+XFILLER_100_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_230_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_193_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_360_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43009_ _78870_/Q _41921_/X _41942_/Y _43008_/Y VGND VGND VPWR VPWR _43009_/X sky130_fd_sc_hd__o22a_4
+XFILLER_170_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66720_ _66720_/A _66894_/B VGND VGND VPWR VPWR _66723_/B sky130_fd_sc_hd__nor2_2
+X_78706_ _78209_/CLK _78706_/D VGND VGND VPWR VPWR _78706_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_354_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63932_ _59533_/A _63932_/B VGND VGND VPWR VPWR _63932_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_83_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75918_ _75908_/A _75908_/B _75918_/C VGND VGND VPWR VPWR _75919_/B sky130_fd_sc_hd__nand3_2
+XFILLER_65_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48866_ _63807_/A _48873_/B VGND VGND VPWR VPWR _48868_/A sky130_fd_sc_hd__nand2_2
+XPHY_21570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79686_ _79686_/CLK _41189_/Y VGND VGND VPWR VPWR _79686_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_34_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76898_ _76893_/CLK _76898_/D VGND VGND VPWR VPWR _60081_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_486_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_269_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_681_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_6_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47817_ _47817_/A VGND VGND VPWR VPWR _47946_/A sky130_fd_sc_hd__buf_1
+XFILLER_26_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66651_ _65828_/A VGND VGND VPWR VPWR _66652_/B sky130_fd_sc_hd__buf_1
+XPHY_11080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78637_ _78138_/CLK _78637_/D VGND VGND VPWR VPWR _63015_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_705_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63863_ _58978_/A _63861_/Y _63862_/Y VGND VGND VPWR VPWR _63864_/C sky130_fd_sc_hd__nor3_2
+X_75849_ _75607_/A _75842_/X VGND VGND VPWR VPWR _75854_/A sky130_fd_sc_hd__or2_2
+XFILLER_152_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_11091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48797_ _48915_/A _48767_/A VGND VGND VPWR VPWR _48798_/B sky130_fd_sc_hd__or2_2
+XFILLER_187_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_66_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_642_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_114_0_u_core.clock clkbuf_6_57_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_114_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_465_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65602_ _68196_/A VGND VGND VPWR VPWR _65603_/B sky130_fd_sc_hd__buf_1
+XFILLER_367_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38550_ _38550_/A _38541_/B _66729_/A VGND VGND VPWR VPWR _38551_/B sky130_fd_sc_hd__nand3_2
+XFILLER_285_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62814_ _62974_/A _62812_/Y _62813_/Y VGND VGND VPWR VPWR _62814_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_289_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69370_ _81325_/Q _64328_/B VGND VGND VPWR VPWR _69371_/C sky130_fd_sc_hd__nor2_2
+XFILLER_408_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_616_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_174_0_u_core.clock clkbuf_7_87_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_349_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_380_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_640_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47748_ _47748_/A _47748_/B VGND VGND VPWR VPWR _47748_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_84_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66582_ _66582_/A _66262_/X VGND VGND VPWR VPWR _66582_/Y sky130_fd_sc_hd__nor2_2
+XPHY_10390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78568_ _78867_/CLK _48537_/Y VGND VGND VPWR VPWR _63460_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_650_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63794_ _59349_/A _63792_/Y _63794_/C VGND VGND VPWR VPWR _63798_/B sky130_fd_sc_hd__nor3_2
+XFILLER_627_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68321_ _66361_/A VGND VGND VPWR VPWR _68321_/X sky130_fd_sc_hd__buf_1
+XFILLER_328_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65533_ _65533_/A _66187_/B VGND VGND VPWR VPWR _65533_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_380_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77519_ _77528_/CLK _77519_/D VGND VGND VPWR VPWR _60771_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_580_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38481_ _76083_/A VGND VGND VPWR VPWR _38484_/A sky130_fd_sc_hd__buf_1
+XFILLER_560_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_267_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62745_ _59597_/A VGND VGND VPWR VPWR _62745_/X sky130_fd_sc_hd__buf_1
+XFILLER_529_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47679_ _47773_/A _47674_/X VGND VGND VPWR VPWR _47680_/B sky130_fd_sc_hd__or2_2
+XFILLER_601_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78499_ _78477_/CLK _78499_/D VGND VGND VPWR VPWR _63839_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_0_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_94_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_443_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_326_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_415_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49418_ _62119_/A _49424_/B VGND VGND VPWR VPWR _49420_/A sky130_fd_sc_hd__nand2_2
+X_80530_ _80659_/CLK _76056_/Y VGND VGND VPWR VPWR _64880_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_597_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68252_ _68249_/X _68252_/B _68251_/Y VGND VGND VPWR VPWR _68253_/C sky130_fd_sc_hd__nor3_2
+XFILLER_323_2900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_605_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_525_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65464_ _65787_/A _65463_/Y VGND VGND VPWR VPWR _65464_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_59_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62676_ _62676_/A _62988_/B VGND VGND VPWR VPWR _62676_/Y sky130_fd_sc_hd__nor2_2
+X_50690_ _50661_/A _50677_/X VGND VGND VPWR VPWR _50691_/B sky130_fd_sc_hd__or2_2
+XFILLER_697_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_8_69_0_u_core.clock clkbuf_8_69_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_69_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_67203_ _66381_/A VGND VGND VPWR VPWR _67203_/X sky130_fd_sc_hd__buf_1
+XFILLER_603_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64415_ _64415_/A _64415_/B VGND VGND VPWR VPWR _64416_/B sky130_fd_sc_hd__nor2_2
+XFILLER_605_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61627_ _60055_/A VGND VGND VPWR VPWR _61669_/A sky130_fd_sc_hd__buf_1
+XFILLER_124_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49349_ _62274_/A _49349_/B VGND VGND VPWR VPWR _49350_/B sky130_fd_sc_hd__nand2_2
+X_68183_ _80646_/Q _67524_/B VGND VGND VPWR VPWR _68185_/B sky130_fd_sc_hd__nor2_2
+XFILLER_621_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80461_ _80367_/CLK _80461_/D VGND VGND VPWR VPWR _76351_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_241_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65395_ _74790_/C _65887_/B VGND VGND VPWR VPWR _65395_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_146_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_601_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39102_ _38742_/A _39113_/B VGND VGND VPWR VPWR _39102_/X sky130_fd_sc_hd__or2_2
+XFILLER_378_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67134_ _67788_/A _67131_/Y _67133_/Y VGND VGND VPWR VPWR _67134_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_410_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52360_ _60956_/A _52357_/B VGND VGND VPWR VPWR _52362_/A sky130_fd_sc_hd__nand2_2
+XFILLER_519_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64346_ _69489_/A VGND VGND VPWR VPWR _65197_/A sky130_fd_sc_hd__buf_1
+XFILLER_556_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61558_ _61099_/A _61558_/B _61557_/Y VGND VGND VPWR VPWR _61558_/Y sky130_fd_sc_hd__nor3_2
+X_80392_ _80384_/CLK _38375_/Y VGND VGND VPWR VPWR _80392_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_403_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_593_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_421_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51311_ _51336_/B VGND VGND VPWR VPWR _51311_/X sky130_fd_sc_hd__buf_1
+XFILLER_695_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39033_ _38531_/A _39047_/B VGND VGND VPWR VPWR _39036_/A sky130_fd_sc_hd__or2_2
+XFILLER_458_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60509_ _62071_/A VGND VGND VPWR VPWR _60516_/A sky130_fd_sc_hd__buf_1
+X_67065_ _66731_/A _67062_/Y _67065_/C VGND VGND VPWR VPWR _67065_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_194_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_675_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_717_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52291_ _52167_/A _52303_/B VGND VGND VPWR VPWR _52292_/B sky130_fd_sc_hd__or2_2
+XFILLER_15_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64277_ _69385_/A _64273_/Y _64276_/Y VGND VGND VPWR VPWR _64277_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_691_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_571_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61489_ _60865_/A _61481_/Y _61489_/C VGND VGND VPWR VPWR _61506_/A sky130_fd_sc_hd__nor3_2
+XFILLER_509_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_102_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_200_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54030_ _53946_/A _54030_/B VGND VGND VPWR VPWR _54031_/B sky130_fd_sc_hd__or2_2
+XFILLER_378_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66016_ _39699_/C _65849_/B VGND VGND VPWR VPWR _66016_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_274_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51242_ _51242_/A _51241_/Y VGND VGND VPWR VPWR _77859_/D sky130_fd_sc_hd__nand2_2
+XFILLER_159_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63228_ _63228_/A _63228_/B _63227_/Y VGND VGND VPWR VPWR _63229_/C sky130_fd_sc_hd__nor3_2
+XFILLER_612_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_140_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_370_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_337_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_525_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_270_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_20_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81013_ _81013_/CLK _81013_/D VGND VGND VPWR VPWR _74124_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_106_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_200_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_540_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51173_ _51145_/A _51194_/B VGND VGND VPWR VPWR _51174_/B sky130_fd_sc_hd__or2_2
+XFILLER_85_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63159_ _63159_/A VGND VGND VPWR VPWR _63326_/A sky130_fd_sc_hd__buf_1
+XPHY_12709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_713_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_435_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_524_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_700_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50124_ _50152_/A _50091_/A VGND VGND VPWR VPWR _50124_/X sky130_fd_sc_hd__or2_2
+XFILLER_333_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_551_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_270_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39935_ _39935_/A _39935_/B VGND VGND VPWR VPWR _80003_/D sky130_fd_sc_hd__nand2_2
+XFILLER_511_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55981_ _56174_/A _55978_/X io_out[23] _55980_/X VGND VGND VPWR VPWR wbs_dat_o[0]
++ sky130_fd_sc_hd__o22a_4
+XFILLER_150_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67967_ _67631_/A _67963_/Y _67967_/C VGND VGND VPWR VPWR _67976_/B sky130_fd_sc_hd__nor3_2
+XFILLER_415_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_350_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_435_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57720_ _67325_/A _57794_/B VGND VGND VPWR VPWR _57720_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69706_ _42091_/A _69711_/B _69704_/Y _69705_/X VGND VGND VPWR VPWR _64470_/A sky130_fd_sc_hd__o22a_4
+XFILLER_413_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50055_ _78174_/Q _50055_/B VGND VGND VPWR VPWR _50055_/Y sky130_fd_sc_hd__nand2_2
+X_54932_ _59256_/A _54929_/B VGND VGND VPWR VPWR _54932_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_333_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66918_ _73935_/C _66423_/X VGND VGND VPWR VPWR _66920_/B sky130_fd_sc_hd__nor2_2
+XFILLER_289_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39866_ _39230_/A VGND VGND VPWR VPWR _39991_/A sky130_fd_sc_hd__buf_1
+XPHY_8039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_131_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67898_ _81124_/Q _67240_/X VGND VGND VPWR VPWR _67901_/B sky130_fd_sc_hd__nor2_2
+XFILLER_88_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_448_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_49_0_u_core.clock clkbuf_9_49_0_u_core.clock/A VGND VGND VPWR VPWR _78044_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_216_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_213_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_432_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_303_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38817_ _38815_/X _38817_/B VGND VGND VPWR VPWR _38817_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_483_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57651_ _39314_/C _57651_/B VGND VGND VPWR VPWR _57653_/B sky130_fd_sc_hd__nor2_2
+XFILLER_211_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69637_ _69617_/A _69636_/Y VGND VGND VPWR VPWR _69637_/X sky130_fd_sc_hd__or2_2
+XPHY_7338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_170_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54863_ _54860_/Y _54862_/X VGND VGND VPWR VPWR _76906_/D sky130_fd_sc_hd__nand2_2
+X_66849_ _66849_/A _66849_/B _66849_/C VGND VGND VPWR VPWR _66854_/B sky130_fd_sc_hd__nor3_2
+XFILLER_487_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39797_ _39792_/X _39806_/B _80034_/Q VGND VGND VPWR VPWR _39798_/B sky130_fd_sc_hd__nand3_2
+XPHY_7349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_723_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56602_ _80210_/Q _56768_/B VGND VGND VPWR VPWR _56604_/B sky130_fd_sc_hd__nor2_2
+XFILLER_291_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53814_ _53814_/A _53813_/X VGND VGND VPWR VPWR _77185_/D sky130_fd_sc_hd__nand2_2
+XPHY_39119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38748_ _38731_/X _38760_/B _80301_/Q VGND VGND VPWR VPWR _38749_/B sky130_fd_sc_hd__nand3_2
+XFILLER_448_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57582_ _57582_/A _57564_/Y _57582_/C VGND VGND VPWR VPWR _57582_/X sky130_fd_sc_hd__or3_2
+XFILLER_680_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69568_ _69655_/A _76725_/Q _69675_/B VGND VGND VPWR VPWR _69729_/A sky130_fd_sc_hd__or3_2
+XFILLER_211_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54794_ _54794_/A _54806_/B VGND VGND VPWR VPWR _54794_/X sky130_fd_sc_hd__or2_2
+XFILLER_275_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_113_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_25_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59321_ _77326_/Q _59486_/B VGND VGND VPWR VPWR _59321_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_426_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_272_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56533_ _80305_/Q _56606_/B VGND VGND VPWR VPWR _56535_/B sky130_fd_sc_hd__nor2_2
+XFILLER_367_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_546_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68519_ _64247_/A VGND VGND VPWR VPWR _69138_/A sky130_fd_sc_hd__buf_1
+XFILLER_281_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53745_ _53745_/A VGND VGND VPWR VPWR _53758_/B sky130_fd_sc_hd__buf_1
+XFILLER_272_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38679_ _38689_/A _38670_/B _80320_/Q VGND VGND VPWR VPWR _38679_/Y sky130_fd_sc_hd__nand3_2
+X_50957_ _50955_/Y _50956_/X VGND VGND VPWR VPWR _50957_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_246_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69499_ _58841_/A _64465_/X VGND VGND VPWR VPWR _69499_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_363_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_524_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_38_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_626_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40710_ _40590_/A _40709_/X VGND VGND VPWR VPWR _40710_/X sky130_fd_sc_hd__or2_2
+XFILLER_361_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59252_ _59252_/A VGND VGND VPWR VPWR _59764_/B sky130_fd_sc_hd__buf_1
+X_71530_ _71471_/A _71530_/B _71529_/Y VGND VGND VPWR VPWR _71530_/X sky130_fd_sc_hd__or3_2
+XFILLER_560_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_461_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80728_ _80863_/CLK _80728_/D VGND VGND VPWR VPWR _75268_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_604_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56464_ _58740_/A _56462_/Y _56464_/C VGND VGND VPWR VPWR _56469_/B sky130_fd_sc_hd__nor3_2
+XFILLER_405_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_504_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_147_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_622_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41690_ wbs_sel_i[1] _41690_/B VGND VGND VPWR VPWR _41690_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_721_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53676_ _53761_/A _53660_/A VGND VGND VPWR VPWR _53677_/B sky130_fd_sc_hd__or2_2
+XPHY_37739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50888_ _50888_/A _50888_/B VGND VGND VPWR VPWR _77955_/D sky130_fd_sc_hd__nand2_2
+XFILLER_461_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58203_ _58203_/A _58366_/B VGND VGND VPWR VPWR _58205_/B sky130_fd_sc_hd__nor2_2
+XFILLER_359_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_598_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55415_ _55323_/A _55410_/Y _55414_/Y VGND VGND VPWR VPWR _55416_/B sky130_fd_sc_hd__or3_2
+XFILLER_460_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40641_ _40638_/X VGND VGND VPWR VPWR _40755_/B sky130_fd_sc_hd__buf_1
+XPHY_301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52627_ _52776_/A VGND VGND VPWR VPWR _52744_/A sky130_fd_sc_hd__buf_1
+XFILLER_227_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59183_ _59183_/A VGND VGND VPWR VPWR _59912_/A sky130_fd_sc_hd__buf_1
+X_71461_ _71461_/A _71461_/B VGND VGND VPWR VPWR _71462_/B sky130_fd_sc_hd__nor2_2
+XFILLER_697_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56395_ _64283_/A _56395_/B VGND VGND VPWR VPWR _56396_/C sky130_fd_sc_hd__nor2_2
+XPHY_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80659_ _80659_/CLK _80659_/D VGND VGND VPWR VPWR _65033_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_26515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_71_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_24_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_2718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_142_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_101_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73200_ _73129_/X VGND VGND VPWR VPWR _73219_/B sky130_fd_sc_hd__buf_1
+XFILLER_696_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58134_ _58134_/A _58132_/Y _58134_/C VGND VGND VPWR VPWR _58134_/Y sky130_fd_sc_hd__nor3_2
+X_70412_ _70404_/A _70021_/C VGND VGND VPWR VPWR _70413_/B sky130_fd_sc_hd__nand2_2
+XFILLER_101_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_26548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43360_ _43360_/A _43360_/B _56383_/A VGND VGND VPWR VPWR _43360_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_502_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55346_ _55422_/A _55346_/B VGND VGND VPWR VPWR _55347_/B sky130_fd_sc_hd__or2_2
+XFILLER_658_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74180_ _74180_/A VGND VGND VPWR VPWR _74181_/A sky130_fd_sc_hd__buf_1
+XPHY_16025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52558_ _61227_/A _52555_/B VGND VGND VPWR VPWR _52561_/A sky130_fd_sc_hd__nand2_2
+X_40572_ _40433_/A _40572_/B VGND VGND VPWR VPWR _40572_/X sky130_fd_sc_hd__or2_2
+XPHY_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_586_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71392_ _70314_/A _71392_/B VGND VGND VPWR VPWR _71392_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_196_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_90_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_224_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_220_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_386_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42311_ _79492_/Q VGND VGND VPWR VPWR _42312_/A sky130_fd_sc_hd__inv_8
+XFILLER_103_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73131_ _74958_/A _73135_/B VGND VGND VPWR VPWR _73131_/X sky130_fd_sc_hd__or2_2
+XFILLER_279_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51509_ _61170_/A _51497_/X VGND VGND VPWR VPWR _51511_/A sky130_fd_sc_hd__nand2_2
+XFILLER_297_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70343_ _70342_/X VGND VGND VPWR VPWR _70903_/A sky130_fd_sc_hd__buf_1
+XFILLER_181_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_554_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58065_ _80292_/Q _58065_/B VGND VGND VPWR VPWR _58066_/C sky130_fd_sc_hd__nor2_2
+XFILLER_494_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43291_ _42930_/A _43277_/B VGND VGND VPWR VPWR _43293_/A sky130_fd_sc_hd__or2_2
+XFILLER_297_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55277_ _55325_/A _55276_/Y VGND VGND VPWR VPWR _55278_/B sky130_fd_sc_hd__nor2_2
+XPHY_15335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_40_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52489_ _60473_/A _52485_/B VGND VGND VPWR VPWR _52489_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_635_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_652_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_617_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_33_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45030_ _45029_/X VGND VGND VPWR VPWR _45376_/A sky130_fd_sc_hd__buf_1
+X_57016_ _80279_/Q _56945_/B VGND VGND VPWR VPWR _57017_/C sky130_fd_sc_hd__nor2_2
+XFILLER_613_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42242_ _42242_/A VGND VGND VPWR VPWR _42243_/A sky130_fd_sc_hd__inv_8
+XFILLER_123_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54228_ _54227_/X _54243_/B VGND VGND VPWR VPWR _54228_/X sky130_fd_sc_hd__or2_2
+XFILLER_279_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73062_ _42955_/A _73072_/B VGND VGND VPWR VPWR _73063_/B sky130_fd_sc_hd__or2_2
+XFILLER_68_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70274_ _70199_/A _70273_/X VGND VGND VPWR VPWR _70275_/B sky130_fd_sc_hd__nand2_2
+XFILLER_515_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_608_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_103_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72013_ _71039_/A _72013_/B VGND VGND VPWR VPWR _72013_/X sky130_fd_sc_hd__or2_2
+XFILLER_10_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_630_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54159_ _54103_/A _54143_/A VGND VGND VPWR VPWR _54160_/B sky130_fd_sc_hd__or2_2
+XFILLER_374_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_275_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42173_ _71041_/Y _42177_/A VGND VGND VPWR VPWR _42174_/B sky130_fd_sc_hd__nand2_2
+XPHY_14678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77870_ _78451_/CLK _51193_/Y VGND VGND VPWR VPWR _61885_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_67_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_314_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_630_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_531_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41124_ _41124_/A _41123_/Y VGND VGND VPWR VPWR _79701_/D sky130_fd_sc_hd__nand2_2
+XPHY_32079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76821_ _76791_/CLK _76821_/D VGND VGND VPWR VPWR _76821_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_194_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_648_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_218_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46981_ _46955_/A _46981_/B VGND VGND VPWR VPWR _46985_/A sky130_fd_sc_hd__or2_2
+XPHY_13988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58967_ _47490_/A VGND VGND VPWR VPWR _59119_/A sky130_fd_sc_hd__buf_1
+XFILLER_253_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_218_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_342_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_4_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48720_ _63415_/A _48706_/B VGND VGND VPWR VPWR _48722_/A sky130_fd_sc_hd__nand2_2
+XPHY_9252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_341_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79540_ _79540_/CLK _42010_/Y VGND VGND VPWR VPWR _45367_/A sky130_fd_sc_hd__dfxtp_4
+X_41055_ _41052_/X _41055_/B VGND VGND VPWR VPWR _41055_/Y sky130_fd_sc_hd__nand2_2
+X_45932_ _45946_/A _45932_/B _45932_/C VGND VGND VPWR VPWR _45932_/Y sky130_fd_sc_hd__nor3_2
+XPHY_30644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57918_ _57598_/A _57918_/B _57917_/Y VGND VGND VPWR VPWR _57919_/B sky130_fd_sc_hd__nor3_2
+XFILLER_629_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76752_ _78586_/CLK _76752_/D VGND VGND VPWR VPWR _45211_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73964_ _73962_/X _73964_/B VGND VGND VPWR VPWR _73964_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_496_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58898_ _47387_/A VGND VGND VPWR VPWR _59318_/A sky130_fd_sc_hd__buf_1
+XPHY_20132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40006_ _40004_/X _40005_/Y VGND VGND VPWR VPWR _79983_/D sky130_fd_sc_hd__nand2_2
+XFILLER_27_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75703_ _75696_/X _75700_/B _80623_/Q VGND VGND VPWR VPWR _75703_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_685_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_583_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72915_ _72901_/X _72907_/B _72915_/C VGND VGND VPWR VPWR _72916_/B sky130_fd_sc_hd__nand3_2
+X_48651_ _48651_/A _48651_/B VGND VGND VPWR VPWR _48651_/Y sky130_fd_sc_hd__nand2_2
+XPHY_8562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79471_ _79533_/CLK _42626_/Y VGND VGND VPWR VPWR _55164_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_510_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45863_ _79085_/Q _45850_/B VGND VGND VPWR VPWR _45863_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_117_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57849_ _56252_/X VGND VGND VPWR VPWR _58169_/A sky130_fd_sc_hd__buf_1
+XFILLER_23_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76683_ _76684_/CLK _76683_/D VGND VGND VPWR VPWR _42050_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_30699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_663_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_73895_ _73891_/X _73894_/Y VGND VGND VPWR VPWR _81065_/D sky130_fd_sc_hd__nand2_2
+XFILLER_529_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47602_ _47627_/B VGND VGND VPWR VPWR _47607_/B sky130_fd_sc_hd__buf_1
+XFILLER_121_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78422_ _77915_/CLK _78422_/D VGND VGND VPWR VPWR _49101_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_117_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44814_ _44628_/A VGND VGND VPWR VPWR _44814_/X sky130_fd_sc_hd__buf_1
+XFILLER_48_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75634_ _75632_/X _75633_/Y VGND VGND VPWR VPWR _80643_/D sky130_fd_sc_hd__nand2_2
+XFILLER_294_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60860_ _63952_/A VGND VGND VPWR VPWR _60860_/X sky130_fd_sc_hd__buf_1
+XFILLER_66_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48582_ _48580_/A VGND VGND VPWR VPWR _48583_/B sky130_fd_sc_hd__buf_1
+XFILLER_632_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72846_ _72846_/A VGND VGND VPWR VPWR _72941_/A sky130_fd_sc_hd__buf_1
+XFILLER_444_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_388_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45794_ _70262_/X VGND VGND VPWR VPWR _45796_/A sky130_fd_sc_hd__inv_8
+XPHY_39620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_483_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_282_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47533_ _48009_/A VGND VGND VPWR VPWR _47627_/A sky130_fd_sc_hd__buf_1
+XFILLER_726_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59519_ _59682_/A _59517_/Y _59518_/Y VGND VGND VPWR VPWR _59520_/C sky130_fd_sc_hd__nor3_2
+XFILLER_75_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78353_ _76844_/CLK _78353_/D VGND VGND VPWR VPWR _62430_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44745_ _44628_/A VGND VGND VPWR VPWR _44745_/X sky130_fd_sc_hd__buf_1
+XFILLER_264_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75565_ _75565_/A _75564_/Y VGND VGND VPWR VPWR _80659_/D sky130_fd_sc_hd__nand2_2
+XFILLER_452_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_63_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_47_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_267_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41957_ _41712_/Y _41957_/B VGND VGND VPWR VPWR _41957_/Y sky130_fd_sc_hd__nor2_2
+X_60791_ _60791_/A _60791_/B VGND VGND VPWR VPWR _60792_/C sky130_fd_sc_hd__nor2_2
+XPHY_39664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72777_ _72788_/A _72784_/B _66771_/A VGND VGND VPWR VPWR _72778_/B sky130_fd_sc_hd__nand3_2
+XFILLER_1_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_700_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77304_ _76882_/CLK _53369_/Y VGND VGND VPWR VPWR _77304_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_235_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62530_ _61749_/A VGND VGND VPWR VPWR _62533_/A sky130_fd_sc_hd__buf_1
+XFILLER_409_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74516_ _74514_/Y _74528_/B VGND VGND VPWR VPWR _74516_/X sky130_fd_sc_hd__or2_2
+XPHY_39697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40908_ _40400_/A _40900_/B VGND VGND VPWR VPWR _40910_/A sky130_fd_sc_hd__or2_2
+XFILLER_264_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71728_ _70600_/X _71722_/Y _71727_/Y VGND VGND VPWR VPWR _71728_/Y sky130_fd_sc_hd__nor3_2
+X_47464_ _63923_/A _47460_/B VGND VGND VPWR VPWR _47464_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_569_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78284_ _78284_/CLK _78284_/D VGND VGND VPWR VPWR _49645_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_62_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_520_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_346_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44676_ _44676_/A _66693_/A _44676_/C _44675_/Y VGND VGND VPWR VPWR _44676_/X sky130_fd_sc_hd__or4_2
+XFILLER_676_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75496_ _76140_/A VGND VGND VPWR VPWR _75497_/A sky130_fd_sc_hd__buf_1
+XFILLER_147_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41888_ _41887_/X _45427_/A _41883_/X _45361_/A VGND VGND VPWR VPWR _41888_/X sky130_fd_sc_hd__o22a_4
+XPHY_38974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_520_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49203_ _63905_/A _49199_/B VGND VGND VPWR VPWR _49205_/A sky130_fd_sc_hd__nand2_2
+XFILLER_143_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_3022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46415_ _46415_/A _46414_/X VGND VGND VPWR VPWR _46426_/A sky130_fd_sc_hd__nand2_2
+XPHY_38996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_679_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77235_ _77235_/CLK _77235_/D VGND VGND VPWR VPWR _53621_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_444_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43627_ _43616_/A _43627_/B _43626_/Y VGND VGND VPWR VPWR _43627_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_559_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62461_ _77946_/Q _62147_/X VGND VGND VPWR VPWR _62463_/B sky130_fd_sc_hd__nor2_2
+XFILLER_584_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74447_ _74045_/A _74452_/B VGND VGND VPWR VPWR _74447_/X sky130_fd_sc_hd__or2_2
+XFILLER_496_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_16_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40839_ _40801_/A VGND VGND VPWR VPWR _40843_/B sky130_fd_sc_hd__buf_1
+XPHY_28484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47395_ _63916_/A _47390_/X VGND VGND VPWR VPWR _47397_/A sky130_fd_sc_hd__nand2_2
+X_71659_ _70544_/A _71659_/B VGND VGND VPWR VPWR _71662_/B sky130_fd_sc_hd__nor2_2
+XFILLER_204_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_559_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_37_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_163_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_203_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64200_ _64200_/A _64150_/X VGND VGND VPWR VPWR _64200_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_73_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49134_ _49134_/A _49133_/X VGND VGND VPWR VPWR _49134_/Y sky130_fd_sc_hd__nand2_2
+X_61412_ _61095_/A _61409_/Y _61411_/Y VGND VGND VPWR VPWR _61412_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_635_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_362_0_u_core.clock clkbuf_9_363_0_u_core.clock/A VGND VGND VPWR VPWR _77716_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_649_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_368_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46346_ _46327_/Y _46346_/B VGND VGND VPWR VPWR _46347_/B sky130_fd_sc_hd__nor2_2
+X_65180_ _39598_/C _64671_/X VGND VGND VPWR VPWR _65180_/Y sky130_fd_sc_hd__nor2_2
+X_77166_ _77112_/CLK _77166_/D VGND VGND VPWR VPWR _53877_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_305_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_128_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43558_ _43572_/A _43556_/Y _43557_/Y VGND VGND VPWR VPWR _43558_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_160_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74378_ _74127_/A _74381_/B VGND VGND VPWR VPWR _74378_/X sky130_fd_sc_hd__or2_2
+X_62392_ _78129_/Q _62241_/B VGND VGND VPWR VPWR _62393_/C sky130_fd_sc_hd__nor2_2
+XFILLER_717_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_715_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76117_ _76261_/A _76132_/B VGND VGND VPWR VPWR _76117_/X sky130_fd_sc_hd__or2_2
+X_64131_ _65225_/A VGND VGND VPWR VPWR _65877_/A sky130_fd_sc_hd__buf_1
+XFILLER_593_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42509_ _42465_/A VGND VGND VPWR VPWR _42546_/A sky130_fd_sc_hd__buf_1
+XFILLER_670_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73329_ _75007_/A _73317_/X VGND VGND VPWR VPWR _73329_/X sky130_fd_sc_hd__or2_2
+X_49065_ _78430_/Q _49065_/B VGND VGND VPWR VPWR _49065_/Y sky130_fd_sc_hd__nand2_2
+X_61343_ _61032_/A _61341_/Y _61343_/C VGND VGND VPWR VPWR _61344_/C sky130_fd_sc_hd__nor3_2
+XFILLER_297_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_716_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46277_ _46277_/A _41981_/A VGND VGND VPWR VPWR _46278_/B sky130_fd_sc_hd__nor2_2
+XFILLER_129_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77097_ _77596_/CLK _54148_/Y VGND VGND VPWR VPWR _77097_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_670_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43489_ _43489_/A _43482_/B VGND VGND VPWR VPWR _55312_/A sky130_fd_sc_hd__or2_2
+XPHY_16570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_309_0_u_core.clock clkbuf_9_309_0_u_core.clock/A VGND VGND VPWR VPWR _81156_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_187_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_121_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_198_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_726_187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48016_ _78700_/Q _48015_/X VGND VGND VPWR VPWR _48016_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_15_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45228_ _45079_/A _45227_/Y VGND VGND VPWR VPWR _45229_/B sky130_fd_sc_hd__or2_2
+X_64062_ _64062_/A _64061_/Y VGND VGND VPWR VPWR _64062_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_102_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76048_ _76038_/A _76045_/B _65237_/A VGND VGND VPWR VPWR _76048_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_581_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61274_ _52409_/A _61428_/B VGND VGND VPWR VPWR _61274_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_356_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_458_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_375_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_318_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63013_ _62859_/A _63013_/B _63013_/C VGND VGND VPWR VPWR _63014_/C sky130_fd_sc_hd__nor3_2
+XFILLER_345_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_419_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60225_ _77243_/Q _59741_/B VGND VGND VPWR VPWR _60227_/B sky130_fd_sc_hd__nor2_2
+XFILLER_338_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_102_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_490_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_117_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45159_ _42799_/A VGND VGND VPWR VPWR _45174_/A sky130_fd_sc_hd__buf_1
+X_68870_ _68870_/A _68369_/B VGND VGND VPWR VPWR _68870_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_353_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_317_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_478_0_u_core.clock clkbuf_9_479_0_u_core.clock/A VGND VGND VPWR VPWR _80641_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_490_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_338_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_667_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_533_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67821_ _67821_/A _67653_/X VGND VGND VPWR VPWR _67822_/C sky130_fd_sc_hd__nor2_2
+X_79807_ _79809_/CLK _79807_/D VGND VGND VPWR VPWR _67165_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_137_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60156_ _59510_/A _60154_/Y _60156_/C VGND VGND VPWR VPWR _60157_/C sky130_fd_sc_hd__nor3_2
+XFILLER_478_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49967_ _49997_/A _49967_/B VGND VGND VPWR VPWR _49967_/X sky130_fd_sc_hd__or2_2
+XPHY_32580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77999_ _77998_/CLK _50722_/Y VGND VGND VPWR VPWR _77999_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_32591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_256_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_131_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39720_ _39667_/A VGND VGND VPWR VPWR _39727_/A sky130_fd_sc_hd__buf_1
+XFILLER_213_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_668_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48918_ _47388_/B _48830_/B VGND VGND VPWR VPWR _54666_/A sky130_fd_sc_hd__or2_2
+X_67752_ _67752_/A _67752_/B _67752_/C VGND VGND VPWR VPWR _67753_/C sky130_fd_sc_hd__nor3_2
+XPHY_22090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_252_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79738_ _79737_/CLK _79738_/D VGND VGND VPWR VPWR _40961_/C sky130_fd_sc_hd__dfxtp_4
+X_64964_ _65313_/A _64962_/Y _64963_/Y VGND VGND VPWR VPWR _64968_/B sky130_fd_sc_hd__nor3_2
+X_60087_ _60087_/A _59766_/B VGND VGND VPWR VPWR _60088_/C sky130_fd_sc_hd__nor2_2
+XFILLER_119_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_351_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49898_ _49842_/A _49907_/B VGND VGND VPWR VPWR _49899_/B sky130_fd_sc_hd__or2_2
+XPHY_31890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_315_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_135_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_230_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_449_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66703_ _80669_/Q _67037_/B VGND VGND VPWR VPWR _66704_/C sky130_fd_sc_hd__nor2_2
+XFILLER_171_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39651_ _39651_/A _39650_/Y VGND VGND VPWR VPWR _39651_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_369_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63915_ _59410_/A _63907_/Y _63915_/C VGND VGND VPWR VPWR _63915_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_629_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_525_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_351_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48849_ _48849_/A _48848_/X VGND VGND VPWR VPWR _48849_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_512_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67683_ _67683_/A _67517_/Y _67683_/C _67683_/D VGND VGND VPWR VPWR _76704_/D sky130_fd_sc_hd__or4_2
+X_79669_ _79653_/CLK _41252_/Y VGND VGND VPWR VPWR _56899_/A sky130_fd_sc_hd__dfxtp_4
+X_64895_ _65589_/A _64891_/Y _64894_/Y VGND VGND VPWR VPWR _64896_/C sky130_fd_sc_hd__nor3_2
+XFILLER_98_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_367_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_484_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_389_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38602_ _38600_/X _38602_/B VGND VGND VPWR VPWR _38602_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_132_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69422_ _44038_/A _65207_/A VGND VGND VPWR VPWR _69422_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_85_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66634_ _66634_/A _66634_/B _66633_/Y VGND VGND VPWR VPWR _66634_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_269_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51860_ _51711_/A VGND VGND VPWR VPWR _51895_/A sky130_fd_sc_hd__buf_1
+X_39582_ _39508_/A VGND VGND VPWR VPWR _39594_/B sky130_fd_sc_hd__buf_1
+XFILLER_434_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_211_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_642_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63846_ _63846_/A _59052_/B VGND VGND VPWR VPWR _63846_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_635_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_642_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_165_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38533_ _38531_/X _38533_/B VGND VGND VPWR VPWR _38533_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_306_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50811_ _50809_/Y _50810_/X VGND VGND VPWR VPWR _77974_/D sky130_fd_sc_hd__nand2_2
+X_69353_ _69353_/A _69353_/B _69352_/Y VGND VGND VPWR VPWR _69353_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_690_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66565_ _80380_/Q _66565_/B VGND VGND VPWR VPWR _66566_/C sky130_fd_sc_hd__nor2_2
+XFILLER_415_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51791_ _51789_/Y _51790_/X VGND VGND VPWR VPWR _77718_/D sky130_fd_sc_hd__nand2_2
+XFILLER_447_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_380_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63777_ _47672_/A _63908_/B VGND VGND VPWR VPWR _63779_/B sky130_fd_sc_hd__nor2_2
+XPHY_4509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60989_ _77640_/Q _61301_/B VGND VGND VPWR VPWR _60990_/C sky130_fd_sc_hd__nor2_2
+XFILLER_272_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_603_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_126_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68304_ _79974_/Q _68304_/B VGND VGND VPWR VPWR _68305_/C sky130_fd_sc_hd__nor2_2
+XFILLER_380_3079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_443_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_0_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53530_ _53539_/A VGND VGND VPWR VPWR _53542_/B sky130_fd_sc_hd__buf_1
+X_65516_ _66171_/A _65516_/B _65515_/Y VGND VGND VPWR VPWR _65526_/B sky130_fd_sc_hd__nor3_2
+XFILLER_599_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38464_ _38464_/A _38463_/Y VGND VGND VPWR VPWR _80367_/D sky130_fd_sc_hd__nand2_2
+X_50742_ _50827_/A _50754_/B VGND VGND VPWR VPWR _50743_/B sky130_fd_sc_hd__or2_2
+X_62728_ _62417_/A _62728_/B _62727_/Y VGND VGND VPWR VPWR _62736_/B sky130_fd_sc_hd__nor3_2
+XFILLER_96_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_408_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69284_ _44071_/A _65209_/A VGND VGND VPWR VPWR _69284_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_78_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66496_ _80027_/Q _65828_/X VGND VGND VPWR VPWR _66497_/C sky130_fd_sc_hd__nor2_2
+XFILLER_658_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_705_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_576_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80513_ _80473_/CLK _80513_/D VGND VGND VPWR VPWR _80513_/Q sky130_fd_sc_hd__dfxtp_4
+X_68235_ _68905_/A _68235_/B _68235_/C VGND VGND VPWR VPWR _68236_/C sky130_fd_sc_hd__nor3_2
+XFILLER_20_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53461_ _53459_/Y _53460_/X VGND VGND VPWR VPWR _77278_/D sky130_fd_sc_hd__nand2_2
+XFILLER_280_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65447_ _65447_/A _65447_/B VGND VGND VPWR VPWR _65447_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_401_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_341_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50673_ _62647_/A _50668_/X VGND VGND VPWR VPWR _50675_/A sky130_fd_sc_hd__nand2_2
+XFILLER_718_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38395_ _38392_/A _38400_/B _67553_/A VGND VGND VPWR VPWR _38396_/B sky130_fd_sc_hd__nand3_2
+XFILLER_601_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62659_ _62659_/A _62034_/X VGND VGND VPWR VPWR _62659_/Y sky130_fd_sc_hd__nor2_2
+X_81493_ _79425_/CLK _81493_/D VGND VGND VPWR VPWR _65490_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_53_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_401_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_40_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55200_ _55200_/A _55200_/B VGND VGND VPWR VPWR _55200_/X sky130_fd_sc_hd__or2_2
+XFILLER_503_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_421_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52412_ _52412_/A _52412_/B VGND VGND VPWR VPWR _77554_/D sky130_fd_sc_hd__nand2_2
+XFILLER_577_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_108_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80444_ _80477_/CLK _76417_/Y VGND VGND VPWR VPWR _80444_/Q sky130_fd_sc_hd__dfxtp_4
+X_68166_ _68010_/A _68166_/B _68166_/C VGND VGND VPWR VPWR _68170_/B sky130_fd_sc_hd__nor3_2
+XFILLER_538_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56180_ _56174_/A _56180_/B _56180_/C VGND VGND VPWR VPWR wbs_dat_o[29] sky130_fd_sc_hd__nor3_2
+XFILLER_411_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_323_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53392_ _59909_/A _53381_/B VGND VGND VPWR VPWR _53392_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_368_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_74_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65378_ _65378_/A _65202_/B VGND VGND VPWR VPWR _65551_/A sky130_fd_sc_hd__nor2_2
+XFILLER_91_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_367_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_300_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_538_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_536_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_21_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_206_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55131_ _55131_/A _55130_/X VGND VGND VPWR VPWR _76845_/D sky130_fd_sc_hd__or2_2
+XFILLER_221_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67117_ _65467_/X VGND VGND VPWR VPWR _67777_/A sky130_fd_sc_hd__buf_1
+XFILLER_675_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52343_ _52343_/A _52343_/B VGND VGND VPWR VPWR _52343_/Y sky130_fd_sc_hd__nand2_2
+X_64329_ _64321_/X _64324_/Y _64328_/Y VGND VGND VPWR VPWR _64329_/Y sky130_fd_sc_hd__nor3_2
+XPHY_24409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80375_ _80375_/CLK _80375_/D VGND VGND VPWR VPWR _80375_/Q sky130_fd_sc_hd__dfxtp_4
+X_68097_ _67429_/A _68097_/B _68096_/Y VGND VGND VPWR VPWR _68098_/C sky130_fd_sc_hd__nor3_2
+XFILLER_300_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_573_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_87_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39016_ _39034_/A VGND VGND VPWR VPWR _39024_/A sky130_fd_sc_hd__buf_1
+XFILLER_198_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55062_ _55064_/A _55062_/B VGND VGND VPWR VPWR _55062_/X sky130_fd_sc_hd__xor2_2
+X_67048_ _80767_/Q _67215_/B VGND VGND VPWR VPWR _67050_/B sky130_fd_sc_hd__nor2_2
+XFILLER_178_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_33_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52274_ _52272_/Y _52273_/X VGND VGND VPWR VPWR _77590_/D sky130_fd_sc_hd__nand2_2
+XFILLER_571_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_147_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_181_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_575_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_554_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_434_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54013_ _54013_/A _54017_/B VGND VGND VPWR VPWR _54016_/A sky130_fd_sc_hd__nand2_2
+XFILLER_391_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51225_ _46225_/Y _47247_/X _46224_/Y _49363_/A VGND VGND VPWR VPWR _51225_/X sky130_fd_sc_hd__o22a_4
+XPHY_13229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59870_ _77177_/Q _59388_/B VGND VGND VPWR VPWR _59872_/B sky130_fd_sc_hd__nor2_2
+XFILLER_46_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_162_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_686_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_525_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_137_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_254_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_512_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_179_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_133_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58821_ _58821_/A _58821_/B _58821_/C VGND VGND VPWR VPWR _58829_/A sky130_fd_sc_hd__nor3_2
+XFILLER_669_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51156_ _51156_/A _51155_/X VGND VGND VPWR VPWR _77881_/D sky130_fd_sc_hd__nand2_2
+XFILLER_219_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_215_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68999_ _69137_/A _68965_/Y _68999_/C VGND VGND VPWR VPWR _68999_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_647_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_172_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50107_ _49809_/A VGND VGND VPWR VPWR _50108_/A sky130_fd_sc_hd__buf_1
+XFILLER_444_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_591_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39918_ _40277_/A _39910_/B VGND VGND VPWR VPWR _39920_/A sky130_fd_sc_hd__or2_2
+XFILLER_176_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58752_ _81485_/Q _56289_/B VGND VGND VPWR VPWR _58753_/C sky130_fd_sc_hd__nor2_2
+XFILLER_277_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_27_0_u_core.clock clkbuf_6_27_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_55_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_46_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55964_ _56098_/A _45002_/B VGND VGND VPWR VPWR _55964_/X sky130_fd_sc_hd__or2_2
+XFILLER_150_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51087_ _77900_/Q _51086_/X VGND VGND VPWR VPWR _51087_/Y sky130_fd_sc_hd__nand2_2
+XPHY_11849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_587_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57703_ _57937_/A _57699_/Y _57703_/C VGND VGND VPWR VPWR _57703_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_493_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50038_ _50038_/A _50038_/B VGND VGND VPWR VPWR _78180_/D sky130_fd_sc_hd__nand2_2
+X_54915_ _54915_/A _54915_/B VGND VGND VPWR VPWR _76891_/D sky130_fd_sc_hd__nand2_2
+XFILLER_330_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39849_ _39847_/X _39848_/Y VGND VGND VPWR VPWR _80023_/D sky130_fd_sc_hd__nand2_2
+XFILLER_102_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58683_ _58616_/A _58683_/B _58683_/C VGND VGND VPWR VPWR _58687_/B sky130_fd_sc_hd__nor3_2
+X_70961_ _70831_/A _72089_/B VGND VGND VPWR VPWR _72025_/B sky130_fd_sc_hd__or2_2
+XFILLER_79_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55895_ _42084_/A _55895_/B VGND VGND VPWR VPWR _55895_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_543_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_508_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72700_ _72700_/A _72686_/B _64771_/A VGND VGND VPWR VPWR _72701_/B sky130_fd_sc_hd__nand3_2
+XFILLER_76_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_627_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57634_ _79999_/Q _57634_/B VGND VGND VPWR VPWR _57635_/C sky130_fd_sc_hd__nor2_2
+XFILLER_236_307 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_365_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42860_ _42860_/A _42857_/Y _42860_/C VGND VGND VPWR VPWR _79448_/D sky130_fd_sc_hd__nor3_2
+XFILLER_48_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54846_ _54843_/Y _54846_/B VGND VGND VPWR VPWR _54846_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_248_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73680_ _73923_/A _73680_/B VGND VGND VPWR VPWR _73680_/X sky130_fd_sc_hd__or2_2
+XFILLER_487_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70892_ _71320_/A _70892_/B _70892_/C _70891_/Y VGND VGND VPWR VPWR _70892_/X sky130_fd_sc_hd__or4_2
+XFILLER_76_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_236_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_628_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41811_ _46635_/A _41804_/B VGND VGND VPWR VPWR _41818_/A sky130_fd_sc_hd__nand2_2
+XPHY_38204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72631_ _72667_/A VGND VGND VPWR VPWR _72632_/B sky130_fd_sc_hd__buf_1
+XFILLER_385_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_480_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57565_ _80158_/Q _57651_/B VGND VGND VPWR VPWR _57567_/B sky130_fd_sc_hd__nor2_2
+XFILLER_29_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42791_ _42576_/A _42790_/X _70770_/X _42750_/X VGND VGND VPWR VPWR _42791_/X sky130_fd_sc_hd__o22a_4
+XFILLER_229_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54777_ _59480_/A _54777_/B VGND VGND VPWR VPWR _54777_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_480_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51989_ _77664_/Q _51989_/B VGND VGND VPWR VPWR _51991_/A sky130_fd_sc_hd__nand2_2
+XFILLER_328_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_324_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_263_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_704_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59304_ _59470_/A VGND VGND VPWR VPWR _59304_/X sky130_fd_sc_hd__buf_1
+XPHY_37503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44530_ _44560_/A _44530_/B _44529_/Y VGND VGND VPWR VPWR _79221_/D sky130_fd_sc_hd__nor3_2
+XPHY_5766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56516_ _58299_/A VGND VGND VPWR VPWR _56679_/B sky130_fd_sc_hd__buf_1
+XFILLER_695_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75350_ _75348_/X _75350_/B VGND VGND VPWR VPWR _75350_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_2_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_422_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41742_ _45868_/A VGND VGND VPWR VPWR _41742_/Y sky130_fd_sc_hd__inv_8
+XPHY_37514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53728_ _77206_/Q _53728_/B VGND VGND VPWR VPWR _53728_/Y sky130_fd_sc_hd__nand2_2
+XPHY_38259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72562_ _72806_/A _72570_/B VGND VGND VPWR VPWR _72562_/X sky130_fd_sc_hd__or2_2
+XFILLER_421_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_71_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57496_ _57667_/A _57494_/Y _57496_/C VGND VGND VPWR VPWR _57497_/C sky130_fd_sc_hd__nor3_2
+XFILLER_480_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_229_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_147_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74301_ _74301_/A VGND VGND VPWR VPWR _74308_/B sky130_fd_sc_hd__buf_1
+XPHY_37547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59235_ _59234_/X VGND VGND VPWR VPWR _63757_/B sky130_fd_sc_hd__buf_1
+XFILLER_261_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71513_ _70404_/A _71380_/B VGND VGND VPWR VPWR _71518_/B sky130_fd_sc_hd__nor2_2
+XFILLER_183_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44461_ _44461_/A _44461_/B _44461_/C VGND VGND VPWR VPWR _44462_/B sky130_fd_sc_hd__nor3_2
+XFILLER_109_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56447_ _80208_/Q _56447_/B VGND VGND VPWR VPWR _56449_/B sky130_fd_sc_hd__nor2_2
+XFILLER_328_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75281_ _75277_/X _75280_/Y VGND VGND VPWR VPWR _80725_/D sky130_fd_sc_hd__nand2_2
+XPHY_27035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_461_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53659_ _53659_/A _53663_/B VGND VGND VPWR VPWR _53662_/A sky130_fd_sc_hd__nand2_2
+XFILLER_72_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41673_ _76843_/Q _41673_/B _41673_/C _55156_/A VGND VGND VPWR VPWR _41674_/D sky130_fd_sc_hd__or4_2
+XPHY_37569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72493_ _72488_/X _72492_/Y VGND VGND VPWR VPWR _81417_/D sky130_fd_sc_hd__nand2_2
+XFILLER_363_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_220_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_7_60_0_u_core.clock clkbuf_7_61_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_60_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_27057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46200_ _46199_/Y _46197_/X VGND VGND VPWR VPWR _46216_/A sky130_fd_sc_hd__or2_2
+XFILLER_13_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77020_ _77029_/CLK _77020_/D VGND VGND VPWR VPWR _54434_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_322_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_302_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_201_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43412_ _43466_/A VGND VGND VPWR VPWR _43413_/A sky130_fd_sc_hd__buf_1
+XFILLER_125_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74232_ _74224_/X _74221_/B _74232_/C VGND VGND VPWR VPWR _74233_/B sky130_fd_sc_hd__nand3_2
+XFILLER_57_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_188_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40624_ _40622_/X _40624_/B VGND VGND VPWR VPWR _40624_/Y sky130_fd_sc_hd__nand2_2
+XPHY_27079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47180_ _47176_/B _47179_/Y _47171_/C VGND VGND VPWR VPWR _78893_/D sky130_fd_sc_hd__nor3_2
+X_71444_ _71444_/A VGND VGND VPWR VPWR _71445_/B sky130_fd_sc_hd__inv_8
+XFILLER_158_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59166_ _59405_/A _59166_/B _59166_/C VGND VGND VPWR VPWR _59176_/B sky130_fd_sc_hd__nor3_2
+XFILLER_598_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_55_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44392_ _44392_/A VGND VGND VPWR VPWR _44393_/B sky130_fd_sc_hd__buf_1
+XPHY_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56378_ _56450_/A VGND VGND VPWR VPWR _58461_/A sky130_fd_sc_hd__buf_1
+XFILLER_474_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_556_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_398_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_572_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46131_ _46119_/X VGND VGND VPWR VPWR _46133_/C sky130_fd_sc_hd__inv_8
+XFILLER_575_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58117_ _57790_/X _58117_/B _58116_/Y VGND VGND VPWR VPWR _58117_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_185_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_379_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_358_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43343_ _71918_/B _43346_/B VGND VGND VPWR VPWR _43343_/X sky130_fd_sc_hd__or2_2
+XFILLER_658_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55329_ _45120_/A _55328_/X VGND VGND VPWR VPWR _55330_/B sky130_fd_sc_hd__nor2_2
+XFILLER_563_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74163_ _74159_/X _74162_/Y VGND VGND VPWR VPWR _81006_/D sky130_fd_sc_hd__nand2_2
+XFILLER_562_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40555_ _40553_/X _40554_/Y VGND VGND VPWR VPWR _79846_/D sky130_fd_sc_hd__nand2_2
+XFILLER_86_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59097_ _60011_/A VGND VGND VPWR VPWR _59375_/B sky130_fd_sc_hd__buf_1
+XPHY_26389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71375_ _71434_/A _42919_/A VGND VGND VPWR VPWR _71375_/X sky130_fd_sc_hd__or2_2
+XFILLER_201_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_298_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_16_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_177_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_259_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_185_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_590_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73114_ _73110_/A VGND VGND VPWR VPWR _73253_/B sky130_fd_sc_hd__buf_1
+XPHY_25677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58048_ _58048_/A _58047_/Y VGND VGND VPWR VPWR _58048_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_103_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46062_ _55885_/B _42546_/A VGND VGND VPWR VPWR _46063_/B sky130_fd_sc_hd__nor2_2
+XFILLER_173_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70326_ _70403_/A _70021_/C VGND VGND VPWR VPWR _70327_/B sky130_fd_sc_hd__or2_2
+XFILLER_515_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_3083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43274_ _43263_/A _43268_/B _58326_/A VGND VGND VPWR VPWR _43275_/B sky130_fd_sc_hd__nand3_2
+XPHY_14420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74094_ _74091_/X _74094_/B VGND VGND VPWR VPWR _74094_/Y sky130_fd_sc_hd__nand2_2
+XPHY_15165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78971_ _79497_/CLK _46741_/Y VGND VGND VPWR VPWR _43028_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_455_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40486_ _40486_/A _40486_/B VGND VGND VPWR VPWR _40486_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_257_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_184_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_166_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_253_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45013_ _45011_/X _45012_/X VGND VGND VPWR VPWR _45533_/B sky130_fd_sc_hd__nand2_2
+XFILLER_417_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42225_ _42225_/A VGND VGND VPWR VPWR _42226_/A sky130_fd_sc_hd__inv_8
+X_77922_ _78758_/CLK _51008_/Y VGND VGND VPWR VPWR _77922_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_86_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73045_ _73045_/A _73045_/B VGND VGND VPWR VPWR _81280_/D sky130_fd_sc_hd__nand2_2
+XFILLER_516_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70257_ _70256_/X VGND VGND VPWR VPWR _71181_/A sky130_fd_sc_hd__buf_1
+XFILLER_455_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_159_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_214_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60010_ _60010_/A _60010_/B VGND VGND VPWR VPWR _60013_/B sky130_fd_sc_hd__nor2_2
+XFILLER_630_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49821_ _49821_/A VGND VGND VPWR VPWR _49936_/A sky130_fd_sc_hd__buf_1
+XFILLER_257_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_155_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42156_ _42156_/A _42156_/B _42149_/C VGND VGND VPWR VPWR _79511_/D sky130_fd_sc_hd__nor3_2
+XPHY_13763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77853_ _76893_/CLK _51281_/Y VGND VGND VPWR VPWR _60560_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70188_ _70182_/A _70022_/X VGND VGND VPWR VPWR _70189_/B sky130_fd_sc_hd__nand2_2
+XFILLER_515_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_677_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59999_ _54292_/A _59851_/B VGND VGND VPWR VPWR _60000_/C sky130_fd_sc_hd__nor2_2
+XFILLER_29_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_207_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41107_ _44239_/A VGND VGND VPWR VPWR _41107_/X sky130_fd_sc_hd__buf_1
+XFILLER_720_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76804_ _76800_/CLK _76804_/D VGND VGND VPWR VPWR _76804_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_286_207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49752_ _49696_/A _49758_/B VGND VGND VPWR VPWR _49752_/X sky130_fd_sc_hd__or2_2
+XFILLER_23_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_97_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46964_ _46955_/A _46964_/B VGND VGND VPWR VPWR _46968_/A sky130_fd_sc_hd__or2_2
+X_42087_ _42087_/A _42095_/B VGND VGND VPWR VPWR _42087_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_658_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77784_ _77787_/CLK _51544_/Y VGND VGND VPWR VPWR _51542_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_190_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74996_ _74996_/A _74995_/X VGND VGND VPWR VPWR _74996_/X sky130_fd_sc_hd__or2_2
+XFILLER_84_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_583_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_152_0_u_core.clock clkbuf_8_76_0_u_core.clock/X VGND VGND VPWR VPWR _77811_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_9071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48703_ _49072_/A _49196_/A VGND VGND VPWR VPWR _54460_/A sky130_fd_sc_hd__or2_2
+XPHY_9082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79523_ _79122_/CLK _42109_/Y VGND VGND VPWR VPWR _79523_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_368_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41038_ _39137_/A VGND VGND VPWR VPWR _41038_/X sky130_fd_sc_hd__buf_1
+XFILLER_62_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45915_ _45873_/X VGND VGND VPWR VPWR _45930_/B sky130_fd_sc_hd__buf_1
+XFILLER_218_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76735_ _78860_/CLK _60728_/Y VGND VGND VPWR VPWR _45225_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_456_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_428_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_188_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49683_ _49711_/A _49704_/B VGND VGND VPWR VPWR _49683_/X sky130_fd_sc_hd__or2_2
+X_61961_ _61956_/X _61958_/Y _61961_/C VGND VGND VPWR VPWR _61961_/Y sky130_fd_sc_hd__nor3_2
+X_73947_ _73947_/A _73951_/B VGND VGND VPWR VPWR _73947_/X sky130_fd_sc_hd__or2_2
+XFILLER_42_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46895_ _71272_/A _46887_/B VGND VGND VPWR VPWR _46899_/B sky130_fd_sc_hd__nor2_2
+XFILLER_428_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_40_0_u_core.clock clkbuf_8_40_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_81_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_673_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_268_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_27_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63700_ _59363_/A _63700_/B _63700_/C VGND VGND VPWR VPWR _63700_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_67_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60912_ _60912_/A _60288_/B VGND VGND VPWR VPWR _60912_/Y sky130_fd_sc_hd__nor2_2
+X_48634_ _48320_/A VGND VGND VPWR VPWR _48724_/A sky130_fd_sc_hd__buf_1
+XPHY_8392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_444_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79454_ _76678_/CLK _42807_/Y VGND VGND VPWR VPWR _70012_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_456_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45846_ _45667_/X VGND VGND VPWR VPWR _45847_/C sky130_fd_sc_hd__buf_1
+XFILLER_231_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64680_ _66361_/A VGND VGND VPWR VPWR _65363_/A sky130_fd_sc_hd__buf_1
+XFILLER_310_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76666_ _79384_/CLK _76666_/D VGND VGND VPWR VPWR _70289_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_370_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_663_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73878_ _73886_/A _73874_/B _69321_/A VGND VGND VPWR VPWR _73879_/B sky130_fd_sc_hd__nand3_2
+XFILLER_364_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61892_ _59122_/A VGND VGND VPWR VPWR _61892_/X sky130_fd_sc_hd__buf_1
+XFILLER_114_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_114_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_428_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_114_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78405_ _78405_/CLK _78405_/D VGND VGND VPWR VPWR _49164_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_7691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63631_ _63181_/A _63629_/Y _63630_/Y VGND VGND VPWR VPWR _63635_/B sky130_fd_sc_hd__nor3_2
+XFILLER_672_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75617_ _75615_/X _75617_/B VGND VGND VPWR VPWR _80647_/D sky130_fd_sc_hd__nand2_2
+XFILLER_282_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_212_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_443_237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60843_ _60534_/A _60843_/B _60842_/Y VGND VGND VPWR VPWR _60844_/B sky130_fd_sc_hd__nor3_2
+X_72829_ _72826_/X _72829_/B VGND VGND VPWR VPWR _81327_/D sky130_fd_sc_hd__nand2_2
+X_48565_ _48474_/X _48568_/B VGND VGND VPWR VPWR _48566_/B sky130_fd_sc_hd__or2_2
+XFILLER_632_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79385_ _79347_/CLK _79385_/D VGND VGND VPWR VPWR _57694_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_624_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45777_ _45785_/A _45776_/X VGND VGND VPWR VPWR _45777_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_236_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76597_ VGND VGND VPWR VPWR _76597_/HI la_data_out[93] sky130_fd_sc_hd__conb_1
+XFILLER_78_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42989_ _42986_/A _42978_/X _64969_/A VGND VGND VPWR VPWR _42990_/B sky130_fd_sc_hd__nand3_2
+Xclkbuf_8_208_0_u_core.clock clkbuf_7_104_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_417_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_39461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_405_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_268_0_u_core.clock clkbuf_9_269_0_u_core.clock/A VGND VGND VPWR VPWR _81435_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_79_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47516_ _63534_/A _47494_/B VGND VGND VPWR VPWR _47520_/A sky130_fd_sc_hd__nand2_2
+XFILLER_706_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66350_ _67172_/A VGND VGND VPWR VPWR _66350_/X sky130_fd_sc_hd__buf_1
+XPHY_39483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_327_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78336_ _77888_/CLK _49445_/Y VGND VGND VPWR VPWR _62167_/A sky130_fd_sc_hd__dfxtp_4
+X_44728_ _75450_/A _44468_/A VGND VGND VPWR VPWR _44728_/X sky130_fd_sc_hd__or2_2
+XFILLER_722_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63562_ _63411_/X _63560_/Y _63561_/Y VGND VGND VPWR VPWR _63562_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_95_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75548_ _75548_/A _75548_/B VGND VGND VPWR VPWR _75550_/A sky130_fd_sc_hd__or2_2
+XFILLER_381_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48496_ _63858_/A _48491_/X VGND VGND VPWR VPWR _48498_/A sky130_fd_sc_hd__nand2_2
+X_60774_ _60774_/A _60472_/X VGND VGND VPWR VPWR _60774_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_598_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_409_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_323_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65301_ _65301_/A _65301_/B _65300_/Y VGND VGND VPWR VPWR _65301_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_223_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_327_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62513_ _62513_/A _62983_/B VGND VGND VPWR VPWR _62516_/B sky130_fd_sc_hd__nor2_2
+XPHY_38782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66281_ _81402_/Q _66116_/B VGND VGND VPWR VPWR _66283_/B sky130_fd_sc_hd__nor2_2
+XPHY_0 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47447_ _47447_/A _47446_/X VGND VGND VPWR VPWR _78839_/D sky130_fd_sc_hd__nand2_2
+XFILLER_411_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78267_ _78276_/CLK _49715_/Y VGND VGND VPWR VPWR _62721_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_38793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_264_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44659_ _44894_/A VGND VGND VPWR VPWR _44659_/Y sky130_fd_sc_hd__inv_8
+XFILLER_264_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_225_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_75479_ _75476_/X _75479_/B VGND VGND VPWR VPWR _75479_/Y sky130_fd_sc_hd__nand2_2
+X_63493_ _63181_/A _63491_/Y _63492_/Y VGND VGND VPWR VPWR _63493_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_422_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_35_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68020_ _68020_/A _67854_/Y _67858_/X _68019_/Y VGND VGND VPWR VPWR _76706_/D sky130_fd_sc_hd__or4_2
+XFILLER_95_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_362_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65232_ _75163_/C _65042_/B VGND VGND VPWR VPWR _65232_/Y sky130_fd_sc_hd__nor2_2
+X_77218_ _77230_/CLK _77218_/D VGND VGND VPWR VPWR _53687_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_539_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62444_ _60094_/A VGND VGND VPWR VPWR _63064_/B sky130_fd_sc_hd__buf_1
+XFILLER_245_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_679_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_17_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_182_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47378_ _63370_/A _47384_/B VGND VGND VPWR VPWR _47380_/A sky130_fd_sc_hd__nand2_2
+XFILLER_555_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78198_ _78189_/CLK _78198_/D VGND VGND VPWR VPWR _49969_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_50_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_108_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_700_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_203_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49117_ _49082_/A _49114_/B VGND VGND VPWR VPWR _49117_/X sky130_fd_sc_hd__or2_2
+XFILLER_635_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46329_ _45205_/A _46328_/Y VGND VGND VPWR VPWR _46329_/Y sky130_fd_sc_hd__nor2_2
+X_65163_ _39868_/C _65673_/B VGND VGND VPWR VPWR _65164_/C sky130_fd_sc_hd__nor2_2
+X_77149_ _77719_/CLK _53947_/Y VGND VGND VPWR VPWR _77149_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62375_ _78201_/Q _62225_/B VGND VGND VPWR VPWR _62377_/B sky130_fd_sc_hd__nor2_2
+XPHY_17090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_618_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64114_ _65209_/A VGND VGND VPWR VPWR _65027_/B sky130_fd_sc_hd__buf_1
+XFILLER_715_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61326_ _61012_/A _61326_/B _61325_/Y VGND VGND VPWR VPWR _61326_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_672_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80160_ _80187_/CLK _39311_/Y VGND VGND VPWR VPWR _80160_/Q sky130_fd_sc_hd__dfxtp_4
+X_49048_ _49048_/A _49048_/B VGND VGND VPWR VPWR _49048_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_30_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_451_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65094_ _65094_/A _64923_/B VGND VGND VPWR VPWR _65094_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_9_20_0_u_core.clock clkbuf_9_21_0_u_core.clock/A VGND VGND VPWR VPWR _78108_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_69971_ _70469_/A VGND VGND VPWR VPWR _69971_/X sky130_fd_sc_hd__buf_1
+XFILLER_121_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_163_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_258_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_248_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_346_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_513_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_156_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68922_ _68249_/X _68922_/B _68922_/C VGND VGND VPWR VPWR _68923_/C sky130_fd_sc_hd__nor3_2
+XFILLER_357_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64045_ _59441_/A _64045_/B _64044_/Y VGND VGND VPWR VPWR _64046_/C sky130_fd_sc_hd__nor3_2
+XFILLER_271_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_364_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61257_ _60778_/A _61252_/Y _61256_/Y VGND VGND VPWR VPWR _61257_/Y sky130_fd_sc_hd__nor3_2
+X_80091_ _80095_/CLK _80091_/D VGND VGND VPWR VPWR _80091_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_392_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_375_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_631_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51010_ _49505_/A VGND VGND VPWR VPWR _51011_/A sky130_fd_sc_hd__buf_1
+XFILLER_176_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_86_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60208_ _60364_/A _60208_/B _60207_/Y VGND VGND VPWR VPWR _60208_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_514_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68853_ _80650_/Q _68348_/B VGND VGND VPWR VPWR _68855_/B sky130_fd_sc_hd__nor2_2
+XFILLER_105_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61188_ _77393_/Q _60566_/B VGND VGND VPWR VPWR _61189_/C sky130_fd_sc_hd__nor2_2
+XFILLER_193_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_264_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67804_ _67974_/A _67804_/B _67804_/C VGND VGND VPWR VPWR _67805_/C sky130_fd_sc_hd__nor3_2
+XFILLER_334_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60139_ _58986_/A VGND VGND VPWR VPWR _60317_/A sky130_fd_sc_hd__buf_1
+XFILLER_271_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_236_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_412_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_360_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_176_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68784_ _68784_/A _68945_/B VGND VGND VPWR VPWR _68784_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_252_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_664_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_510_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65996_ _65974_/Y _65996_/B VGND VGND VPWR VPWR _65997_/B sky130_fd_sc_hd__nor2_2
+XFILLER_119_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_41_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39703_ _39667_/A VGND VGND VPWR VPWR _39704_/A sky130_fd_sc_hd__buf_1
+XFILLER_113_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_330_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67735_ _67393_/A _67728_/Y _67734_/Y VGND VGND VPWR VPWR _67735_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_258_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_648_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52961_ _52961_/A _52960_/X VGND VGND VPWR VPWR _77407_/D sky130_fd_sc_hd__nand2_2
+X_64947_ _79602_/Q _64782_/B VGND VGND VPWR VPWR _64948_/C sky130_fd_sc_hd__nor2_2
+XFILLER_664_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80993_ _80902_/CLK _74212_/Y VGND VGND VPWR VPWR _67409_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_288_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_369_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_629_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_80_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54700_ _54813_/A _54667_/A VGND VGND VPWR VPWR _54700_/X sky130_fd_sc_hd__or2_2
+XFILLER_269_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51912_ _51910_/Y _51911_/X VGND VGND VPWR VPWR _51912_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_301_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_133_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39634_ _39634_/A _39634_/B VGND VGND VPWR VPWR _39634_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_258_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_566_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67666_ _80162_/Q _67180_/B VGND VGND VPWR VPWR _67667_/C sky130_fd_sc_hd__nor2_2
+X_55680_ _55680_/A _55679_/X VGND VGND VPWR VPWR _55680_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_280_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52892_ _60902_/A _52892_/B VGND VGND VPWR VPWR _52894_/A sky130_fd_sc_hd__nand2_2
+X_64878_ _65045_/A _64871_/Y _64877_/Y VGND VGND VPWR VPWR _64878_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_625_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_382_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_274_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_586_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_462_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_683_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_544_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69405_ _80301_/Q _69267_/B VGND VGND VPWR VPWR _69405_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_249_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54631_ _54631_/A _54616_/B VGND VGND VPWR VPWR _54633_/A sky130_fd_sc_hd__nand2_2
+XFILLER_6_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66617_ _66295_/X _66617_/B _66617_/C VGND VGND VPWR VPWR _66621_/B sky130_fd_sc_hd__nor3_2
+XFILLER_449_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51843_ _60814_/A _51849_/B VGND VGND VPWR VPWR _51845_/A sky130_fd_sc_hd__nand2_2
+X_39565_ _39190_/A _39565_/B VGND VGND VPWR VPWR _39565_/X sky130_fd_sc_hd__or2_2
+XPHY_5029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63829_ _63829_/A _59064_/X VGND VGND VPWR VPWR _63829_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_661_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_705_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67597_ _68253_/A _67593_/Y _67597_/C VGND VGND VPWR VPWR _67597_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_230_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_423_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38516_ _38662_/A _38512_/B VGND VGND VPWR VPWR _38516_/X sky130_fd_sc_hd__or2_2
+XFILLER_113_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_208 _74741_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_57350_ _72925_/C _57184_/B VGND VGND VPWR VPWR _57350_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_227_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69336_ _73117_/C _69336_/B VGND VGND VPWR VPWR _69336_/Y sky130_fd_sc_hd__nor2_2
+XPHY_18708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54562_ _54562_/A _54569_/B VGND VGND VPWR VPWR _54565_/A sky130_fd_sc_hd__nand2_2
+XFILLER_306_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66548_ _67371_/A VGND VGND VPWR VPWR _67215_/B sky130_fd_sc_hd__buf_1
+XFILLER_560_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_219 _75585_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_53_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51774_ _51712_/A _51789_/B VGND VGND VPWR VPWR _51774_/X sky130_fd_sc_hd__or2_2
+XFILLER_39_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39496_ _39535_/A VGND VGND VPWR VPWR _39505_/A sky130_fd_sc_hd__buf_1
+XFILLER_360_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_208_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56301_ _57513_/A VGND VGND VPWR VPWR _56448_/B sky130_fd_sc_hd__buf_1
+XPHY_3616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_588_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53513_ _53510_/Y _53512_/X VGND VGND VPWR VPWR _77266_/D sky130_fd_sc_hd__nand2_2
+XFILLER_243_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_603_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38447_ _38445_/X _38446_/Y VGND VGND VPWR VPWR _80372_/D sky130_fd_sc_hd__nand2_2
+XFILLER_548_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50725_ _50724_/X _50725_/B VGND VGND VPWR VPWR _50725_/X sky130_fd_sc_hd__or2_2
+X_69267_ _80300_/Q _69267_/B VGND VGND VPWR VPWR _69269_/B sky130_fd_sc_hd__nor2_2
+X_57281_ _57281_/A _57281_/B _57281_/C VGND VGND VPWR VPWR _57281_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_423_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_601_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54493_ _54464_/A _54511_/B VGND VGND VPWR VPWR _54493_/X sky130_fd_sc_hd__or2_2
+XFILLER_14_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66479_ _72925_/C _65983_/B VGND VGND VPWR VPWR _66479_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_52_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_41_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_341_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59020_ _77021_/Q _59345_/B VGND VGND VPWR VPWR _59020_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_74_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_208_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56232_ _64349_/A _56577_/B VGND VGND VPWR VPWR _56232_/Y sky130_fd_sc_hd__nor2_2
+X_68218_ _68200_/Y _68217_/Y VGND VGND VPWR VPWR _68219_/B sky130_fd_sc_hd__nor2_2
+XFILLER_289_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53444_ _53444_/A _53441_/B VGND VGND VPWR VPWR _53444_/X sky130_fd_sc_hd__or2_2
+XFILLER_52_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38378_ _38376_/X _38377_/Y VGND VGND VPWR VPWR _80391_/D sky130_fd_sc_hd__nand2_2
+XFILLER_323_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50656_ _50656_/A _50655_/X VGND VGND VPWR VPWR _50656_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_690_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81476_ _81476_/CLK _81476_/D VGND VGND VPWR VPWR _67961_/A sky130_fd_sc_hd__dfxtp_4
+X_69198_ _69198_/A _69336_/B VGND VGND VPWR VPWR _69198_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_74_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_161_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_298_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_557_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_675_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56163_ _56153_/A _45177_/B VGND VGND VPWR VPWR _56163_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_146_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80427_ _80375_/CLK _38242_/Y VGND VGND VPWR VPWR _80427_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_401_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68149_ _68149_/A _67653_/X VGND VGND VPWR VPWR _68149_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_544_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53375_ _53373_/Y _53374_/X VGND VGND VPWR VPWR _53375_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_356_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50587_ _50587_/A _50587_/B VGND VGND VPWR VPWR _50587_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_671_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_653_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55114_ _55129_/A VGND VGND VPWR VPWR _55133_/A sky130_fd_sc_hd__buf_1
+XFILLER_202_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_636_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40340_ _40335_/X _40339_/Y VGND VGND VPWR VPWR _79895_/D sky130_fd_sc_hd__nand2_2
+XFILLER_155_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52326_ _52358_/A _52338_/B VGND VGND VPWR VPWR _52327_/B sky130_fd_sc_hd__or2_2
+XPHY_24239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71160_ _71016_/Y _71160_/B VGND VGND VPWR VPWR _71160_/X sky130_fd_sc_hd__or2_2
+XFILLER_344_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_316_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_705_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80358_ _80354_/CLK _38510_/Y VGND VGND VPWR VPWR _68210_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_517_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56094_ _56088_/A _56094_/B VGND VGND VPWR VPWR _56095_/B sky130_fd_sc_hd__nor2_2
+XPHY_23505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_339_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_614_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_30_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70111_ _70103_/Y _70164_/A _70165_/A _70110_/X VGND VGND VPWR VPWR _70111_/X sky130_fd_sc_hd__o22a_4
+XFILLER_343_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55045_ _54989_/A _55022_/A VGND VGND VPWR VPWR _55046_/B sky130_fd_sc_hd__or2_2
+X_59922_ _76889_/Q _59766_/B VGND VGND VPWR VPWR _59922_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_339_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40271_ _40325_/A VGND VGND VPWR VPWR _40271_/X sky130_fd_sc_hd__buf_1
+XFILLER_371_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_720_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52257_ _52161_/A _52275_/B VGND VGND VPWR VPWR _52257_/X sky130_fd_sc_hd__or2_2
+XPHY_22804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_649_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71091_ _71083_/X _71088_/Y _71090_/X _70116_/B VGND VGND VPWR VPWR _71092_/B sky130_fd_sc_hd__o22a_4
+XFILLER_85_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_159_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80289_ _80289_/CLK _38796_/Y VGND VGND VPWR VPWR _80289_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_370_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_147_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42010_ _42030_/A _42004_/Y _42009_/Y VGND VGND VPWR VPWR _42010_/Y sky130_fd_sc_hd__nor3_2
+XPHY_12303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51208_ _51152_/A _51220_/B VGND VGND VPWR VPWR _51209_/B sky130_fd_sc_hd__or2_2
+XPHY_12314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70042_ _70046_/A VGND VGND VPWR VPWR _70042_/Y sky130_fd_sc_hd__inv_8
+XFILLER_272_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59853_ _60001_/A _59849_/Y _59853_/C VGND VGND VPWR VPWR _59853_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_46_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_430_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_370_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_370_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52188_ _52188_/A _52187_/X VGND VGND VPWR VPWR _52188_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_498_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_254_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_218_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_317_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_614_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58804_ _58737_/A _58804_/B _58803_/Y VGND VGND VPWR VPWR _58804_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_317_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_669_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_293_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51139_ _51055_/A _51113_/X VGND VGND VPWR VPWR _51139_/X sky130_fd_sc_hd__or2_2
+XPHY_11624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74850_ _74848_/X _74849_/Y VGND VGND VPWR VPWR _80840_/D sky130_fd_sc_hd__nand2_2
+XPHY_12369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59784_ _59461_/A _59784_/B _59783_/Y VGND VGND VPWR VPWR _59795_/B sky130_fd_sc_hd__nor3_2
+XFILLER_485_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_289_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56996_ _56996_/A _57160_/B VGND VGND VPWR VPWR _56996_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_270_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_150_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73801_ _73741_/A VGND VGND VPWR VPWR _73805_/A sky130_fd_sc_hd__buf_1
+XFILLER_647_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58735_ _58735_/A _58735_/B VGND VGND VPWR VPWR _58736_/C sky130_fd_sc_hd__nor2_2
+XFILLER_313_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43961_ _43712_/B VGND VGND VPWR VPWR _43962_/B sky130_fd_sc_hd__inv_8
+XFILLER_215_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_450_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_150_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55947_ _55945_/X _55947_/B VGND VGND VPWR VPWR _76679_/D sky130_fd_sc_hd__or2_2
+X_74781_ _74776_/A _74767_/B _80855_/Q VGND VGND VPWR VPWR _74781_/Y sky130_fd_sc_hd__nand3_2
+XPHY_10934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71993_ _71984_/X _71993_/B _71992_/X VGND VGND VPWR VPWR _71993_/X sky130_fd_sc_hd__or3_2
+XPHY_10945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_4_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45700_ _45682_/A _45700_/B VGND VGND VPWR VPWR _45701_/C sky130_fd_sc_hd__nor2_2
+XFILLER_682_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76520_ VGND VGND VPWR VPWR _76520_/HI la_data_out[12] sky130_fd_sc_hd__conb_1
+XFILLER_232_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_508_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42912_ _42912_/A _42908_/B VGND VGND VPWR VPWR _42912_/X sky130_fd_sc_hd__or2_2
+X_73732_ _73724_/X _73735_/B _65071_/A VGND VGND VPWR VPWR _73733_/B sky130_fd_sc_hd__nand3_2
+XFILLER_292_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70944_ _71874_/B _70688_/X VGND VGND VPWR VPWR _71845_/A sky130_fd_sc_hd__nor2_2
+X_46680_ _41856_/Y _46664_/X VGND VGND VPWR VPWR _46680_/Y sky130_fd_sc_hd__nor2_2
+XPHY_10978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58666_ _58451_/A _58666_/B _58666_/C VGND VGND VPWR VPWR _58670_/B sky130_fd_sc_hd__nor3_2
+XFILLER_79_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43892_ _43860_/X _43890_/Y _43892_/C VGND VGND VPWR VPWR _43892_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_276_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55878_ _42424_/X _55878_/B _76678_/Q _55878_/D VGND VGND VPWR VPWR _55878_/X sky130_fd_sc_hd__and4_2
+XFILLER_111_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_670_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_3174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45631_ _45642_/A _45629_/Y _45631_/C VGND VGND VPWR VPWR _79117_/D sky130_fd_sc_hd__nor3_2
+XFILLER_654_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57617_ _56354_/A VGND VGND VPWR VPWR _57617_/X sky130_fd_sc_hd__buf_1
+XFILLER_681_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76451_ VGND VGND VPWR VPWR _76451_/HI io_oeb[11] sky130_fd_sc_hd__conb_1
+XPHY_6253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42843_ _42843_/A _42842_/X VGND VGND VPWR VPWR _42844_/C sky130_fd_sc_hd__and2_2
+XFILLER_248_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54829_ _54946_/A _54841_/B VGND VGND VPWR VPWR _54829_/X sky130_fd_sc_hd__or2_2
+XFILLER_2_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73663_ _73656_/A _73656_/B _68220_/A VGND VGND VPWR VPWR _73664_/B sky130_fd_sc_hd__nand3_2
+XFILLER_445_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_264_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58597_ _80171_/Q _58526_/B VGND VGND VPWR VPWR _58599_/B sky130_fd_sc_hd__nor2_2
+X_70875_ _70117_/Y _70875_/B VGND VGND VPWR VPWR _70876_/B sky130_fd_sc_hd__nor2_2
+XFILLER_480_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_98_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_365_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75402_ _75399_/X _75401_/Y VGND VGND VPWR VPWR _80693_/D sky130_fd_sc_hd__nand2_2
+XFILLER_562_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48350_ _48408_/A _48353_/B VGND VGND VPWR VPWR _48351_/B sky130_fd_sc_hd__or2_2
+XPHY_5552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72614_ _72609_/A _72620_/B _72614_/C VGND VGND VPWR VPWR _72615_/B sky130_fd_sc_hd__nand3_2
+XPHY_19932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79170_ _78317_/CLK _45098_/Y VGND VGND VPWR VPWR _79170_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_407_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57548_ _79934_/Q _57548_/B VGND VGND VPWR VPWR _57549_/C sky130_fd_sc_hd__nor2_2
+X_45562_ _71218_/A _45572_/B VGND VGND VPWR VPWR _45563_/C sky130_fd_sc_hd__nor2_2
+XPHY_38045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76382_ _76389_/A _76382_/B _68056_/A VGND VGND VPWR VPWR _76383_/B sky130_fd_sc_hd__nand3_2
+XFILLER_385_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42774_ _42774_/A VGND VGND VPWR VPWR _65024_/A sky130_fd_sc_hd__inv_8
+XFILLER_94_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_248_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73594_ _73591_/X _73593_/Y VGND VGND VPWR VPWR _81144_/D sky130_fd_sc_hd__nand2_2
+XFILLER_79_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_183_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47301_ _49363_/A VGND VGND VPWR VPWR _49331_/A sky130_fd_sc_hd__buf_1
+XFILLER_166_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_699_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78121_ _78121_/CLK _50262_/Y VGND VGND VPWR VPWR _62391_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_406_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44513_ _44370_/A _44526_/A _44513_/C VGND VGND VPWR VPWR _44519_/A sky130_fd_sc_hd__and3_2
+XPHY_5596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75333_ _75213_/A _75317_/X VGND VGND VPWR VPWR _75335_/A sky130_fd_sc_hd__or2_2
+XFILLER_233_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41725_ _41725_/A _41690_/Y _41725_/C _45309_/B VGND VGND VPWR VPWR _41726_/A sky130_fd_sc_hd__or4_2
+XFILLER_148_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48281_ _48163_/X _48281_/B VGND VGND VPWR VPWR _48282_/B sky130_fd_sc_hd__or2_2
+XFILLER_404_3071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72545_ _72563_/A VGND VGND VPWR VPWR _72550_/A sky130_fd_sc_hd__buf_1
+XPHY_19987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_83_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45493_ _45477_/A _45490_/Y _45492_/Y VGND VGND VPWR VPWR _45493_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57479_ _57561_/A _57479_/B _57478_/Y VGND VGND VPWR VPWR _57479_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_17_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47232_ _47232_/A _42287_/B VGND VGND VPWR VPWR _47232_/X sky130_fd_sc_hd__and2_2
+XFILLER_207_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59218_ _53432_/A _59218_/B VGND VGND VPWR VPWR _59222_/B sky130_fd_sc_hd__nor2_2
+XFILLER_226_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78052_ _78044_/CLK _78052_/D VGND VGND VPWR VPWR _62817_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_199_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44444_ _44569_/A VGND VGND VPWR VPWR _44503_/B sky130_fd_sc_hd__buf_1
+XFILLER_16_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75264_ _75384_/A _75256_/B VGND VGND VPWR VPWR _75266_/A sky130_fd_sc_hd__or2_2
+XFILLER_242_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_638_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41656_ wbs_adr_i[11] wbs_adr_i[10] wbs_adr_i[8] VGND VGND VPWR VPWR _42406_/D sky130_fd_sc_hd__or3_2
+XPHY_36654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60490_ _60331_/A _60488_/Y _60490_/C VGND VGND VPWR VPWR _60497_/B sky130_fd_sc_hd__nor3_2
+XPHY_37399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72476_ _72476_/A _72475_/Y VGND VGND VPWR VPWR _81421_/D sky130_fd_sc_hd__nand2_2
+XFILLER_359_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_32_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_144_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_701_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77003_ _76998_/CLK _54497_/Y VGND VGND VPWR VPWR _77003_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_341_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74215_ _74215_/A _74215_/B VGND VGND VPWR VPWR _80992_/D sky130_fd_sc_hd__nand2_2
+XFILLER_347_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40607_ _40552_/A VGND VGND VPWR VPWR _40615_/B sky130_fd_sc_hd__buf_1
+XFILLER_594_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59149_ _59149_/A _59720_/B VGND VGND VPWR VPWR _59149_/Y sky130_fd_sc_hd__nor2_2
+X_71427_ _71427_/A _71427_/B _71426_/X VGND VGND VPWR VPWR _71427_/Y sky130_fd_sc_hd__nor3_2
+X_47163_ _47119_/Y VGND VGND VPWR VPWR _47167_/A sky130_fd_sc_hd__inv_8
+XFILLER_393_339 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44375_ _44389_/A _44377_/A VGND VGND VPWR VPWR _44375_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_714_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75195_ _75206_/A VGND VGND VPWR VPWR _75234_/A sky130_fd_sc_hd__buf_1
+XPHY_26175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41587_ _41093_/X _41582_/X VGND VGND VPWR VPWR _41589_/A sky130_fd_sc_hd__or2_2
+XPHY_35964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_536_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_26197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_220_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46114_ _46114_/A _78961_/Q VGND VGND VPWR VPWR _46116_/A sky130_fd_sc_hd__or2_2
+XPHY_35986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_717_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43326_ _43333_/A _43337_/B _57208_/A VGND VGND VPWR VPWR _43327_/B sky130_fd_sc_hd__nand3_2
+XFILLER_646_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62160_ _78176_/Q _62309_/B VGND VGND VPWR VPWR _62161_/C sky130_fd_sc_hd__nor2_2
+XFILLER_294_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74146_ _76213_/A VGND VGND VPWR VPWR _74147_/A sky130_fd_sc_hd__buf_1
+XFILLER_298_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_554_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40538_ _40538_/A VGND VGND VPWR VPWR _40554_/A sky130_fd_sc_hd__buf_1
+XPHY_25474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47094_ _47086_/A _46834_/A _47094_/C VGND VGND VPWR VPWR _47094_/X sky130_fd_sc_hd__and3_2
+X_71358_ _71352_/X _71358_/B _71355_/Y _71357_/Y VGND VGND VPWR VPWR _71358_/X sky130_fd_sc_hd__or4_2
+XFILLER_636_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_589_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_370_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61111_ _59063_/X VGND VGND VPWR VPWR _61111_/X sky130_fd_sc_hd__buf_1
+XPHY_24762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46045_ _69831_/A _42428_/D VGND VGND VPWR VPWR _55925_/B sky130_fd_sc_hd__or2_2
+XFILLER_103_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70309_ _70306_/Y _70164_/A _70165_/A _70308_/X VGND VGND VPWR VPWR _70309_/X sky130_fd_sc_hd__o22a_4
+XFILLER_590_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_218_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43257_ _43257_/A VGND VGND VPWR VPWR _43258_/B sky130_fd_sc_hd__buf_1
+XFILLER_126_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62091_ _62091_/A VGND VGND VPWR VPWR _62251_/A sky130_fd_sc_hd__buf_1
+XFILLER_361_225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74077_ _74067_/A _74073_/B _81022_/Q VGND VGND VPWR VPWR _74077_/Y sky130_fd_sc_hd__nand3_2
+X_78954_ _78897_/CLK _78954_/D VGND VGND VPWR VPWR _78954_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_554_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40469_ _39202_/A VGND VGND VPWR VPWR _40601_/A sky130_fd_sc_hd__buf_1
+XFILLER_504_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_417_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71289_ _71289_/A _71006_/Y VGND VGND VPWR VPWR _71289_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_343_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_279_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_515_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_103_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42208_ _42207_/Y _42036_/B VGND VGND VPWR VPWR _42209_/B sky130_fd_sc_hd__nor2_2
+XPHY_14283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61042_ _61042_/A _61041_/Y VGND VGND VPWR VPWR _61043_/B sky130_fd_sc_hd__nor2_2
+XFILLER_103_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73028_ _73082_/A VGND VGND VPWR VPWR _73028_/X sky130_fd_sc_hd__buf_1
+X_77905_ _78400_/CLK _77905_/D VGND VGND VPWR VPWR _51070_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_201_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_303_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_411_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43188_ _46176_/B VGND VGND VPWR VPWR _46174_/B sky130_fd_sc_hd__inv_8
+XFILLER_370_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_141_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78885_ _78890_/CLK _78885_/D VGND VGND VPWR VPWR _46419_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_99_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_138_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_550_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_107_0_u_core.clock clkbuf_6_53_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_214_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_114_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_335_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_218_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49804_ _49804_/A VGND VGND VPWR VPWR _49839_/A sky130_fd_sc_hd__buf_1
+XFILLER_330_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42139_ _69719_/A _42139_/B VGND VGND VPWR VPWR _42139_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_8_167_0_u_core.clock clkbuf_7_83_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_335_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_65850_ _80087_/Q _66185_/B VGND VGND VPWR VPWR _65851_/C sky130_fd_sc_hd__nor2_2
+X_77836_ _77836_/CLK _51343_/Y VGND VGND VPWR VPWR _61535_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_585_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_515_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_190_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47996_ _78704_/Q _47977_/X VGND VGND VPWR VPWR _47996_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_29_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64801_ _64801_/A _64799_/Y _64801_/C VGND VGND VPWR VPWR _64802_/C sky130_fd_sc_hd__nor3_2
+XPHY_12892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49735_ _53557_/A _49679_/B VGND VGND VPWR VPWR _49738_/A sky130_fd_sc_hd__or2_2
+XPHY_30260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_548_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_68_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46947_ _46947_/A _46932_/B VGND VGND VPWR VPWR _46947_/Y sky130_fd_sc_hd__nor2_2
+X_65781_ _72801_/C _65626_/B VGND VGND VPWR VPWR _65783_/B sky130_fd_sc_hd__nor2_2
+X_77767_ _77741_/CLK _77767_/D VGND VGND VPWR VPWR _77767_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_190_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_231_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74979_ _74979_/A _74978_/X VGND VGND VPWR VPWR _80806_/D sky130_fd_sc_hd__nand2_2
+X_62993_ _63462_/A _62989_/Y _62993_/C VGND VGND VPWR VPWR _62993_/Y sky130_fd_sc_hd__nor3_2
+XPHY_30282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67520_ _67520_/A _67033_/B VGND VGND VPWR VPWR _67520_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_472_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79506_ _79506_/CLK _42178_/Y VGND VGND VPWR VPWR _71042_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_725_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64732_ _65065_/A _64732_/B _64731_/Y VGND VGND VPWR VPWR _64733_/C sky130_fd_sc_hd__nor3_2
+X_76718_ _76677_/CLK _76718_/D VGND VGND VPWR VPWR _69655_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61944_ _61944_/A _61323_/B VGND VGND VPWR VPWR _61944_/Y sky130_fd_sc_hd__nor2_2
+X_49666_ _49663_/Y _49665_/X VGND VGND VPWR VPWR _78280_/D sky130_fd_sc_hd__nand2_2
+XFILLER_256_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_267_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46878_ _78936_/Q _46878_/B VGND VGND VPWR VPWR _46880_/B sky130_fd_sc_hd__nor2_2
+XFILLER_256_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_231_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77698_ _77193_/CLK _77698_/D VGND VGND VPWR VPWR _77698_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_490_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_472_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_416_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_706_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_67_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_348_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48617_ _48927_/A VGND VGND VPWR VPWR _48711_/A sky130_fd_sc_hd__buf_1
+XFILLER_282_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_83_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67451_ _66786_/X _67451_/B _67450_/Y VGND VGND VPWR VPWR _67451_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_472_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79437_ _79431_/CLK _42911_/Y VGND VGND VPWR VPWR _58394_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_168_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45829_ _45764_/Y _45791_/X VGND VGND VPWR VPWR _45832_/A sky130_fd_sc_hd__nor2_2
+X_64663_ _64365_/A _64663_/B _64663_/C VGND VGND VPWR VPWR _64663_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_561_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76649_ _76651_/CLK _56825_/Y VGND VGND VPWR VPWR _76649_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_702_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61875_ _61875_/A _61553_/B VGND VGND VPWR VPWR _61875_/Y sky130_fd_sc_hd__nor2_2
+X_49597_ _49594_/Y _49597_/B VGND VGND VPWR VPWR _78298_/D sky130_fd_sc_hd__nand2_2
+XFILLER_227_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_48_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_526_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_444_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66402_ _80475_/Q _66724_/B VGND VGND VPWR VPWR _66402_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_428_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63614_ _47992_/A _63321_/B VGND VGND VPWR VPWR _63616_/B sky130_fd_sc_hd__nor2_2
+X_39350_ _39346_/A _39366_/B _56852_/A VGND VGND VPWR VPWR _39351_/B sky130_fd_sc_hd__nand3_2
+XFILLER_149_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_381_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60826_ _60826_/A VGND VGND VPWR VPWR _61301_/B sky130_fd_sc_hd__buf_1
+XFILLER_266_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48548_ _48451_/X _48547_/X VGND VGND VPWR VPWR _48551_/A sky130_fd_sc_hd__or2_2
+XFILLER_244_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67382_ _66559_/A VGND VGND VPWR VPWR _67383_/B sky130_fd_sc_hd__buf_1
+X_79368_ _79368_/CLK _79368_/D VGND VGND VPWR VPWR _69492_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_36_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_463_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_224_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_236_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64594_ _64594_/A VGND VGND VPWR VPWR _66908_/A sky130_fd_sc_hd__buf_1
+XFILLER_526_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_97_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_169_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38301_ _38301_/A _38301_/B VGND VGND VPWR VPWR _38301_/Y sky130_fd_sc_hd__nand2_2
+X_69121_ _69121_/A _68821_/X VGND VGND VPWR VPWR _69121_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_329_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_444_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66333_ _66333_/A VGND VGND VPWR VPWR _66828_/A sky130_fd_sc_hd__buf_1
+XFILLER_307_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78319_ _78301_/CLK _78319_/D VGND VGND VPWR VPWR _62131_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_602_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39281_ _39288_/A _39292_/B _80168_/Q VGND VGND VPWR VPWR _39282_/B sky130_fd_sc_hd__nand3_2
+XFILLER_36_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63545_ _78481_/Q _58995_/B VGND VGND VPWR VPWR _63547_/B sky130_fd_sc_hd__nor2_2
+XFILLER_63_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_561_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60757_ _60757_/A _60611_/B VGND VGND VPWR VPWR _60757_/Y sky130_fd_sc_hd__nor2_2
+X_48479_ _48478_/X _48466_/X VGND VGND VPWR VPWR _48479_/X sky130_fd_sc_hd__or2_2
+XFILLER_51_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79299_ _79175_/CLK _79299_/D VGND VGND VPWR VPWR _43681_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_75_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_330_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_369_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_479_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_412_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38232_ _76075_/A _38225_/X VGND VGND VPWR VPWR _38232_/X sky130_fd_sc_hd__or2_2
+XFILLER_385_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50510_ _50510_/A _50510_/B VGND VGND VPWR VPWR _50510_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_479_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69052_ _69330_/A _69052_/B _69051_/Y VGND VGND VPWR VPWR _69053_/C sky130_fd_sc_hd__nor3_2
+X_81330_ _81330_/CLK _81330_/D VGND VGND VPWR VPWR _81330_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_307_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_691_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66264_ _66103_/A _66261_/Y _66263_/Y VGND VGND VPWR VPWR _66268_/B sky130_fd_sc_hd__nor3_2
+XFILLER_385_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51490_ _77798_/Q _51490_/B VGND VGND VPWR VPWR _51490_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_264_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63476_ _78704_/Q _63321_/B VGND VGND VPWR VPWR _63478_/B sky130_fd_sc_hd__nor2_2
+XFILLER_522_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_177_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60688_ _60688_/A _60688_/B VGND VGND VPWR VPWR _60688_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_694_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_676_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_422_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_637_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_577_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68003_ _68003_/A VGND VGND VPWR VPWR _68004_/B sky130_fd_sc_hd__buf_1
+XFILLER_14_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_539_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65215_ _80628_/Q _65714_/B VGND VGND VPWR VPWR _65217_/B sky130_fd_sc_hd__nor2_2
+XFILLER_397_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_573_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62427_ _62409_/X _62427_/B _62427_/C VGND VGND VPWR VPWR _62427_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_105_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50441_ _50441_/A _50441_/B VGND VGND VPWR VPWR _50441_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_539_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_526_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81261_ _81211_/CLK _81261_/D VGND VGND VPWR VPWR _73117_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_52_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_590_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66195_ _65364_/X VGND VGND VPWR VPWR _66683_/B sky130_fd_sc_hd__buf_1
+XFILLER_69_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_225_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_672_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_17_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_637_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80212_ _80226_/CLK _80212_/D VGND VGND VPWR VPWR _80212_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_556_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53160_ _53178_/A _53204_/A VGND VGND VPWR VPWR _53162_/A sky130_fd_sc_hd__or2_2
+X_65146_ _81299_/Q _65315_/B VGND VGND VPWR VPWR _65147_/C sky130_fd_sc_hd__nor2_2
+Xclkbuf_9_95_0_u_core.clock clkbuf_9_95_0_u_core.clock/A VGND VGND VPWR VPWR _78384_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_615_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50372_ _50372_/A VGND VGND VPWR VPWR _50372_/X sky130_fd_sc_hd__buf_1
+XFILLER_555_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62358_ _62049_/X _62358_/B _62357_/Y VGND VGND VPWR VPWR _62358_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_393_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81192_ _81228_/CLK _81192_/D VGND VGND VPWR VPWR _81192_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_177_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_699_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_492_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_88_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_409_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_352_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52111_ _52111_/A _52111_/B VGND VGND VPWR VPWR _52111_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_34_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61309_ _60838_/A _61309_/B _61309_/C VGND VGND VPWR VPWR _61314_/B sky130_fd_sc_hd__nor3_2
+XFILLER_435_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80143_ _80144_/CLK _80143_/D VGND VGND VPWR VPWR _39372_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_30_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_702_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65077_ _64541_/A _65077_/B _65077_/C VGND VGND VPWR VPWR _65077_/Y sky130_fd_sc_hd__nor3_2
+X_53091_ _53063_/A _53103_/B VGND VGND VPWR VPWR _53092_/B sky130_fd_sc_hd__or2_2
+XFILLER_195_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69954_ _69954_/A VGND VGND VPWR VPWR _70463_/C sky130_fd_sc_hd__buf_1
+XFILLER_164_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_555_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62289_ _61670_/A _62289_/B _62289_/C VGND VGND VPWR VPWR _62290_/B sky130_fd_sc_hd__nor3_2
+XFILLER_277_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_553_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_306_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_434_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68905_ _68905_/A _68905_/B _68904_/Y VGND VGND VPWR VPWR _68906_/C sky130_fd_sc_hd__nor3_2
+X_52042_ _52042_/A _52041_/X VGND VGND VPWR VPWR _77652_/D sky130_fd_sc_hd__nand2_2
+X_64028_ _64028_/A _64028_/B _64028_/C VGND VGND VPWR VPWR _64029_/C sky130_fd_sc_hd__nor3_2
+XFILLER_105_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_431_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_380_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_375_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80074_ _80104_/CLK _39634_/Y VGND VGND VPWR VPWR _68981_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_353_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69885_ _69654_/A _69841_/A VGND VGND VPWR VPWR _69888_/A sky130_fd_sc_hd__nor2_2
+XFILLER_706_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_711_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_293_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_232_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_666_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_216_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56850_ _57083_/A _56850_/B VGND VGND VPWR VPWR _56850_/Y sky130_fd_sc_hd__nor2_2
+X_68836_ _68497_/X _68834_/Y _68836_/C VGND VGND VPWR VPWR _68836_/Y sky130_fd_sc_hd__nor3_2
+XPHY_20709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38996_ _38484_/A _38995_/X VGND VGND VPWR VPWR _38996_/X sky130_fd_sc_hd__or2_2
+XFILLER_514_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_82_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_132_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_648_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55801_ _76772_/Q _55797_/B VGND VGND VPWR VPWR _55801_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_271_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_67_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_47_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_609_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56781_ _56781_/A _56622_/B VGND VGND VPWR VPWR _56781_/Y sky130_fd_sc_hd__nor2_2
+X_68767_ _69353_/A _68765_/Y _68766_/Y VGND VGND VPWR VPWR _68767_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_464_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53993_ _53934_/A _53987_/B VGND VGND VPWR VPWR _53993_/X sky130_fd_sc_hd__or2_2
+XFILLER_43_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65979_ _65808_/X _65976_/Y _65978_/Y VGND VGND VPWR VPWR _65979_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_25_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_3141 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_3074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_722_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58520_ _58520_/A _58793_/B VGND VGND VPWR VPWR _58521_/C sky130_fd_sc_hd__nor2_2
+XFILLER_28_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55732_ _55678_/A _55605_/B VGND VGND VPWR VPWR _55732_/X sky130_fd_sc_hd__or2_2
+XFILLER_648_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67718_ _75993_/C _67718_/B VGND VGND VPWR VPWR _67718_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_527_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_288_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_566_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52944_ _53028_/A _52965_/B VGND VGND VPWR VPWR _52945_/B sky130_fd_sc_hd__or2_2
+XFILLER_312_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68698_ _68530_/X _68698_/B _68697_/Y VGND VGND VPWR VPWR _68698_/Y sky130_fd_sc_hd__nor3_2
+X_80976_ _80259_/CLK _80976_/D VGND VGND VPWR VPWR _80976_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_247_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_652_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_644_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_636_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39617_ _39617_/A VGND VGND VPWR VPWR _39633_/B sky130_fd_sc_hd__buf_1
+X_58451_ _58451_/A _58451_/B _58450_/Y VGND VGND VPWR VPWR _58457_/B sky130_fd_sc_hd__nor3_2
+XFILLER_663_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55663_ _55659_/Y _55660_/Y _55663_/C VGND VGND VPWR VPWR _55663_/Y sky130_fd_sc_hd__nor3_2
+X_67649_ _79970_/Q _67649_/B VGND VGND VPWR VPWR _67650_/C sky130_fd_sc_hd__nor2_2
+XFILLER_629_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52875_ _52278_/X VGND VGND VPWR VPWR _52968_/B sky130_fd_sc_hd__buf_1
+XPHY_29729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_446_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57402_ _66677_/A _57651_/B VGND VGND VPWR VPWR _57404_/B sky130_fd_sc_hd__nor2_2
+XFILLER_167_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54614_ _54611_/Y _54614_/B VGND VGND VPWR VPWR _76972_/D sky130_fd_sc_hd__nand2_2
+XPHY_19228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_544_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51826_ _61595_/A _51840_/B VGND VGND VPWR VPWR _51829_/A sky130_fd_sc_hd__nand2_2
+X_39548_ _39546_/X _39547_/Y VGND VGND VPWR VPWR _39548_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_386_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58382_ _74305_/C _58472_/B VGND VGND VPWR VPWR _58383_/C sky130_fd_sc_hd__nor2_2
+X_70660_ _70724_/B _76651_/Q _70632_/C VGND VGND VPWR VPWR _70661_/B sky130_fd_sc_hd__and3_2
+XFILLER_562_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_423_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55594_ _55594_/A VGND VGND VPWR VPWR _55666_/B sky130_fd_sc_hd__buf_1
+XFILLER_611_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_445_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_388_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_215_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57333_ _57333_/A VGND VGND VPWR VPWR _57658_/A sky130_fd_sc_hd__buf_1
+XFILLER_632_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69319_ _69319_/A _68723_/B VGND VGND VPWR VPWR _69320_/C sky130_fd_sc_hd__nor2_2
+XFILLER_403_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54545_ _59341_/A _54541_/B VGND VGND VPWR VPWR _54545_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_208_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51757_ _51755_/Y _51757_/B VGND VGND VPWR VPWR _51757_/Y sky130_fd_sc_hd__nand2_2
+X_39479_ _39488_/A _39472_/B _65182_/A VGND VGND VPWR VPWR _39480_/B sky130_fd_sc_hd__nand3_2
+XPHY_17804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70591_ _70591_/A _70590_/X VGND VGND VPWR VPWR _70593_/A sky130_fd_sc_hd__nor2_2
+XFILLER_265_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_39_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_240_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_35_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41510_ _41517_/A _41394_/B _56371_/A VGND VGND VPWR VPWR _41510_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_243_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72330_ _72823_/A _72319_/B VGND VGND VPWR VPWR _72330_/X sky130_fd_sc_hd__or2_2
+XPHY_3457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_557_2900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50708_ _50695_/X VGND VGND VPWR VPWR _50725_/B sky130_fd_sc_hd__buf_1
+X_57264_ _57663_/A VGND VGND VPWR VPWR _57264_/X sky130_fd_sc_hd__buf_1
+XFILLER_348_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42490_ _42490_/A _42490_/B _42615_/C _42490_/D VGND VGND VPWR VPWR _42491_/C sky130_fd_sc_hd__nor4_2
+XFILLER_603_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54476_ _77008_/Q _54476_/B VGND VGND VPWR VPWR _54476_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_325_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_208_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51688_ _51688_/A _51676_/X VGND VGND VPWR VPWR _51690_/A sky130_fd_sc_hd__nand2_2
+XPHY_3479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_241_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59003_ _58946_/X VGND VGND VPWR VPWR _59338_/A sky130_fd_sc_hd__buf_1
+XFILLER_149_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56215_ _56214_/X VGND VGND VPWR VPWR _56258_/A sky130_fd_sc_hd__buf_1
+XFILLER_576_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41441_ _41441_/A _41440_/Y VGND VGND VPWR VPWR _79619_/D sky130_fd_sc_hd__nand2_2
+XFILLER_694_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53427_ _53457_/A _53421_/B VGND VGND VPWR VPWR _53427_/X sky130_fd_sc_hd__or2_2
+XFILLER_358_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_3_0_0_u_core.clock clkbuf_3_1_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_3_0_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_52_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72261_ _72289_/A VGND VGND VPWR VPWR _72279_/B sky130_fd_sc_hd__buf_1
+XFILLER_401_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50639_ _50638_/X VGND VGND VPWR VPWR _50651_/B sky130_fd_sc_hd__buf_1
+X_57195_ _56352_/A VGND VGND VPWR VPWR _57198_/A sky130_fd_sc_hd__buf_1
+XFILLER_204_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81459_ _81326_/CLK _72316_/Y VGND VGND VPWR VPWR _56702_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_32_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_690_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_298_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74000_ _74000_/A _73999_/Y VGND VGND VPWR VPWR _74000_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_180_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_557_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_439_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71212_ _71212_/A VGND VGND VPWR VPWR _71735_/A sky130_fd_sc_hd__buf_1
+XFILLER_87_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44160_ _44160_/A _44160_/B VGND VGND VPWR VPWR _44160_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_35_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56146_ _56152_/A _56146_/B _56146_/C VGND VGND VPWR VPWR wbs_dat_o[24] sky130_fd_sc_hd__nor3_2
+XFILLER_592_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41372_ _41369_/X _41372_/B VGND VGND VPWR VPWR _41372_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_655_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53358_ _53444_/A _53370_/B VGND VGND VPWR VPWR _53359_/B sky130_fd_sc_hd__or2_2
+XFILLER_319_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72192_ _72188_/X _72191_/Y VGND VGND VPWR VPWR _72192_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_637_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_633_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_551_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43111_ _46120_/B VGND VGND VPWR VPWR _43111_/Y sky130_fd_sc_hd__inv_8
+XFILLER_436_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40323_ _40321_/X _40323_/B VGND VGND VPWR VPWR _40323_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_505_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52309_ _52308_/X VGND VGND VPWR VPWR _52328_/B sky130_fd_sc_hd__buf_1
+XFILLER_688_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71143_ _71301_/A VGND VGND VPWR VPWR _71224_/A sky130_fd_sc_hd__buf_1
+XFILLER_196_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_572_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_636_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_108_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44091_ _43879_/A _44090_/X VGND VGND VPWR VPWR _79267_/D sky130_fd_sc_hd__nor2_2
+XFILLER_259_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56077_ _55269_/B _56082_/B VGND VGND VPWR VPWR _56078_/C sky130_fd_sc_hd__nor2_2
+XFILLER_155_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_456_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53289_ _53280_/A VGND VGND VPWR VPWR _53293_/B sky130_fd_sc_hd__buf_1
+XPHY_33869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_5_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_453_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_573_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43042_ _46752_/A VGND VGND VPWR VPWR _43042_/Y sky130_fd_sc_hd__inv_8
+XFILLER_87_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59905_ _77273_/Q _59905_/B VGND VGND VPWR VPWR _59907_/B sky130_fd_sc_hd__nor2_2
+X_55028_ _76858_/Q _55020_/X VGND VGND VPWR VPWR _55028_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_69_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40254_ _40254_/A _40362_/B VGND VGND VPWR VPWR _40254_/X sky130_fd_sc_hd__or2_2
+XFILLER_272_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_551_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75951_ _76057_/B VGND VGND VPWR VPWR _75967_/B sky130_fd_sc_hd__buf_1
+XFILLER_560_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71074_ _70875_/B _71074_/B VGND VGND VPWR VPWR _71074_/X sky130_fd_sc_hd__or2_2
+XFILLER_139_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_123_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_156_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74902_ _74899_/X _74902_/B VGND VGND VPWR VPWR _74902_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_313_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70025_ _70025_/A VGND VGND VPWR VPWR _70025_/X sky130_fd_sc_hd__buf_2
+XFILLER_513_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47850_ _47850_/A VGND VGND VPWR VPWR _47851_/A sky130_fd_sc_hd__buf_1
+XPHY_22678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59836_ _77041_/Q _59348_/B VGND VGND VPWR VPWR _59837_/C sky130_fd_sc_hd__nor2_2
+XPHY_11410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78670_ _78671_/CLK _78670_/D VGND VGND VPWR VPWR _63182_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_12155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40185_ _40185_/A _40184_/Y VGND VGND VPWR VPWR _79936_/D sky130_fd_sc_hd__nand2_2
+X_75882_ _75880_/X _75881_/Y VGND VGND VPWR VPWR _75882_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_498_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_118_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_686_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46801_ _46706_/B VGND VGND VPWR VPWR _46802_/B sky130_fd_sc_hd__inv_8
+XPHY_11443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77621_ _77629_/CLK _77621_/D VGND VGND VPWR VPWR _52147_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74833_ _74831_/X _74833_/B VGND VGND VPWR VPWR _80844_/D sky130_fd_sc_hd__nand2_2
+XFILLER_113_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_313_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_501_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_669_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47781_ _47781_/A _47763_/B VGND VGND VPWR VPWR _47783_/A sky130_fd_sc_hd__nand2_2
+XFILLER_172_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59767_ _59767_/A _59767_/B _59766_/Y VGND VGND VPWR VPWR _59767_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_111_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44993_ _44993_/A _45037_/A VGND VGND VPWR VPWR _44993_/X sky130_fd_sc_hd__or2_2
+XFILLER_296_368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56979_ _56819_/A _56979_/B _56978_/Y VGND VGND VPWR VPWR _56980_/C sky130_fd_sc_hd__nor3_2
+XPHY_21999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_457_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_469_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_310_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_627_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49520_ _51022_/A VGND VGND VPWR VPWR _49821_/A sky130_fd_sc_hd__buf_1
+XFILLER_500_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46732_ _43019_/B _46732_/B VGND VGND VPWR VPWR _46733_/C sky130_fd_sc_hd__nor2_2
+XPHY_11498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58718_ _39745_/C _56371_/B VGND VGND VPWR VPWR _58720_/B sky130_fd_sc_hd__nor2_2
+XFILLER_1_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77552_ _77558_/CLK _77552_/D VGND VGND VPWR VPWR _60959_/A sky130_fd_sc_hd__dfxtp_4
+X_43944_ _43944_/A _43944_/B VGND VGND VPWR VPWR _43952_/B sky130_fd_sc_hd__nor2_2
+XFILLER_365_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74764_ _74764_/A VGND VGND VPWR VPWR _75380_/A sky130_fd_sc_hd__buf_1
+XFILLER_277_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59698_ _59534_/A _59656_/Y _59697_/Y VGND VGND VPWR VPWR _59698_/Y sky130_fd_sc_hd__nor3_2
+X_71976_ _71973_/X _71975_/X VGND VGND VPWR VPWR _42786_/B sky130_fd_sc_hd__nand2_2
+XFILLER_238_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_608_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76503_ VGND VGND VPWR VPWR _76503_/HI io_out[33] sky130_fd_sc_hd__conb_1
+XFILLER_24_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49451_ _49451_/A _49450_/X VGND VGND VPWR VPWR _78334_/D sky130_fd_sc_hd__nand2_2
+X_73715_ _73642_/A VGND VGND VPWR VPWR _73722_/B sky130_fd_sc_hd__buf_1
+XFILLER_445_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58649_ _79948_/Q _58345_/X VGND VGND VPWR VPWR _58649_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_287_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46663_ _41833_/Y _46662_/X VGND VGND VPWR VPWR _46666_/B sky130_fd_sc_hd__nor2_2
+X_70927_ _70921_/Y _70927_/B VGND VGND VPWR VPWR _70927_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_482_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77483_ _77474_/CLK _52678_/Y VGND VGND VPWR VPWR _61363_/A sky130_fd_sc_hd__dfxtp_4
+X_43875_ _43875_/A _43875_/B VGND VGND VPWR VPWR _43875_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74695_ _75203_/A _74685_/X VGND VGND VPWR VPWR _74699_/A sky130_fd_sc_hd__or2_2
+XFILLER_545_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_209_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_441_3024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_426_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48402_ _48400_/Y _48401_/X VGND VGND VPWR VPWR _48402_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_64_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79222_ _81251_/CLK _79222_/D VGND VGND VPWR VPWR _79222_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_355_0_u_core.clock clkbuf_9_355_0_u_core.clock/A VGND VGND VPWR VPWR _77163_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_406_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45614_ _45538_/Y VGND VGND VPWR VPWR _45615_/B sky130_fd_sc_hd__buf_1
+X_76434_ _76430_/A _76426_/B _80439_/Q VGND VGND VPWR VPWR _76435_/B sky130_fd_sc_hd__nand3_2
+XFILLER_501_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42826_ _42825_/Y _41925_/X _41789_/Y _41953_/B VGND VGND VPWR VPWR _42826_/X sky130_fd_sc_hd__o22a_4
+XFILLER_226_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_480_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49382_ _49438_/A _49391_/B VGND VGND VPWR VPWR _49382_/X sky130_fd_sc_hd__or2_2
+X_61660_ _59688_/A VGND VGND VPWR VPWR _61665_/A sky130_fd_sc_hd__buf_1
+XFILLER_252_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73646_ _73646_/A _73655_/B VGND VGND VPWR VPWR _73646_/X sky130_fd_sc_hd__or2_2
+XFILLER_406_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46594_ _46563_/A _46590_/Y _46593_/Y VGND VGND VPWR VPWR _78995_/D sky130_fd_sc_hd__or3_2
+XFILLER_248_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70858_ _70857_/X VGND VGND VPWR VPWR _70939_/C sky130_fd_sc_hd__buf_1
+XFILLER_98_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_111_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48333_ _48828_/A _47569_/B _47422_/A VGND VGND VPWR VPWR _49254_/B sky130_fd_sc_hd__or3_2
+X_60611_ _77414_/Q _60611_/B VGND VGND VPWR VPWR _60612_/C sky130_fd_sc_hd__nor2_2
+XPHY_5382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79153_ _78301_/CLK _45471_/Y VGND VGND VPWR VPWR _69615_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_463_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45545_ _45545_/A _45545_/B _45544_/Y VGND VGND VPWR VPWR _45545_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_703_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76365_ _38246_/A _76373_/B VGND VGND VPWR VPWR _76369_/A sky130_fd_sc_hd__or2_2
+XFILLER_363_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42757_ _42752_/Y _42757_/B _42756_/X _42712_/D VGND VGND VPWR VPWR _42757_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_523_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61591_ _51705_/A _60974_/X VGND VGND VPWR VPWR _61591_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_441_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73577_ _73521_/A VGND VGND VPWR VPWR _73588_/B sky130_fd_sc_hd__buf_1
+XFILLER_422_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_307_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_261_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70789_ _70779_/X _70788_/B VGND VGND VPWR VPWR _70957_/B sky130_fd_sc_hd__nor2_2
+XFILLER_205_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_550 _43489_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_150_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_501_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_595_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78104_ _78102_/CLK _50329_/Y VGND VGND VPWR VPWR _62202_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63330_ _58991_/X VGND VGND VPWR VPWR _63333_/A sky130_fd_sc_hd__buf_1
+XFILLER_283_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75316_ _75326_/A VGND VGND VPWR VPWR _75372_/A sky130_fd_sc_hd__buf_1
+XFILLER_378_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41708_ wbs_adr_i[3] _41708_/B _41708_/C VGND VGND VPWR VPWR _41708_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_166_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60542_ _60542_/A _60542_/B VGND VGND VPWR VPWR _60545_/B sky130_fd_sc_hd__nor2_2
+XFILLER_324_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_37_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72528_ _72564_/A VGND VGND VPWR VPWR _72542_/B sky130_fd_sc_hd__buf_1
+X_48264_ _78635_/Q _48259_/X VGND VGND VPWR VPWR _48264_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_421_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79084_ _79051_/CLK _79084_/D VGND VGND VPWR VPWR _45868_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_695_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45476_ _45476_/A _45218_/B _45476_/C VGND VGND VPWR VPWR _45476_/Y sky130_fd_sc_hd__nor3_2
+XPHY_37185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76296_ _76294_/X _76295_/Y VGND VGND VPWR VPWR _80476_/D sky130_fd_sc_hd__nand2_2
+X_42688_ _42688_/A VGND VGND VPWR VPWR _66376_/A sky130_fd_sc_hd__inv_8
+XFILLER_610_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47215_ _47167_/B VGND VGND VPWR VPWR _47216_/C sky130_fd_sc_hd__buf_1
+XFILLER_226_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_555_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78035_ _78044_/CLK _78035_/D VGND VGND VPWR VPWR _62656_/A sky130_fd_sc_hd__dfxtp_4
+X_44427_ _44278_/A _44427_/B VGND VGND VPWR VPWR _44427_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_676_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63261_ _63261_/A _62795_/B VGND VGND VPWR VPWR _63261_/Y sky130_fd_sc_hd__nor2_2
+X_75247_ _75247_/A _75247_/B _80734_/Q VGND VGND VPWR VPWR _75247_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_359_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_441_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41639_ _79410_/Q VGND VGND VPWR VPWR _41666_/A sky130_fd_sc_hd__inv_8
+XFILLER_109_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48195_ _48193_/Y _48194_/X VGND VGND VPWR VPWR _78654_/D sky130_fd_sc_hd__nand2_2
+XFILLER_320_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60473_ _60473_/A _60472_/X VGND VGND VPWR VPWR _60475_/B sky130_fd_sc_hd__nor2_2
+X_72459_ _72823_/A _72453_/B VGND VGND VPWR VPWR _72459_/X sky130_fd_sc_hd__or2_2
+XFILLER_536_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_394_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_229_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65000_ _65177_/A _65000_/B _64999_/Y VGND VGND VPWR VPWR _65000_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_320_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_439_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62212_ _62049_/X _62212_/B _62211_/Y VGND VGND VPWR VPWR _62212_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_709_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_657_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47146_ _47146_/A _47149_/B _47146_/C VGND VGND VPWR VPWR _47146_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_654_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_372_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44358_ _44612_/A _44612_/B VGND VGND VPWR VPWR _44359_/B sky130_fd_sc_hd__nand2_2
+XFILLER_9_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63192_ _78766_/Q _63037_/B VGND VGND VPWR VPWR _63194_/B sky130_fd_sc_hd__nor2_2
+X_75178_ _74815_/A _75172_/B VGND VGND VPWR VPWR _75180_/A sky130_fd_sc_hd__or2_2
+XFILLER_359_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_35794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_454_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_400_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_274_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_105_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_390_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_375_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_307_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62143_ _62143_/A _61986_/X VGND VGND VPWR VPWR _62143_/Y sky130_fd_sc_hd__nor2_2
+X_43309_ _43309_/A _43309_/B VGND VGND VPWR VPWR _79384_/D sky130_fd_sc_hd__nand2_2
+XFILLER_572_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74129_ _74127_/X _74129_/B VGND VGND VPWR VPWR _74129_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_31_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47077_ _47046_/X _72020_/B _71957_/B _46835_/X VGND VGND VPWR VPWR _47078_/B sky130_fd_sc_hd__o22a_4
+XFILLER_651_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44289_ _44036_/B _44288_/X VGND VGND VPWR VPWR _44290_/C sky130_fd_sc_hd__nor2_2
+XFILLER_66_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79986_ _79902_/CLK _39996_/Y VGND VGND VPWR VPWR _39995_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_556_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_535_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_533_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46028_ _46028_/A _46028_/B VGND VGND VPWR VPWR _46029_/A sky130_fd_sc_hd__nor2_2
+XFILLER_127_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62074_ _61916_/A _62074_/B _62074_/C VGND VGND VPWR VPWR _62074_/Y sky130_fd_sc_hd__nor3_2
+X_66951_ _66951_/A _66613_/X VGND VGND VPWR VPWR _66953_/B sky130_fd_sc_hd__nor2_2
+XPHY_14080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_689_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78937_ _79479_/CLK _46874_/Y VGND VGND VPWR VPWR _78937_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_173_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_452_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_610_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65902_ _80504_/Q _66403_/B VGND VGND VPWR VPWR _65903_/C sky130_fd_sc_hd__nor2_2
+XFILLER_488_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61025_ _60865_/A _61013_/Y _61025_/C VGND VGND VPWR VPWR _61042_/A sky130_fd_sc_hd__nor3_2
+XFILLER_173_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_192_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38850_ _38604_/A _38850_/B VGND VGND VPWR VPWR _38850_/X sky130_fd_sc_hd__or2_2
+XFILLER_315_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69670_ _69670_/A _69669_/Y VGND VGND VPWR VPWR _69670_/X sky130_fd_sc_hd__or2_2
+XFILLER_302_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_142_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66882_ _67043_/A _66882_/B _66882_/C VGND VGND VPWR VPWR _66893_/B sky130_fd_sc_hd__nor3_2
+XPHY_13390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78868_ _78405_/CLK _78868_/D VGND VGND VPWR VPWR _64050_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_638_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_86_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_610_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_589_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68621_ _66152_/A VGND VGND VPWR VPWR _68621_/X sky130_fd_sc_hd__buf_1
+XFILLER_64_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65833_ _79927_/Q _66498_/B VGND VGND VPWR VPWR _65833_/Y sky130_fd_sc_hd__nor2_2
+X_77819_ _77811_/CLK _77819_/D VGND VGND VPWR VPWR _61502_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_60_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_693_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38781_ _38779_/X _38780_/Y VGND VGND VPWR VPWR _38781_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_335_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_645_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_302_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47979_ _47979_/A VGND VGND VPWR VPWR _48018_/A sky130_fd_sc_hd__buf_1
+XFILLER_413_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78799_ _78284_/CLK _47622_/Y VGND VGND VPWR VPWR _63363_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_653_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_468_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_253_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_233_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49718_ _49690_/A _49730_/B VGND VGND VPWR VPWR _49718_/X sky130_fd_sc_hd__or2_2
+X_80830_ _80862_/CLK _80830_/D VGND VGND VPWR VPWR _66885_/A sky130_fd_sc_hd__dfxtp_4
+X_68552_ _66083_/A VGND VGND VPWR VPWR _68557_/A sky130_fd_sc_hd__buf_1
+XPHY_30090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65764_ _64913_/A VGND VGND VPWR VPWR _65765_/B sky130_fd_sc_hd__buf_1
+XFILLER_645_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_348_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50990_ _61683_/A _50987_/B VGND VGND VPWR VPWR _50992_/A sky130_fd_sc_hd__nand2_2
+XFILLER_68_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_229_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62976_ _48604_/A _62337_/X VGND VGND VPWR VPWR _62977_/C sky130_fd_sc_hd__nor2_2
+XFILLER_634_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_383_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_211_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_268_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67503_ _80289_/Q _67184_/B VGND VGND VPWR VPWR _67505_/B sky130_fd_sc_hd__nor2_2
+XFILLER_618_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64715_ _64715_/A _64465_/X VGND VGND VPWR VPWR _64715_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_151_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61927_ _61612_/A _61925_/Y _61927_/C VGND VGND VPWR VPWR _61927_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_383_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80761_ _80766_/CLK _80761_/D VGND VGND VPWR VPWR _75145_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_605_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49649_ _49645_/Y _49649_/B VGND VGND VPWR VPWR _78284_/D sky130_fd_sc_hd__nand2_2
+XFILLER_42_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68483_ _67994_/A _68479_/Y _68482_/Y VGND VGND VPWR VPWR _68483_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_721_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65695_ _66518_/A VGND VGND VPWR VPWR _65695_/X sky130_fd_sc_hd__buf_1
+XFILLER_604_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39402_ _39399_/A _39413_/B _80136_/Q VGND VGND VPWR VPWR _39402_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_460_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67434_ _67434_/A _67434_/B _67433_/Y VGND VGND VPWR VPWR _67514_/B sky130_fd_sc_hd__nor3_2
+XFILLER_77_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52660_ _52660_/A _52660_/B VGND VGND VPWR VPWR _52660_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_633_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64646_ _80592_/Q _64340_/B VGND VGND VPWR VPWR _64646_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_3_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_75_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61858_ _61700_/A _61858_/B _61857_/Y VGND VGND VPWR VPWR _61862_/B sky130_fd_sc_hd__nor3_2
+X_80692_ _80725_/CLK _80692_/D VGND VGND VPWR VPWR _75404_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_23_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39333_ _39330_/X _39332_/Y VGND VGND VPWR VPWR _39333_/Y sky130_fd_sc_hd__nand2_2
+X_51611_ _51609_/Y _51611_/B VGND VGND VPWR VPWR _77767_/D sky130_fd_sc_hd__nand2_2
+XFILLER_58_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_307_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60809_ _60809_/A _60187_/B VGND VGND VPWR VPWR _60809_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_678_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67365_ _66881_/A _67365_/B _67364_/Y VGND VGND VPWR VPWR _67365_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_398_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_36_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_586_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64577_ _64553_/A VGND VGND VPWR VPWR _64577_/X sky130_fd_sc_hd__buf_1
+XFILLER_361_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52591_ _61085_/A _52579_/X VGND VGND VPWR VPWR _52591_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_247_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_184_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61789_ _61318_/X _61789_/B _61788_/Y VGND VGND VPWR VPWR _61794_/B sky130_fd_sc_hd__nor3_2
+XFILLER_224_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_412_240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69104_ _68801_/A _69104_/B VGND VGND VPWR VPWR _69104_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_266_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_440_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_620_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66316_ _57278_/A _66316_/B VGND VGND VPWR VPWR _66316_/Y sky130_fd_sc_hd__nor2_2
+X_54330_ _59527_/A _54315_/B VGND VGND VPWR VPWR _54332_/A sky130_fd_sc_hd__nand2_2
+XFILLER_169_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39264_ _39262_/X _39264_/B VGND VGND VPWR VPWR _39264_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_440_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51542_ _51542_/A _51527_/X VGND VGND VPWR VPWR _51544_/A sky130_fd_sc_hd__nand2_2
+XPHY_2019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63528_ _63659_/A _63528_/B _63528_/C VGND VGND VPWR VPWR _63529_/C sky130_fd_sc_hd__nor3_2
+XFILLER_519_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_440_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67296_ _67296_/A _66798_/X VGND VGND VPWR VPWR _67296_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_23_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_397_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_424_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_212_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_498_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_479_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38215_ _76333_/A _38212_/B VGND VGND VPWR VPWR _38217_/A sky130_fd_sc_hd__or2_2
+XFILLER_369_188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69035_ _68557_/A _69033_/Y _69035_/C VGND VGND VPWR VPWR _69036_/C sky130_fd_sc_hd__nor3_2
+XFILLER_558_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81313_ _81306_/CLK _81313_/D VGND VGND VPWR VPWR _81313_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_377_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_51_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54261_ _60170_/A _54271_/B VGND VGND VPWR VPWR _54263_/A sky130_fd_sc_hd__nand2_2
+XFILLER_279_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66247_ _66412_/A _66243_/Y _66247_/C VGND VGND VPWR VPWR _66247_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_303_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_500_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39195_ _39195_/A _39195_/B _66521_/A VGND VGND VPWR VPWR _39195_/Y sky130_fd_sc_hd__nand3_2
+X_51473_ _51470_/Y _51472_/X VGND VGND VPWR VPWR _51473_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_338_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63459_ _48564_/A _62835_/X VGND VGND VPWR VPWR _63461_/B sky130_fd_sc_hd__nor2_2
+XFILLER_494_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_244_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_32_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56000_ _55670_/B _55987_/B VGND VGND VPWR VPWR _56000_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_177_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53212_ _77343_/Q _53218_/B VGND VGND VPWR VPWR _53212_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_23_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_672_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50424_ _50424_/A _50423_/X VGND VGND VPWR VPWR _78079_/D sky130_fd_sc_hd__nand2_2
+XFILLER_149_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81244_ _81273_/CLK _81244_/D VGND VGND VPWR VPWR _66596_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_573_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54192_ _54201_/A VGND VGND VPWR VPWR _54207_/B sky130_fd_sc_hd__buf_1
+X_66178_ _66178_/A _66345_/B VGND VGND VPWR VPWR _66180_/B sky130_fd_sc_hd__nor2_2
+XFILLER_105_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_365_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_703_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53143_ _54967_/A VGND VGND VPWR VPWR _53225_/A sky130_fd_sc_hd__buf_1
+XFILLER_164_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65129_ _56728_/A _65129_/B VGND VGND VPWR VPWR _65129_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_516_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50355_ _50355_/A _50341_/B VGND VGND VPWR VPWR _50355_/Y sky130_fd_sc_hd__nand2_2
+X_81175_ _81206_/CLK _73476_/Y VGND VGND VPWR VPWR _81175_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_326_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_394_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_314_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_238_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80126_ _80157_/CLK _80126_/D VGND VGND VPWR VPWR _80126_/Q sky130_fd_sc_hd__dfxtp_4
+X_53074_ _60688_/A _53077_/B VGND VGND VPWR VPWR _53076_/A sky130_fd_sc_hd__nand2_2
+X_57951_ _57951_/A VGND VGND VPWR VPWR _58033_/B sky130_fd_sc_hd__buf_1
+XFILLER_82_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_435_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69937_ _69936_/X VGND VGND VPWR VPWR _69937_/X sky130_fd_sc_hd__buf_1
+XFILLER_152_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_30_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50286_ _50283_/X VGND VGND VPWR VPWR _50308_/B sky130_fd_sc_hd__buf_1
+XFILLER_105_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_322_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_587_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_516_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_687_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_258_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52025_ _77655_/Q _52025_/B VGND VGND VPWR VPWR _52028_/A sky130_fd_sc_hd__nand2_2
+X_56902_ _65479_/A _56816_/B VGND VGND VPWR VPWR _56904_/B sky130_fd_sc_hd__nor2_2
+XPHY_9626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80057_ _80095_/CLK _80057_/D VGND VGND VPWR VPWR _80057_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_293_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57882_ _58281_/A VGND VGND VPWR VPWR _57970_/A sky130_fd_sc_hd__buf_1
+XFILLER_134_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69868_ _69868_/A _71145_/B VGND VGND VPWR VPWR _69868_/X sky130_fd_sc_hd__and2_2
+XPHY_9648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59621_ _59621_/A VGND VGND VPWR VPWR _60108_/B sky130_fd_sc_hd__buf_1
+XFILLER_156_1478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56833_ _56833_/A _56831_/Y _56833_/C VGND VGND VPWR VPWR _56834_/C sky130_fd_sc_hd__nor3_2
+X_68819_ _67172_/A VGND VGND VPWR VPWR _68981_/B sky130_fd_sc_hd__buf_1
+XPHY_20539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38979_ _38986_/A _38979_/B _80239_/Q VGND VGND VPWR VPWR _38980_/B sky130_fd_sc_hd__nand3_2
+XPHY_10027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69799_ _69792_/X _69798_/X VGND VGND VPWR VPWR _69799_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_439_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_2_0_2_u_core.clock clkbuf_2_0_2_u_core.clock/A VGND VGND VPWR VPWR clkbuf_2_0_3_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_8969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59552_ _59392_/A _59552_/B _59552_/C VGND VGND VPWR VPWR _59568_/A sky130_fd_sc_hd__nor3_2
+XFILLER_494_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71830_ _71828_/X _71830_/B VGND VGND VPWR VPWR _71830_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_448_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56764_ _39714_/C _56764_/B VGND VGND VPWR VPWR _56764_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_726_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_429_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_511_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53976_ _52065_/A _53912_/B VGND VGND VPWR VPWR _53976_/X sky130_fd_sc_hd__or2_2
+XFILLER_43_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41990_ _45800_/A VGND VGND VPWR VPWR _41990_/Y sky130_fd_sc_hd__inv_8
+XFILLER_214_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_602_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58503_ _58645_/A _58502_/Y VGND VGND VPWR VPWR _58503_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_41_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_707_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55715_ _55589_/X _55714_/X VGND VGND VPWR VPWR _55715_/X sky130_fd_sc_hd__and2_2
+XFILLER_246_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_112_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40941_ _40441_/A _40932_/X VGND VGND VPWR VPWR _40944_/A sky130_fd_sc_hd__or2_2
+XPHY_29504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52927_ _52927_/A _52926_/X VGND VGND VPWR VPWR _52927_/Y sky130_fd_sc_hd__nand2_2
+X_59483_ _59643_/A _59480_/Y _59482_/Y VGND VGND VPWR VPWR _59483_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_382_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71761_ _70598_/X _71760_/X VGND VGND VPWR VPWR _71761_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_366_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80959_ _80923_/CLK _80959_/D VGND VGND VPWR VPWR _74340_/C sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_2_3_1_u_core.clock clkbuf_2_3_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_2_3_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_56695_ _56695_/A _56695_/B _56695_/C VGND VGND VPWR VPWR _56695_/X sky130_fd_sc_hd__or3_2
+XFILLER_284_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_169_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_622_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_7_53_0_u_core.clock clkbuf_7_53_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_53_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_86_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73500_ _74944_/A _73497_/B _81167_/Q VGND VGND VPWR VPWR _73500_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_73_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58434_ _58264_/X _58434_/B _58434_/C VGND VGND VPWR VPWR _58446_/A sky130_fd_sc_hd__nor3_2
+X_70712_ _69636_/Y _70712_/B VGND VGND VPWR VPWR _70716_/A sky130_fd_sc_hd__nor2_2
+XFILLER_546_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_249_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43660_ _76677_/Q _43660_/B VGND VGND VPWR VPWR _43661_/B sky130_fd_sc_hd__nor2_2
+XFILLER_597_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55646_ _55646_/A _55680_/A _55529_/C VGND VGND VPWR VPWR _55646_/Y sky130_fd_sc_hd__nor3_2
+X_74480_ _74480_/A _74471_/X VGND VGND VPWR VPWR _74480_/X sky130_fd_sc_hd__or2_2
+XPHY_19025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_364_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40872_ _40509_/X _40876_/B VGND VGND VPWR VPWR _40875_/A sky130_fd_sc_hd__or2_2
+XFILLER_74_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52858_ _52887_/A _52870_/B VGND VGND VPWR VPWR _52858_/X sky130_fd_sc_hd__or2_2
+XPHY_29559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71692_ _71500_/A _71690_/Y _71691_/X VGND VGND VPWR VPWR _71692_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_206_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42611_ _42631_/A _42610_/X VGND VGND VPWR VPWR _42611_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_622_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73431_ _73431_/A _73431_/B VGND VGND VPWR VPWR _81187_/D sky130_fd_sc_hd__nand2_2
+XFILLER_61_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51809_ _51809_/A _51818_/B VGND VGND VPWR VPWR _51809_/X sky130_fd_sc_hd__or2_2
+XFILLER_382_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58365_ _58052_/A _58363_/Y _58365_/C VGND VGND VPWR VPWR _58369_/B sky130_fd_sc_hd__nor3_2
+XPHY_19069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70643_ _70636_/X _70642_/X VGND VGND VPWR VPWR _70644_/B sky130_fd_sc_hd__nor2_2
+XFILLER_262_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43591_ _42724_/A VGND VGND VPWR VPWR _43591_/X sky130_fd_sc_hd__buf_1
+XFILLER_180_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55577_ _55562_/A _55576_/Y VGND VGND VPWR VPWR _55577_/X sky130_fd_sc_hd__or2_2
+XFILLER_622_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52789_ _52817_/A _52789_/B VGND VGND VPWR VPWR _52789_/X sky130_fd_sc_hd__or2_2
+XPHY_28869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_280_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_540_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_54_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_349_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_538_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45330_ _43180_/A _45102_/B _79406_/Q _45304_/X VGND VGND VPWR VPWR _55634_/B sky130_fd_sc_hd__o22a_4
+XFILLER_403_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57316_ _57316_/A _57311_/Y _57316_/C VGND VGND VPWR VPWR _57326_/A sky130_fd_sc_hd__nor3_2
+XPHY_3243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76150_ _76150_/A VGND VGND VPWR VPWR _76287_/A sky130_fd_sc_hd__buf_1
+XPHY_17623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42542_ _45564_/A VGND VGND VPWR VPWR _68511_/A sky130_fd_sc_hd__inv_8
+X_54528_ _54528_/A _54533_/B VGND VGND VPWR VPWR _54532_/A sky130_fd_sc_hd__nand2_2
+X_73362_ _73371_/A _73371_/B _65444_/A VGND VGND VPWR VPWR _73364_/A sky130_fd_sc_hd__nand3_2
+XPHY_3254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58296_ _58288_/Y _58296_/B VGND VGND VPWR VPWR _58297_/B sky130_fd_sc_hd__nor2_2
+XPHY_18379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70574_ _70574_/A VGND VGND VPWR VPWR _70574_/X sky130_fd_sc_hd__buf_1
+XPHY_35002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75101_ _75223_/A _75101_/B VGND VGND VPWR VPWR _75101_/X sky130_fd_sc_hd__or2_2
+XFILLER_600_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72313_ _72959_/A VGND VGND VPWR VPWR _72313_/X sky130_fd_sc_hd__buf_1
+XFILLER_442_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45261_ io_in[27] _45403_/B VGND VGND VPWR VPWR _45261_/X sky130_fd_sc_hd__or2_2
+XFILLER_243_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57247_ _57561_/A _57245_/Y _57247_/C VGND VGND VPWR VPWR _57247_/Y sky130_fd_sc_hd__nor3_2
+XPHY_35035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76081_ _76097_/A _76091_/B _69167_/A VGND VGND VPWR VPWR _76082_/B sky130_fd_sc_hd__nand3_2
+XPHY_2553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42473_ _42473_/A _42472_/X VGND VGND VPWR VPWR _42491_/B sky130_fd_sc_hd__nor2_2
+XFILLER_497_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54459_ _54457_/Y _54458_/X VGND VGND VPWR VPWR _77013_/D sky130_fd_sc_hd__nand2_2
+XFILLER_80_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73293_ _74971_/A _73284_/B VGND VGND VPWR VPWR _73293_/X sky130_fd_sc_hd__or2_2
+XFILLER_635_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_23_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47000_ _70539_/X _46983_/B VGND VGND VPWR VPWR _47001_/C sky130_fd_sc_hd__and2_2
+XFILLER_592_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44212_ _43948_/A _44220_/B VGND VGND VPWR VPWR _44213_/B sky130_fd_sc_hd__nor2_2
+XPHY_1841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75032_ _75032_/A _75039_/B VGND VGND VPWR VPWR _75032_/X sky130_fd_sc_hd__or2_2
+XFILLER_475_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41424_ _41418_/A _41418_/B _41424_/C VGND VGND VPWR VPWR _41424_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_122_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72244_ _72904_/A VGND VGND VPWR VPWR _72245_/A sky130_fd_sc_hd__buf_1
+XFILLER_400_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45192_ _45004_/B VGND VGND VPWR VPWR _45425_/B sky130_fd_sc_hd__buf_1
+XPHY_34345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57178_ _80281_/Q _56945_/B VGND VGND VPWR VPWR _57179_/C sky130_fd_sc_hd__nor2_2
+XFILLER_338_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_390_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_302_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_458_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_221_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_87_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44143_ _44143_/A _44142_/X VGND VGND VPWR VPWR _44143_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56129_ _56129_/A _56129_/B VGND VGND VPWR VPWR _56130_/B sky130_fd_sc_hd__nor2_2
+XFILLER_217_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_390_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79840_ _79778_/CLK _79840_/D VGND VGND VPWR VPWR _57717_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_6_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41355_ _41103_/A _41358_/B VGND VGND VPWR VPWR _41355_/X sky130_fd_sc_hd__or2_2
+XPHY_33644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72175_ _72189_/A VGND VGND VPWR VPWR _72289_/A sky130_fd_sc_hd__buf_1
+XFILLER_291_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_551_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_145_0_u_core.clock clkbuf_8_72_0_u_core.clock/X VGND VGND VPWR VPWR _77762_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_614_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_256_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_171_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_414_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_372_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40306_ _39947_/A _40313_/B VGND VGND VPWR VPWR _40306_/X sky130_fd_sc_hd__or2_2
+XFILLER_371_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_100_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71126_ _70143_/X _71085_/A VGND VGND VPWR VPWR _71126_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48951_ _48951_/A _48928_/B VGND VGND VPWR VPWR _48954_/A sky130_fd_sc_hd__nand2_2
+X_44074_ _43826_/X _44074_/B VGND VGND VPWR VPWR _44074_/Y sky130_fd_sc_hd__nor2_2
+XPHY_22420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79771_ _79772_/CLK _79771_/D VGND VGND VPWR VPWR _66502_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_417_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_26_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41286_ _41275_/A VGND VGND VPWR VPWR _41286_/X sky130_fd_sc_hd__buf_1
+XFILLER_108_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76983_ _76993_/CLK _54574_/Y VGND VGND VPWR VPWR _76983_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_346_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_33_0_u_core.clock clkbuf_7_16_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_67_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_256_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_570_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_633_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_516_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47902_ _47900_/Y _47902_/B VGND VGND VPWR VPWR _78729_/D sky130_fd_sc_hd__nand2_2
+XPHY_23198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43025_ _43025_/A VGND VGND VPWR VPWR _43025_/Y sky130_fd_sc_hd__inv_8
+XFILLER_89_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78722_ _78721_/CLK _78722_/D VGND VGND VPWR VPWR _78722_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_297_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_254_0_u_core.clock clkbuf_8_254_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_509_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_40237_ _40225_/A _40225_/B _40237_/C VGND VGND VPWR VPWR _40238_/B sky130_fd_sc_hd__nand3_2
+X_75934_ _75934_/A _75937_/B VGND VGND VPWR VPWR _75934_/X sky130_fd_sc_hd__or2_2
+XFILLER_413_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71057_ _71436_/A VGND VGND VPWR VPWR _71188_/B sky130_fd_sc_hd__buf_1
+XFILLER_170_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48882_ _63077_/A _48867_/B VGND VGND VPWR VPWR _48884_/A sky130_fd_sc_hd__nand2_2
+XFILLER_551_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_152_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70008_ _70008_/A _55106_/A VGND VGND VPWR VPWR _70266_/B sky130_fd_sc_hd__or2_2
+XPHY_21763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47833_ _47988_/A VGND VGND VPWR VPWR _47835_/A sky130_fd_sc_hd__buf_1
+XFILLER_485_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59819_ _59818_/X VGND VGND VPWR VPWR _59822_/A sky130_fd_sc_hd__buf_1
+XPHY_11240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78653_ _78156_/CLK _48198_/Y VGND VGND VPWR VPWR _63025_/A sky130_fd_sc_hd__dfxtp_4
+X_40168_ _40288_/A _40161_/X VGND VGND VPWR VPWR _40172_/A sky130_fd_sc_hd__or2_2
+XFILLER_709_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75865_ _75865_/A _75876_/B VGND VGND VPWR VPWR _75867_/A sky130_fd_sc_hd__or2_2
+XFILLER_26_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_215_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_708_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77604_ _77061_/CLK _77604_/D VGND VGND VPWR VPWR _52224_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_284_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62830_ _59184_/A VGND VGND VPWR VPWR _63462_/A sky130_fd_sc_hd__buf_1
+X_74816_ _74877_/A VGND VGND VPWR VPWR _74816_/X sky130_fd_sc_hd__buf_1
+XFILLER_427_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_690_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47764_ _47761_/Y _47764_/B VGND VGND VPWR VPWR _47764_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_278_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78584_ _78580_/CLK _48476_/Y VGND VGND VPWR VPWR _63457_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_11295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_59_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40099_ _40097_/X _40098_/Y VGND VGND VPWR VPWR _79959_/D sky130_fd_sc_hd__nand2_2
+XFILLER_77_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44976_ _45457_/B _44976_/B VGND VGND VPWR VPWR _44976_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_616_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75796_ _75803_/A _75792_/B _80599_/Q VGND VGND VPWR VPWR _75796_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_649_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_3108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_408_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_627_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49503_ _49498_/Y _49503_/B VGND VGND VPWR VPWR _78322_/D sky130_fd_sc_hd__nand2_2
+XFILLER_81_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46715_ _46113_/B _46773_/A VGND VGND VPWR VPWR _46769_/A sky130_fd_sc_hd__or2_2
+X_77535_ _77528_/CLK _52484_/Y VGND VGND VPWR VPWR _60774_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_482_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_384_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43927_ _43705_/A _43934_/B VGND VGND VPWR VPWR _43927_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_506_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62761_ _60007_/A VGND VGND VPWR VPWR _62761_/X sky130_fd_sc_hd__buf_1
+XPHY_10594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74747_ _75366_/A _74747_/B VGND VGND VPWR VPWR _74750_/A sky130_fd_sc_hd__or2_2
+XFILLER_98_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47695_ _47756_/A _47661_/X VGND VGND VPWR VPWR _47695_/X sky130_fd_sc_hd__or2_2
+XFILLER_92_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71959_ _71959_/A _42430_/B VGND VGND VPWR VPWR _71960_/C sky130_fd_sc_hd__nor2_2
+XFILLER_506_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_78_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_640_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_608_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_426_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64500_ _64153_/A VGND VGND VPWR VPWR _65412_/A sky130_fd_sc_hd__buf_1
+XFILLER_580_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61712_ _77861_/Q _61711_/X VGND VGND VPWR VPWR _61712_/Y sky130_fd_sc_hd__nor2_2
+X_49434_ _49434_/A _49446_/B VGND VGND VPWR VPWR _49434_/X sky130_fd_sc_hd__or2_2
+XFILLER_4_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_599_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_447_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46646_ _46646_/A _58876_/A VGND VGND VPWR VPWR _46648_/C sky130_fd_sc_hd__nor2_2
+X_65480_ _64788_/A _65478_/Y _65480_/C VGND VGND VPWR VPWR _65487_/B sky130_fd_sc_hd__nor3_2
+X_77466_ _77444_/CLK _77466_/D VGND VGND VPWR VPWR _77466_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_93_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_361_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43858_ _43670_/X VGND VGND VPWR VPWR _43866_/B sky130_fd_sc_hd__buf_1
+X_62692_ _63003_/A _62692_/B _62691_/Y VGND VGND VPWR VPWR _62693_/C sky130_fd_sc_hd__nor3_2
+XFILLER_365_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_20_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74678_ _74678_/A VGND VGND VPWR VPWR _74679_/A sky130_fd_sc_hd__buf_1
+XFILLER_209_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_428_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_640_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79205_ _79207_/CLK _79205_/D VGND VGND VPWR VPWR _44694_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_55_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64431_ _64121_/A VGND VGND VPWR VPWR _66381_/A sky130_fd_sc_hd__buf_1
+X_76417_ _76415_/X _76416_/Y VGND VGND VPWR VPWR _76417_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_64_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_630_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61643_ _77804_/Q _61171_/B VGND VGND VPWR VPWR _61644_/C sky130_fd_sc_hd__nor2_2
+X_42809_ _42586_/A VGND VGND VPWR VPWR _55885_/B sky130_fd_sc_hd__buf_1
+XFILLER_597_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73629_ _73537_/A VGND VGND VPWR VPWR _73630_/A sky130_fd_sc_hd__buf_1
+XFILLER_460_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49365_ _42042_/Y _49363_/X _42044_/Y _49364_/X VGND VGND VPWR VPWR _49525_/A sky130_fd_sc_hd__o22a_4
+XFILLER_146_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_643_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_568_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46577_ _46577_/A _46587_/B VGND VGND VPWR VPWR _46577_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_248_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_597_2532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77397_ _76890_/CLK _52995_/Y VGND VGND VPWR VPWR _77397_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43789_ _43674_/X _43789_/B _43789_/C VGND VGND VPWR VPWR _43790_/C sky130_fd_sc_hd__nor3_2
+XPHY_5190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_463_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_343_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_441_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48316_ _48411_/A _48316_/B VGND VGND VPWR VPWR _48317_/B sky130_fd_sc_hd__or2_2
+XPHY_19592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67150_ _66816_/A _67150_/B _67150_/C VGND VGND VPWR VPWR _67151_/C sky130_fd_sc_hd__nor3_2
+XFILLER_263_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_261_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79136_ _79136_/CLK _79136_/D VGND VGND VPWR VPWR _45560_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_226_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45528_ _45506_/X _45014_/A VGND VGND VPWR VPWR _45528_/Y sky130_fd_sc_hd__nor2_2
+X_64362_ _64312_/X VGND VGND VPWR VPWR _64362_/X sky130_fd_sc_hd__buf_1
+X_76348_ _76334_/X _76360_/B _76348_/C VGND VGND VPWR VPWR _76349_/B sky130_fd_sc_hd__nand3_2
+XFILLER_304_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_13_0_u_core.clock clkbuf_8_6_0_u_core.clock/X VGND VGND VPWR VPWR _78523_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_311_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49296_ _49296_/A _49296_/B VGND VGND VPWR VPWR _49296_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_72_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61574_ _52402_/A _61428_/B VGND VGND VPWR VPWR _61574_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_597_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_339_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_261_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_380 _52022_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_33_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_441_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_379_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_343_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66101_ _66101_/A _65431_/X VGND VGND VPWR VPWR _66103_/B sky130_fd_sc_hd__nor2_2
+XANTENNA_391 _54974_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_379_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63313_ _63313_/A _63149_/B VGND VGND VPWR VPWR _63313_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_226_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_61_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60525_ _60364_/A _60525_/B _60524_/Y VGND VGND VPWR VPWR _60525_/Y sky130_fd_sc_hd__nor3_2
+X_48247_ _48247_/A _48247_/B VGND VGND VPWR VPWR _48247_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_60_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67081_ _67745_/A _67077_/Y _67081_/C VGND VGND VPWR VPWR _67091_/B sky130_fd_sc_hd__nor3_2
+XFILLER_198_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_695_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79067_ _79057_/CLK _79067_/D VGND VGND VPWR VPWR _41647_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_296_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45459_ _44978_/B VGND VGND VPWR VPWR _45460_/A sky130_fd_sc_hd__buf_1
+XPHY_36270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64293_ _64794_/A VGND VGND VPWR VPWR _64317_/A sky130_fd_sc_hd__buf_1
+X_76279_ _38281_/A _76287_/B VGND VGND VPWR VPWR _76279_/X sky130_fd_sc_hd__or2_2
+XFILLER_395_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_124_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_220_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_124_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66032_ _65702_/A _66032_/B _66032_/C VGND VGND VPWR VPWR _66033_/B sky130_fd_sc_hd__nor3_2
+XFILLER_359_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_1469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78018_ _77863_/CLK _50650_/Y VGND VGND VPWR VPWR _62474_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_509_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_159_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63244_ _62774_/X _63244_/B _63243_/Y VGND VGND VPWR VPWR _63244_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_634_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48178_ _48178_/A _48196_/B VGND VGND VPWR VPWR _48178_/X sky130_fd_sc_hd__or2_2
+X_60456_ _59812_/A _60454_/Y _60456_/C VGND VGND VPWR VPWR _60456_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_508_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_3035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_35580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_394_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_339_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_454_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47129_ _47129_/A VGND VGND VPWR VPWR _47129_/X sky130_fd_sc_hd__buf_1
+XFILLER_146_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_200_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63175_ _62709_/A _63175_/B _63174_/Y VGND VGND VPWR VPWR _63186_/B sky130_fd_sc_hd__nor3_2
+XPHY_25090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_419_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_419_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60387_ _53409_/A _60235_/B VGND VGND VPWR VPWR _60389_/B sky130_fd_sc_hd__nor2_2
+XFILLER_9_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_713_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_238_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50140_ _50108_/A _50149_/B VGND VGND VPWR VPWR _50141_/B sky130_fd_sc_hd__or2_2
+XFILLER_612_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62126_ _62126_/A _61657_/B VGND VGND VPWR VPWR _62126_/Y sky130_fd_sc_hd__nor2_2
+X_39951_ _39951_/A _39947_/B VGND VGND VPWR VPWR _39953_/A sky130_fd_sc_hd__or2_2
+XFILLER_192_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_220_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_161_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_1283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79969_ _79969_/CLK _40063_/Y VGND VGND VPWR VPWR _79969_/Q sky130_fd_sc_hd__dfxtp_4
+X_67983_ _40171_/C _67648_/B VGND VGND VPWR VPWR _67985_/B sky130_fd_sc_hd__nor2_2
+XFILLER_304_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_315_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38902_ _38662_/A _38907_/B VGND VGND VPWR VPWR _38906_/A sky130_fd_sc_hd__or2_2
+XFILLER_337_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_153_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69722_ _64427_/A VGND VGND VPWR VPWR _64517_/A sky130_fd_sc_hd__inv_8
+XFILLER_712_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50071_ _78170_/Q _50071_/B VGND VGND VPWR VPWR _50071_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_406_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_372_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66934_ _73189_/C _66274_/X VGND VGND VPWR VPWR _66934_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_303_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62057_ _62057_/A _62056_/X VGND VGND VPWR VPWR _62057_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_530_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39882_ _39246_/A VGND VGND VPWR VPWR _40004_/A sky130_fd_sc_hd__buf_1
+XFILLER_413_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_9_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_252_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_448_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_315_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61008_ _60540_/A _61008_/B _61007_/Y VGND VGND VPWR VPWR _61013_/B sky130_fd_sc_hd__nor3_2
+XFILLER_83_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38833_ _38581_/X _38829_/X VGND VGND VPWR VPWR _38835_/A sky130_fd_sc_hd__or2_2
+XFILLER_318_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69653_ _69575_/Y _69652_/Y VGND VGND VPWR VPWR _69653_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_115_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_170_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_477_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66865_ _64102_/A VGND VGND VPWR VPWR _66866_/B sky130_fd_sc_hd__buf_1
+XFILLER_315_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_173_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_331_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_487_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_233_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_665_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68604_ _69218_/A _68602_/Y _68603_/Y VGND VGND VPWR VPWR _68604_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_130_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53830_ _53837_/A VGND VGND VPWR VPWR _53831_/B sky130_fd_sc_hd__buf_1
+XFILLER_487_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65816_ _65816_/A _66153_/B VGND VGND VPWR VPWR _65816_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_383_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38764_ _38783_/A VGND VGND VPWR VPWR _38780_/B sky130_fd_sc_hd__buf_1
+XFILLER_468_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_229_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69584_ _69580_/A VGND VGND VPWR VPWR _69587_/B sky130_fd_sc_hd__buf_1
+XFILLER_585_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66796_ _66786_/X _66796_/B _66795_/Y VGND VGND VPWR VPWR _66797_/C sky130_fd_sc_hd__nor3_2
+XFILLER_436_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_606_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_69_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_5_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80813_ _80813_/CLK _80813_/D VGND VGND VPWR VPWR _69297_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_25_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68535_ _67871_/A _68532_/Y _68535_/C VGND VGND VPWR VPWR _68539_/B sky130_fd_sc_hd__nor3_2
+XFILLER_285_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53761_ _53761_/A _53745_/A VGND VGND VPWR VPWR _53761_/X sky130_fd_sc_hd__or2_2
+XFILLER_485_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_645_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65747_ _66412_/A _65743_/Y _65747_/C VGND VGND VPWR VPWR _65747_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_491_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38695_ _38558_/A _38692_/B VGND VGND VPWR VPWR _38695_/X sky130_fd_sc_hd__or2_2
+XFILLER_528_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50973_ _50973_/A _50973_/B VGND VGND VPWR VPWR _50973_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_446_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62959_ _62761_/X _62959_/B VGND VGND VPWR VPWR _62997_/B sky130_fd_sc_hd__nor2_2
+XFILLER_661_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_418_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_266_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55500_ _55551_/A _55500_/B VGND VGND VPWR VPWR _55501_/C sky130_fd_sc_hd__nor2_2
+XFILLER_228_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52712_ _52741_/A _52718_/B VGND VGND VPWR VPWR _52712_/X sky130_fd_sc_hd__or2_2
+XFILLER_284_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_141_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56480_ _56480_/A _58786_/B VGND VGND VPWR VPWR _56481_/C sky130_fd_sc_hd__nor2_2
+X_80744_ _80742_/CLK _80744_/D VGND VGND VPWR VPWR _75211_/C sky130_fd_sc_hd__dfxtp_4
+X_68466_ _68444_/Y _68466_/B VGND VGND VPWR VPWR _68467_/B sky130_fd_sc_hd__nor2_2
+XFILLER_502_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_445_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_285_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_598_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53692_ _53664_/A _53701_/B VGND VGND VPWR VPWR _53692_/X sky130_fd_sc_hd__or2_2
+XFILLER_446_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65678_ _66171_/A _65678_/B _65677_/Y VGND VGND VPWR VPWR _65678_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_606_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_71_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67417_ _67417_/A _67261_/B VGND VGND VPWR VPWR _67417_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_43_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55431_ _45373_/A _55328_/X VGND VGND VPWR VPWR _55432_/B sky130_fd_sc_hd__nor2_2
+XFILLER_168_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52643_ _52668_/B VGND VGND VPWR VPWR _52643_/X sky130_fd_sc_hd__buf_1
+XFILLER_281_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64629_ _66657_/A VGND VGND VPWR VPWR _64629_/X sky130_fd_sc_hd__buf_1
+XFILLER_702_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_77_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80675_ _80641_/CLK _80675_/D VGND VGND VPWR VPWR _80675_/Q sky130_fd_sc_hd__dfxtp_4
+X_68397_ _68902_/A _68397_/B _68396_/Y VGND VGND VPWR VPWR _68401_/B sky130_fd_sc_hd__nor3_2
+XFILLER_541_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_3_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_697_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_243_279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_24_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39316_ _39179_/X _39316_/B VGND VGND VPWR VPWR _39316_/X sky130_fd_sc_hd__or2_2
+XFILLER_420_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58150_ _57904_/A _58150_/B _58150_/C VGND VGND VPWR VPWR _58154_/B sky130_fd_sc_hd__nor3_2
+XPHY_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_498_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55362_ _55362_/A _55390_/B VGND VGND VPWR VPWR _55375_/A sky130_fd_sc_hd__or2_2
+XFILLER_244_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67348_ _67331_/Y _67348_/B VGND VGND VPWR VPWR _67349_/B sky130_fd_sc_hd__nor2_2
+XFILLER_149_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52574_ _60446_/A _52574_/B VGND VGND VPWR VPWR _52576_/A sky130_fd_sc_hd__nand2_2
+XFILLER_361_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_129_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_401_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_307_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_125_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57101_ _56739_/X _57101_/B _57101_/C VGND VGND VPWR VPWR _57101_/X sky130_fd_sc_hd__or3_2
+XPHY_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54313_ _60332_/A _54313_/B VGND VGND VPWR VPWR _54316_/A sky130_fd_sc_hd__nand2_2
+XFILLER_279_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_107_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51525_ _51972_/A VGND VGND VPWR VPWR _51554_/B sky130_fd_sc_hd__buf_1
+XFILLER_358_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_652_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39247_ _39247_/A _39103_/X VGND VGND VPWR VPWR _39250_/A sky130_fd_sc_hd__or2_2
+XPHY_16229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58081_ _80260_/Q _57912_/X VGND VGND VPWR VPWR _58082_/C sky130_fd_sc_hd__nor2_2
+XFILLER_212_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_90_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55293_ _55293_/A _55531_/A VGND VGND VPWR VPWR _55293_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_180_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67279_ _67279_/A _66613_/X VGND VGND VPWR VPWR _67281_/B sky130_fd_sc_hd__nor2_2
+XFILLER_385_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_593_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_197_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_500_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_71_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69018_ _80779_/Q _69158_/B VGND VGND VPWR VPWR _69020_/B sky130_fd_sc_hd__nor2_2
+X_57032_ _56956_/A _57029_/Y _57032_/C VGND VGND VPWR VPWR _57032_/Y sky130_fd_sc_hd__nor3_2
+XPHY_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_297_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54244_ _54542_/A VGND VGND VPWR VPWR _54244_/X sky130_fd_sc_hd__buf_1
+XFILLER_279_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_479_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_719_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_300_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39178_ _39175_/X _39178_/B VGND VGND VPWR VPWR _39178_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_355_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51456_ _51456_/A _51456_/B VGND VGND VPWR VPWR _51458_/A sky130_fd_sc_hd__nand2_2
+XFILLER_196_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_592_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70290_ _42094_/A VGND VGND VPWR VPWR _70290_/Y sky130_fd_sc_hd__inv_8
+XFILLER_539_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_515_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_299_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_296_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50407_ _50404_/Y _50406_/X VGND VGND VPWR VPWR _78083_/D sky130_fd_sc_hd__nand2_2
+XFILLER_197_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81227_ _81228_/CLK _73282_/Y VGND VGND VPWR VPWR _69056_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_652_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54175_ _54083_/A _54178_/B VGND VGND VPWR VPWR _54175_/X sky130_fd_sc_hd__or2_2
+XPHY_32206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51387_ _77823_/Q _51393_/B VGND VGND VPWR VPWR _51389_/A sky130_fd_sc_hd__nand2_2
+XFILLER_295_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_49_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_570_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_617_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_492_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53126_ _53126_/A _53126_/B VGND VGND VPWR VPWR _53126_/Y sky130_fd_sc_hd__nand2_2
+X_41140_ _41144_/A _41136_/B _56567_/A VGND VGND VPWR VPWR _41141_/B sky130_fd_sc_hd__nand3_2
+XPHY_32239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_140_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_688_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50338_ _50336_/Y _50338_/B VGND VGND VPWR VPWR _78101_/D sky130_fd_sc_hd__nand2_2
+XFILLER_137_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81158_ _81133_/CLK _73544_/Y VGND VGND VPWR VPWR _81158_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_197_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58983_ _60054_/A VGND VGND VPWR VPWR _59657_/A sky130_fd_sc_hd__buf_1
+XFILLER_166_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_5_3_0_u_core.clock clkbuf_4_1_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_6_6_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_31516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_118_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80109_ _80109_/CLK _80109_/D VGND VGND VPWR VPWR _69399_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_279_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_533_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41071_ _41070_/X _41066_/X VGND VGND VPWR VPWR _41071_/X sky130_fd_sc_hd__or2_2
+XPHY_30804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53057_ _53057_/A _53057_/B VGND VGND VPWR VPWR _77380_/D sky130_fd_sc_hd__nand2_2
+XFILLER_152_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57934_ _40930_/C _57617_/X VGND VGND VPWR VPWR _57934_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_587_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50269_ _49352_/A VGND VGND VPWR VPWR _50869_/A sky130_fd_sc_hd__buf_1
+X_73980_ _73983_/A _73980_/B _81041_/Q VGND VGND VPWR VPWR _73981_/B sky130_fd_sc_hd__nand3_2
+XFILLER_513_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_212_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81089_ _81060_/CLK _73803_/Y VGND VGND VPWR VPWR _67401_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_322_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_689_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40022_ _40060_/A VGND VGND VPWR VPWR _40034_/B sky130_fd_sc_hd__buf_1
+XPHY_8711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52008_ _61464_/A _52012_/B VGND VGND VPWR VPWR _52011_/A sky130_fd_sc_hd__nand2_2
+XPHY_20314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72931_ _72928_/X _72931_/B VGND VGND VPWR VPWR _72931_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_467_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_685_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57865_ _57466_/A VGND VGND VPWR VPWR _57872_/A sky130_fd_sc_hd__buf_1
+XFILLER_568_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59604_ _77303_/Q _59604_/B VGND VGND VPWR VPWR _59606_/B sky130_fd_sc_hd__nor2_2
+XPHY_20358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44830_ _75525_/A _44816_/X VGND VGND VPWR VPWR _44830_/X sky130_fd_sc_hd__or2_2
+XPHY_8766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75650_ _75891_/A _75650_/B VGND VGND VPWR VPWR _75650_/X sky130_fd_sc_hd__or2_2
+XFILLER_672_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56816_ _79732_/Q _56816_/B VGND VGND VPWR VPWR _56816_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_666_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72862_ _72862_/A VGND VGND VPWR VPWR _73655_/A sky130_fd_sc_hd__buf_1
+XPHY_8777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57796_ _57796_/A _57794_/Y _57795_/Y VGND VGND VPWR VPWR _57796_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_529_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74601_ _74598_/A _74590_/B _80896_/Q VGND VGND VPWR VPWR _74602_/B sky130_fd_sc_hd__nand3_2
+XFILLER_609_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_219_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59535_ _59535_/A VGND VGND VPWR VPWR _59536_/A sky130_fd_sc_hd__buf_1
+XFILLER_448_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_429_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71813_ _70853_/Y _71811_/Y _71721_/A _71812_/Y VGND VGND VPWR VPWR _71818_/B sky130_fd_sc_hd__o22a_4
+XFILLER_362_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44761_ _72215_/A _44748_/B VGND VGND VPWR VPWR _44761_/X sky130_fd_sc_hd__or2_2
+XFILLER_561_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56747_ _58537_/A VGND VGND VPWR VPWR _57072_/B sky130_fd_sc_hd__buf_1
+XFILLER_275_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75581_ _75508_/A VGND VGND VPWR VPWR _75581_/X sky130_fd_sc_hd__buf_1
+XFILLER_483_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41973_ _45290_/A _41972_/X VGND VGND VPWR VPWR _41985_/B sky130_fd_sc_hd__nor2_2
+XFILLER_609_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53959_ _53926_/A _53965_/B VGND VGND VPWR VPWR _53959_/X sky130_fd_sc_hd__or2_2
+XFILLER_366_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_74_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72793_ _72792_/X VGND VGND VPWR VPWR _72801_/A sky130_fd_sc_hd__buf_1
+XPHY_29301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_605_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_436_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46500_ _46617_/A _46499_/Y _41865_/B VGND VGND VPWR VPWR _46506_/C sky130_fd_sc_hd__nor3_2
+XFILLER_671_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_542_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77320_ _77318_/CLK _53297_/Y VGND VGND VPWR VPWR _77320_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_189_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_442_0_u_core.clock clkbuf_9_443_0_u_core.clock/A VGND VGND VPWR VPWR _80095_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_43712_ _43709_/Y _43712_/B VGND VGND VPWR VPWR _43954_/A sky130_fd_sc_hd__or2_4
+XFILLER_1_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_442_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74532_ _74531_/Y _74528_/B VGND VGND VPWR VPWR _74533_/B sky130_fd_sc_hd__or2_2
+XFILLER_451_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40924_ _40917_/A _40924_/B _58099_/A VGND VGND VPWR VPWR _40924_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_112_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59466_ _59466_/A _63892_/B VGND VGND VPWR VPWR _59467_/C sky130_fd_sc_hd__nor2_2
+XFILLER_429_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71744_ _71699_/A _42958_/A VGND VGND VPWR VPWR _71744_/X sky130_fd_sc_hd__or2_2
+X_47480_ _78830_/Q _47480_/B VGND VGND VPWR VPWR _47480_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_366_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_641_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44692_ _79206_/Q _44691_/Y VGND VGND VPWR VPWR _44695_/B sky130_fd_sc_hd__nor2_2
+XFILLER_264_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56678_ _57084_/A VGND VGND VPWR VPWR _57009_/A sky130_fd_sc_hd__buf_1
+XFILLER_569_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_274_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_62_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_28_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_327_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_424_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_382_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46431_ _46419_/B VGND VGND VPWR VPWR _46432_/B sky130_fd_sc_hd__inv_8
+X_58417_ _56632_/A VGND VGND VPWR VPWR _58708_/B sky130_fd_sc_hd__buf_1
+XFILLER_284_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_46_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_524_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77251_ _77251_/CLK _53565_/Y VGND VGND VPWR VPWR _53563_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_612_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43643_ _71892_/A _43650_/B VGND VGND VPWR VPWR _43645_/B sky130_fd_sc_hd__nor2_2
+XFILLER_640_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_403_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55629_ _55629_/A _55644_/B _55504_/C _55629_/D VGND VGND VPWR VPWR _55629_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_210_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74463_ _74463_/A _74462_/X VGND VGND VPWR VPWR _74463_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_327_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_679_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40855_ _40615_/A _40855_/B VGND VGND VPWR VPWR _40855_/X sky130_fd_sc_hd__or2_2
+XPHY_28644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59397_ _59719_/A _59397_/B _59397_/C VGND VGND VPWR VPWR _59401_/B sky130_fd_sc_hd__nor3_2
+XFILLER_403_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71675_ _71675_/A _71675_/B VGND VGND VPWR VPWR _71675_/X sky130_fd_sc_hd__and2_2
+XFILLER_186_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76202_ _76327_/A _76184_/B VGND VGND VPWR VPWR _76204_/A sky130_fd_sc_hd__or2_2
+XFILLER_360_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49150_ _49087_/A _49156_/B VGND VGND VPWR VPWR _49151_/B sky130_fd_sc_hd__or2_2
+XFILLER_540_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73414_ _73398_/A _73408_/B _73414_/C VGND VGND VPWR VPWR _73414_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_700_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46362_ _46364_/B VGND VGND VPWR VPWR _46363_/B sky130_fd_sc_hd__inv_8
+XFILLER_499_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58348_ _58348_/A VGND VGND VPWR VPWR _58510_/B sky130_fd_sc_hd__buf_1
+X_70626_ _69996_/A _70626_/B VGND VGND VPWR VPWR _70626_/X sky130_fd_sc_hd__or2_2
+X_77182_ _77183_/CLK _53823_/Y VGND VGND VPWR VPWR _77182_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_243_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_578_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43574_ _71268_/A _43577_/B VGND VGND VPWR VPWR _43574_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_182_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74394_ _74659_/A _74394_/B VGND VGND VPWR VPWR _74396_/A sky130_fd_sc_hd__or2_2
+XPHY_18165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40786_ _40400_/A _40777_/B VGND VGND VPWR VPWR _40786_/X sky130_fd_sc_hd__or2_2
+XFILLER_76_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_696_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48101_ _48101_/A _48101_/B _48101_/C VGND VGND VPWR VPWR _48102_/A sky130_fd_sc_hd__or3_2
+XPHY_3062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_280_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45313_ _45035_/X _55623_/B VGND VGND VPWR VPWR _45313_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_520_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76133_ _76147_/A _76142_/B _76133_/C VGND VGND VPWR VPWR _76133_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_579_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42525_ _42474_/X _42524_/X VGND VGND VPWR VPWR _42525_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_692_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73345_ _75021_/A _73348_/B VGND VGND VPWR VPWR _73345_/X sky130_fd_sc_hd__or2_2
+XFILLER_262_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49081_ _47313_/A VGND VGND VPWR VPWR _49082_/A sky130_fd_sc_hd__buf_1
+XFILLER_674_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46293_ _43224_/A _46286_/B VGND VGND VPWR VPWR _46295_/B sky130_fd_sc_hd__nor2_2
+X_70557_ _70514_/B _70557_/B VGND VGND VPWR VPWR _70557_/Y sky130_fd_sc_hd__nand2_2
+X_58279_ _58279_/A _58278_/Y VGND VGND VPWR VPWR _58279_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_395_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_223_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_297_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_379_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48032_ _48032_/A _48031_/X VGND VGND VPWR VPWR _48032_/Y sky130_fd_sc_hd__nand2_2
+X_60310_ _54406_/A _59833_/B VGND VGND VPWR VPWR _60310_/Y sky130_fd_sc_hd__nor2_2
+XPHY_2372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45244_ _45216_/A _45243_/Y VGND VGND VPWR VPWR _45244_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76064_ _76054_/X _75948_/X _76064_/C VGND VGND VPWR VPWR _76064_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_537_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42456_ _76681_/Q VGND VGND VPWR VPWR _42457_/A sky130_fd_sc_hd__buf_1
+X_61290_ _62071_/A VGND VGND VPWR VPWR _61920_/A sky130_fd_sc_hd__buf_1
+XFILLER_392_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73276_ _74953_/A _73381_/B VGND VGND VPWR VPWR _73276_/X sky130_fd_sc_hd__or2_2
+XPHY_34131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70488_ _69960_/X _70488_/B _70364_/Y VGND VGND VPWR VPWR _70489_/B sky130_fd_sc_hd__or3_2
+XFILLER_670_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75015_ _75015_/A _75014_/X VGND VGND VPWR VPWR _75015_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_477_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_321_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41407_ _41407_/A VGND VGND VPWR VPWR _41408_/B sky130_fd_sc_hd__buf_1
+XFILLER_128_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60241_ _60241_/A _59757_/B VGND VGND VPWR VPWR _60243_/B sky130_fd_sc_hd__nor2_2
+XPHY_1682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72227_ _74728_/A VGND VGND VPWR VPWR _72228_/A sky130_fd_sc_hd__buf_1
+XFILLER_155_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_715_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45175_ _45175_/A _45205_/B VGND VGND VPWR VPWR _45175_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_722_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42387_ _42387_/A _42290_/X VGND VGND VPWR VPWR _42387_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_344_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_612_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_514_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_317_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_305_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44126_ _68181_/A _44174_/B _44128_/B VGND VGND VPWR VPWR _44126_/X sky130_fd_sc_hd__and3_2
+XFILLER_654_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79823_ _80013_/CLK _40637_/Y VGND VGND VPWR VPWR _79823_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_67_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_5_11_0_u_core.clock clkbuf_4_5_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_6_22_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_144_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41338_ _41084_/X _41324_/X VGND VGND VPWR VPWR _41338_/X sky130_fd_sc_hd__or2_2
+XPHY_33474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60172_ _59687_/A _60172_/B _60172_/C VGND VGND VPWR VPWR _60172_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_143_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72158_ _72268_/A VGND VGND VPWR VPWR _72166_/A sky130_fd_sc_hd__buf_1
+XFILLER_256_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49983_ _78195_/Q _49986_/B VGND VGND VPWR VPWR _49983_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_354_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_334_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71109_ _70124_/A _71109_/B VGND VGND VPWR VPWR _71114_/B sky130_fd_sc_hd__nor2_2
+XPHY_32773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_530_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48934_ _48934_/A _48934_/B VGND VGND VPWR VPWR _78466_/D sky130_fd_sc_hd__nand2_2
+X_44057_ _44008_/Y _44102_/A VGND VGND VPWR VPWR _44057_/Y sky130_fd_sc_hd__nor2_4
+XPHY_22250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79754_ _79788_/CLK _40902_/Y VGND VGND VPWR VPWR _79754_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_256_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_174_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41269_ _41269_/A _41266_/B VGND VGND VPWR VPWR _41269_/X sky130_fd_sc_hd__or2_2
+XFILLER_124_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64980_ _39995_/C _65672_/B VGND VGND VPWR VPWR _64983_/B sky130_fd_sc_hd__nor2_2
+XFILLER_6_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76966_ _76939_/CLK _54633_/Y VGND VGND VPWR VPWR _54631_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_316_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72089_ _70820_/X _72089_/B VGND VGND VPWR VPWR _72089_/Y sky130_fd_sc_hd__nor2_2
+XPHY_22261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_269_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_459_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_534_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_174_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43008_ _78870_/Q _41943_/Y VGND VGND VPWR VPWR _43008_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_230_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_414_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78705_ _78209_/CLK _47995_/Y VGND VGND VPWR VPWR _47992_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_97_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63931_ _63915_/Y _63930_/Y VGND VGND VPWR VPWR _63932_/B sky130_fd_sc_hd__nor2_2
+XFILLER_217_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75917_ _75917_/A _75920_/B VGND VGND VPWR VPWR _75917_/X sky130_fd_sc_hd__or2_2
+XFILLER_566_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_414_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_113_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_312_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48865_ _48865_/A _48864_/X VGND VGND VPWR VPWR _48865_/Y sky130_fd_sc_hd__nand2_2
+XPHY_21560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79685_ _79653_/CLK _41193_/Y VGND VGND VPWR VPWR _58171_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_217_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_566_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76897_ _77353_/CLK _54894_/Y VGND VGND VPWR VPWR _59917_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_3028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_174_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_459_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_449_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_300_423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47816_ _47814_/Y _47815_/X VGND VGND VPWR VPWR _47816_/Y sky130_fd_sc_hd__nand2_2
+X_66650_ _79996_/Q _66649_/X VGND VGND VPWR VPWR _66653_/B sky130_fd_sc_hd__nor2_2
+XFILLER_257_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78636_ _78667_/CLK _78636_/D VGND VGND VPWR VPWR _48260_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_709_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63862_ _63862_/A _59221_/B VGND VGND VPWR VPWR _63862_/Y sky130_fd_sc_hd__nor2_2
+X_75848_ _75848_/A _75847_/Y VGND VGND VPWR VPWR _75848_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_386_2939 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_675_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48796_ _48330_/A VGND VGND VPWR VPWR _48915_/A sky130_fd_sc_hd__buf_1
+XFILLER_446_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_111_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65601_ _81046_/Q _65601_/B VGND VGND VPWR VPWR _65604_/B sky130_fd_sc_hd__nor2_2
+XPHY_20892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62813_ _62813_/A _62813_/B VGND VGND VPWR VPWR _62813_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_310_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47747_ _47776_/A _47740_/X VGND VGND VPWR VPWR _47748_/B sky130_fd_sc_hd__or2_2
+XFILLER_642_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66581_ _80892_/Q _66260_/X VGND VGND VPWR VPWR _66583_/B sky130_fd_sc_hd__nor2_2
+XFILLER_473_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78567_ _78561_/CLK _78567_/D VGND VGND VPWR VPWR _63303_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_690_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44959_ _45204_/A _44985_/B VGND VGND VPWR VPWR _44960_/A sky130_fd_sc_hd__or2_2
+XFILLER_226_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63793_ _78402_/Q _59054_/X VGND VGND VPWR VPWR _63794_/C sky130_fd_sc_hd__nor2_2
+X_75779_ _75779_/A _75779_/B VGND VGND VPWR VPWR _75779_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_642_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_113_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_37_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68320_ _67664_/A _68320_/B _68319_/Y VGND VGND VPWR VPWR _68320_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_427_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65532_ _66355_/A VGND VGND VPWR VPWR _66187_/B sky130_fd_sc_hd__buf_1
+XFILLER_1_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77518_ _77525_/CLK _52545_/Y VGND VGND VPWR VPWR _60628_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_623_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38480_ _38478_/X _38480_/B VGND VGND VPWR VPWR _80364_/D sky130_fd_sc_hd__nand2_2
+XFILLER_26_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_168_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62744_ _62744_/A _62743_/X VGND VGND VPWR VPWR _62744_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_525_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_427_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47678_ _47838_/A VGND VGND VPWR VPWR _47773_/A sky130_fd_sc_hd__buf_1
+XFILLER_671_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78498_ _78498_/CLK _78498_/D VGND VGND VPWR VPWR _63708_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_265_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_226_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49417_ _49417_/A _49417_/B VGND VGND VPWR VPWR _49417_/Y sky130_fd_sc_hd__nand2_2
+X_68251_ _68251_/A _68419_/B VGND VGND VPWR VPWR _68251_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_111_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46629_ _41846_/Y _58859_/B VGND VGND VPWR VPWR _46629_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_225_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65463_ _65463_/A _65462_/Y VGND VGND VPWR VPWR _65463_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_72_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77449_ _77438_/CLK _52806_/Y VGND VGND VPWR VPWR _61055_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_165_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62675_ _60025_/A VGND VGND VPWR VPWR _62988_/B sky130_fd_sc_hd__buf_1
+XFILLER_213_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67202_ _67202_/A _67202_/B _67202_/C VGND VGND VPWR VPWR _67352_/C sky130_fd_sc_hd__or3_2
+XFILLER_0_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_248_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64414_ _65017_/A _64397_/Y _64414_/C VGND VGND VPWR VPWR _64415_/B sky130_fd_sc_hd__nor3_2
+XFILLER_343_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_306_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_206_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_88_0_u_core.clock clkbuf_9_89_0_u_core.clock/A VGND VGND VPWR VPWR _77028_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_630_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61626_ _61583_/X _61626_/B VGND VGND VPWR VPWR _61670_/B sky130_fd_sc_hd__nor2_2
+X_49348_ _49354_/A _49444_/A VGND VGND VPWR VPWR _49348_/X sky130_fd_sc_hd__or2_2
+XFILLER_240_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80460_ _80393_/CLK _76356_/Y VGND VGND VPWR VPWR _69174_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_421_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68182_ _68179_/Y _68182_/B _68181_/Y VGND VGND VPWR VPWR _68182_/X sky130_fd_sc_hd__or3_2
+XFILLER_280_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65394_ _74919_/C _65886_/B VGND VGND VPWR VPWR _65394_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_503_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_568_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39101_ _39128_/A VGND VGND VPWR VPWR _39113_/B sky130_fd_sc_hd__buf_1
+XFILLER_601_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67133_ _67133_/A _67787_/B VGND VGND VPWR VPWR _67133_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_241_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79119_ _79119_/CLK _79119_/D VGND VGND VPWR VPWR _45622_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_441_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64345_ _64816_/A _64344_/Y VGND VGND VPWR VPWR _64417_/B sky130_fd_sc_hd__nor2_2
+XFILLER_379_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61557_ _77548_/Q _61556_/X VGND VGND VPWR VPWR _61557_/Y sky130_fd_sc_hd__nor2_2
+X_49279_ _49279_/A _49279_/B VGND VGND VPWR VPWR _78374_/D sky130_fd_sc_hd__nand2_2
+X_80391_ _80384_/CLK _80391_/D VGND VGND VPWR VPWR _38377_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_406_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_202_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_556_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39032_ _39050_/A VGND VGND VPWR VPWR _39047_/B sky130_fd_sc_hd__buf_1
+XFILLER_454_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51310_ _53221_/A _51310_/B VGND VGND VPWR VPWR _51336_/B sky130_fd_sc_hd__or2_2
+XFILLER_226_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_241_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60508_ _60347_/A _60504_/Y _60508_/C VGND VGND VPWR VPWR _60508_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_382_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67064_ _67064_/A _67064_/B VGND VGND VPWR VPWR _67065_/C sky130_fd_sc_hd__nor2_2
+XFILLER_321_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_261_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_178_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52290_ _52306_/B VGND VGND VPWR VPWR _52303_/B sky130_fd_sc_hd__buf_1
+XFILLER_37_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64276_ _79727_/Q _64276_/B VGND VGND VPWR VPWR _64276_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_584_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61488_ _60864_/A _61484_/Y _61488_/C VGND VGND VPWR VPWR _61489_/C sky130_fd_sc_hd__nor3_2
+XFILLER_578_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_15_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66015_ _66838_/A VGND VGND VPWR VPWR _66674_/A sky130_fd_sc_hd__buf_1
+XFILLER_31_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51241_ _61493_/A _51262_/B VGND VGND VPWR VPWR _51241_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_458_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63227_ _63222_/X _63227_/B _63226_/Y VGND VGND VPWR VPWR _63227_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_14_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60439_ _59790_/X _60436_/Y _60438_/Y VGND VGND VPWR VPWR _60439_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_102_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_638_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_120_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_356_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81012_ _80929_/CLK _74129_/Y VGND VGND VPWR VPWR _81012_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_394_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51172_ _51172_/A VGND VGND VPWR VPWR _51194_/B sky130_fd_sc_hd__buf_1
+XFILLER_119_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63158_ _62697_/A _63158_/B _63157_/Y VGND VGND VPWR VPWR _63165_/B sky130_fd_sc_hd__nor3_2
+XFILLER_337_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_140_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_454_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_106_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_118_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50123_ _49974_/A VGND VGND VPWR VPWR _50152_/A sky130_fd_sc_hd__buf_1
+X_62109_ _59416_/A VGND VGND VPWR VPWR _62112_/A sky130_fd_sc_hd__buf_1
+X_39934_ _39941_/A _39945_/B _67811_/A VGND VGND VPWR VPWR _39935_/B sky130_fd_sc_hd__nand3_2
+XFILLER_136_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_172_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55980_ _55980_/A VGND VGND VPWR VPWR _55980_/X sky130_fd_sc_hd__buf_1
+XFILLER_192_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67966_ _67630_/A _67966_/B _67966_/C VGND VGND VPWR VPWR _67967_/C sky130_fd_sc_hd__nor3_2
+X_63089_ _63089_/A _63251_/B VGND VGND VPWR VPWR _63089_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_304_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69705_ _69632_/A _69705_/B _69665_/A _69632_/C VGND VGND VPWR VPWR _69705_/X sky130_fd_sc_hd__or4_2
+XFILLER_587_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66917_ _66417_/X _66915_/Y _66917_/C VGND VGND VPWR VPWR _66917_/Y sky130_fd_sc_hd__nor3_2
+X_50054_ _50052_/Y _50054_/B VGND VGND VPWR VPWR _78175_/D sky130_fd_sc_hd__nand2_2
+X_54931_ _54931_/A _54931_/B VGND VGND VPWR VPWR _54931_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_251_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39865_ _39865_/A _39865_/B VGND VGND VPWR VPWR _39865_/Y sky130_fd_sc_hd__nand2_2
+XPHY_8029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_612_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_102_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_130_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67897_ _64449_/A VGND VGND VPWR VPWR _68393_/A sky130_fd_sc_hd__buf_1
+XFILLER_449_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_410_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_118_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_131_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38816_ _38809_/A _38805_/B _38816_/C VGND VGND VPWR VPWR _38817_/B sky130_fd_sc_hd__nand3_2
+XFILLER_464_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57650_ _57650_/A VGND VGND VPWR VPWR _57731_/A sky130_fd_sc_hd__buf_1
+XFILLER_681_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69636_ _42087_/A VGND VGND VPWR VPWR _69636_/Y sky130_fd_sc_hd__inv_8
+XPHY_7328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_432_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54862_ _54946_/A _54874_/B VGND VGND VPWR VPWR _54862_/X sky130_fd_sc_hd__or2_2
+X_66848_ _80317_/Q _67185_/B VGND VGND VPWR VPWR _66849_/C sky130_fd_sc_hd__nor2_2
+XFILLER_311_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39796_ _40295_/A _39777_/X VGND VGND VPWR VPWR _39798_/A sky130_fd_sc_hd__or2_2
+XPHY_7339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_449_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_487_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_638_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_665_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56601_ _57409_/A VGND VGND VPWR VPWR _56774_/A sky130_fd_sc_hd__buf_1
+XFILLER_582_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53813_ _53841_/A _53819_/B VGND VGND VPWR VPWR _53813_/X sky130_fd_sc_hd__or2_2
+XPHY_39109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_528_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_287_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38747_ _38473_/A _38750_/B VGND VGND VPWR VPWR _38749_/A sky130_fd_sc_hd__or2_2
+XFILLER_723_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69567_ _69565_/X _69566_/Y VGND VGND VPWR VPWR _81518_/D sky130_fd_sc_hd__nand2_2
+X_57581_ _57419_/A _57581_/B VGND VGND VPWR VPWR _57582_/C sky130_fd_sc_hd__nor2_2
+XFILLER_60_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54793_ _54793_/A _54803_/B VGND VGND VPWR VPWR _54795_/A sky130_fd_sc_hd__nand2_2
+XFILLER_331_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66779_ _66779_/A _66613_/X VGND VGND VPWR VPWR _66779_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_680_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_585_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_229_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59320_ _77246_/Q _59485_/B VGND VGND VPWR VPWR _59322_/B sky130_fd_sc_hd__nor2_2
+XFILLER_268_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_366_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_216_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56532_ _56688_/A _56532_/B _56532_/C VGND VGND VPWR VPWR _56536_/B sky130_fd_sc_hd__nor3_2
+XFILLER_405_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68518_ _68518_/A _68518_/B _68517_/Y VGND VGND VPWR VPWR _68518_/X sky130_fd_sc_hd__or3_2
+XFILLER_246_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_5_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53744_ _77202_/Q _53751_/B VGND VGND VPWR VPWR _53744_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_57_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38678_ _38678_/A VGND VGND VPWR VPWR _38689_/A sky130_fd_sc_hd__buf_1
+XFILLER_541_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50956_ _50985_/A _50956_/B VGND VGND VPWR VPWR _50956_/X sky130_fd_sc_hd__or2_2
+XFILLER_186_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69498_ _58842_/A _64463_/B VGND VGND VPWR VPWR _69500_/B sky130_fd_sc_hd__nor2_2
+XFILLER_211_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_634_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_244_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_0_0_u_core.clock clkbuf_8_1_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_1_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_217_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59251_ _58971_/A VGND VGND VPWR VPWR _59252_/A sky130_fd_sc_hd__buf_1
+XFILLER_38_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_426_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56463_ _74392_/C _56541_/B VGND VGND VPWR VPWR _56464_/C sky130_fd_sc_hd__nor2_2
+X_80727_ _80736_/CLK _75273_/Y VGND VGND VPWR VPWR _65718_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_502_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68449_ _68277_/X _68446_/Y _68448_/Y VGND VGND VPWR VPWR _68449_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_506_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53675_ _53675_/A _53672_/B VGND VGND VPWR VPWR _53677_/A sky130_fd_sc_hd__nand2_2
+XFILLER_129_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50887_ _50972_/A _50899_/B VGND VGND VPWR VPWR _50888_/B sky130_fd_sc_hd__or2_2
+XFILLER_281_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_207_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_1500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58202_ _58052_/A _58202_/B _58202_/C VGND VGND VPWR VPWR _58206_/B sky130_fd_sc_hd__nor3_2
+XFILLER_426_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_183_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_442_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55414_ _55356_/A _55414_/B VGND VGND VPWR VPWR _55414_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_246_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_361_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52626_ _77496_/Q _52607_/X VGND VGND VPWR VPWR _52626_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_322_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40640_ _40883_/A _40645_/B VGND VGND VPWR VPWR _40640_/X sky130_fd_sc_hd__or2_2
+XPHY_27239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71460_ _71208_/X _71459_/Y _71205_/X _70378_/Y VGND VGND VPWR VPWR _71461_/B sky130_fd_sc_hd__o22a_4
+X_59182_ _59130_/X VGND VGND VPWR VPWR _59183_/A sky130_fd_sc_hd__buf_1
+XFILLER_43_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_414_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80658_ _80659_/CLK _75570_/Y VGND VGND VPWR VPWR _75569_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_26505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56394_ _57721_/A VGND VGND VPWR VPWR _56395_/B sky130_fd_sc_hd__buf_1
+XFILLER_541_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_73_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_227_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_164_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_4_6_1_u_core.clock clkbuf_4_6_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_4_6_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_459_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_0_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70411_ _70410_/Y VGND VGND VPWR VPWR _70411_/Y sky130_fd_sc_hd__inv_8
+X_58133_ _58133_/A _58056_/B VGND VGND VPWR VPWR _58134_/C sky130_fd_sc_hd__nor2_2
+XFILLER_24_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55345_ _55517_/A _45144_/X _55331_/X _55344_/Y VGND VGND VPWR VPWR _55346_/B sky130_fd_sc_hd__o22a_4
+XPHY_16015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_402_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40571_ _40552_/A VGND VGND VPWR VPWR _40572_/B sky130_fd_sc_hd__buf_1
+XPHY_25804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52557_ _52557_/A _52556_/X VGND VGND VPWR VPWR _52557_/Y sky130_fd_sc_hd__nand2_2
+XPHY_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71391_ _71391_/A _71389_/X _71390_/Y VGND VGND VPWR VPWR _71391_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_678_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80589_ _80525_/CLK _80589_/D VGND VGND VPWR VPWR _75836_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_25815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_437_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42310_ _41897_/A VGND VGND VPWR VPWR _42310_/X sky130_fd_sc_hd__buf_1
+XFILLER_212_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73130_ _73129_/X VGND VGND VPWR VPWR _73135_/B sky130_fd_sc_hd__buf_1
+XPHY_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_617_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51508_ _51508_/A _51507_/X VGND VGND VPWR VPWR _77794_/D sky130_fd_sc_hd__nand2_2
+XPHY_15314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58064_ _57665_/A VGND VGND VPWR VPWR _58065_/B sky130_fd_sc_hd__buf_1
+XPHY_16059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70342_ _70342_/A _71332_/A VGND VGND VPWR VPWR _70342_/X sky130_fd_sc_hd__or2_2
+XFILLER_519_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_185_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_498_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43290_ _43290_/A _43289_/Y VGND VGND VPWR VPWR _79389_/D sky130_fd_sc_hd__nand2_2
+X_55276_ _45293_/Y _55312_/A VGND VGND VPWR VPWR _55276_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_205_1552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_4_9_0_u_core.clock clkbuf_3_4_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_4_9_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_339_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52488_ _52485_/Y _52487_/X VGND VGND VPWR VPWR _52488_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_723_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_537_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57015_ _80311_/Q _57095_/B VGND VGND VPWR VPWR _57017_/B sky130_fd_sc_hd__nor2_2
+XFILLER_303_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54227_ _54674_/A VGND VGND VPWR VPWR _54227_/X sky130_fd_sc_hd__buf_1
+XFILLER_345_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42241_ _42240_/Y _42249_/B VGND VGND VPWR VPWR _42244_/A sky130_fd_sc_hd__nor2_2
+XFILLER_137_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73061_ _73074_/A _73061_/B _81275_/Q VGND VGND VPWR VPWR _73061_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_158_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_691_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51439_ _51439_/A _51438_/X VGND VGND VPWR VPWR _77813_/D sky130_fd_sc_hd__nand2_2
+XPHY_14624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70273_ _70270_/Y _70193_/X _70194_/X _70272_/X VGND VGND VPWR VPWR _70273_/X sky130_fd_sc_hd__o22a_4
+XFILLER_704_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_554_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_232_0_u_core.clock clkbuf_9_233_0_u_core.clock/A VGND VGND VPWR VPWR _79703_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_689_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_120_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_197_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_338_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72012_ _39238_/A VGND VGND VPWR VPWR _72013_/B sky130_fd_sc_hd__buf_1
+XPHY_13912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_552_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42172_ _71041_/Y _42177_/A VGND VGND VPWR VPWR _42172_/X sky130_fd_sc_hd__or2_2
+XPHY_14668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54158_ _77093_/Q _54152_/B VGND VGND VPWR VPWR _54158_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_125_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_257_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_677_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_314_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_153_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_492_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41123_ _41123_/A _41136_/B _65478_/A VGND VGND VPWR VPWR _41123_/Y sky130_fd_sc_hd__nand3_2
+XPHY_31324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53109_ _53134_/B VGND VGND VPWR VPWR _53109_/X sky130_fd_sc_hd__buf_1
+XPHY_32069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76820_ _76815_/CLK _55321_/Y VGND VGND VPWR VPWR _76820_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_13967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_253_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58966_ _59387_/A _58961_/Y _58966_/C VGND VGND VPWR VPWR _58979_/B sky130_fd_sc_hd__nor3_2
+X_46980_ _46962_/X _71648_/B _71575_/B _46979_/X VGND VGND VPWR VPWR _46981_/B sky130_fd_sc_hd__o22a_4
+XPHY_13978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54089_ _54089_/A _54088_/X VGND VGND VPWR VPWR _54089_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_298_238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_238_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41054_ _41036_/A _41054_/B _58100_/A VGND VGND VPWR VPWR _41055_/B sky130_fd_sc_hd__nand3_2
+X_45931_ _42592_/B _45926_/X VGND VGND VPWR VPWR _45932_/C sky130_fd_sc_hd__nor2_2
+XFILLER_216_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57917_ _57597_/A _57915_/Y _57916_/Y VGND VGND VPWR VPWR _57917_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_66_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76751_ _78586_/CLK _76751_/D VGND VGND VPWR VPWR _45240_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_218_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73963_ _73963_/A _73963_/B _81046_/Q VGND VGND VPWR VPWR _73964_/B sky130_fd_sc_hd__nand3_2
+XFILLER_62_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58897_ _63952_/A _58897_/B _58896_/Y VGND VGND VPWR VPWR _58897_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_431_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_273_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_270_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40005_ _39999_/A _40002_/B _64348_/A VGND VGND VPWR VPWR _40005_/Y sky130_fd_sc_hd__nand3_2
+XPHY_9286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_348_0_u_core.clock clkbuf_9_349_0_u_core.clock/A VGND VGND VPWR VPWR _78156_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_20144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75702_ _75944_/A _75695_/B VGND VGND VPWR VPWR _75704_/A sky130_fd_sc_hd__or2_2
+XFILLER_62_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_156_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48650_ _48708_/A _48650_/B VGND VGND VPWR VPWR _48651_/B sky130_fd_sc_hd__or2_2
+XPHY_8552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72914_ _73814_/A _72910_/B VGND VGND VPWR VPWR _72916_/A sky130_fd_sc_hd__or2_2
+XPHY_9297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_706_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79470_ _79470_/CLK _79470_/D VGND VGND VPWR VPWR _55170_/A sky130_fd_sc_hd__dfxtp_4
+X_45862_ _45848_/A _45859_/Y _45862_/C VGND VGND VPWR VPWR _79086_/D sky130_fd_sc_hd__nor3_2
+XFILLER_234_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_483_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57848_ _57923_/A _57848_/B _57848_/C VGND VGND VPWR VPWR _57848_/Y sky130_fd_sc_hd__nor3_2
+XPHY_30689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76682_ _79511_/CLK _76682_/D VGND VGND VPWR VPWR _55930_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_657_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73894_ _73886_/A _73894_/B _68725_/A VGND VGND VPWR VPWR _73894_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_428_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47601_ _47601_/A _53407_/A VGND VGND VPWR VPWR _47627_/B sky130_fd_sc_hd__or2_2
+XFILLER_212_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78421_ _78428_/CLK _49108_/Y VGND VGND VPWR VPWR _78421_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_663_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44813_ _44772_/X _44813_/B VGND VGND VPWR VPWR _44813_/Y sky130_fd_sc_hd__nor2_2
+XPHY_7851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75633_ _75640_/A _75644_/B _80643_/Q VGND VGND VPWR VPWR _75633_/Y sky130_fd_sc_hd__nand3_2
+XPHY_20199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72845_ _74683_/A VGND VGND VPWR VPWR _73643_/A sky130_fd_sc_hd__buf_1
+X_48581_ _63978_/A _48581_/B VGND VGND VPWR VPWR _48581_/Y sky130_fd_sc_hd__nand2_2
+XPHY_7862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45793_ _70669_/Y _45792_/X VGND VGND VPWR VPWR _45798_/A sky130_fd_sc_hd__nor2_2
+XPHY_39610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57779_ _57771_/Y _57779_/B VGND VGND VPWR VPWR _57779_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_409_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_726_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_59_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47532_ _47532_/A VGND VGND VPWR VPWR _48009_/A sky130_fd_sc_hd__buf_1
+XFILLER_464_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59518_ _77103_/Q _59844_/B VGND VGND VPWR VPWR _59518_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_327_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78352_ _79164_/CLK _78352_/D VGND VGND VPWR VPWR _78352_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_542_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44744_ _44715_/A _44743_/X VGND VGND VPWR VPWR _44744_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_40_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75564_ _75560_/A _75554_/B _65033_/A VGND VGND VPWR VPWR _75564_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_466_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41956_ wbs_adr_i[3] _41924_/X VGND VGND VPWR VPWR _42875_/B sky130_fd_sc_hd__or2_2
+XPHY_39654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60790_ _60790_/A _60790_/B VGND VGND VPWR VPWR _60792_/B sky130_fd_sc_hd__nor2_2
+XFILLER_653_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72776_ _72256_/A _72772_/B VGND VGND VPWR VPWR _72776_/X sky130_fd_sc_hd__or2_2
+XFILLER_95_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_75_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77303_ _76882_/CLK _53372_/Y VGND VGND VPWR VPWR _77303_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_1_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74515_ _74515_/A VGND VGND VPWR VPWR _74528_/B sky130_fd_sc_hd__buf_1
+XFILLER_307_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40907_ _40907_/A _40907_/B VGND VGND VPWR VPWR _79753_/D sky130_fd_sc_hd__nand2_2
+XFILLER_286_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_78_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47463_ _47463_/A _47463_/B VGND VGND VPWR VPWR _47463_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_452_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59449_ _59271_/A _59449_/B _59448_/Y VGND VGND VPWR VPWR _59450_/B sky130_fd_sc_hd__nor3_2
+X_71727_ _71727_/A _71727_/B VGND VGND VPWR VPWR _71727_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_182_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78283_ _78276_/CLK _49653_/Y VGND VGND VPWR VPWR _78283_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_38953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_263_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44675_ _79187_/Q VGND VGND VPWR VPWR _44675_/Y sky130_fd_sc_hd__inv_8
+XPHY_29175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75495_ _75495_/A VGND VGND VPWR VPWR _76140_/A sky130_fd_sc_hd__buf_1
+XFILLER_429_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41887_ _41887_/A VGND VGND VPWR VPWR _41887_/X sky130_fd_sc_hd__buf_1
+XPHY_38964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_284_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_235_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49202_ _49202_/A _49201_/X VGND VGND VPWR VPWR _49202_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_676_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46414_ _43145_/A _46414_/B VGND VGND VPWR VPWR _46414_/X sky130_fd_sc_hd__or2_2
+X_77234_ _77230_/CLK _53629_/Y VGND VGND VPWR VPWR _77234_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_396_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_612_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43626_ _42710_/B _43622_/X VGND VGND VPWR VPWR _43626_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_640_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74446_ _74538_/B VGND VGND VPWR VPWR _74452_/B sky130_fd_sc_hd__buf_1
+XFILLER_340_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62460_ _62453_/X _62460_/B _62459_/Y VGND VGND VPWR VPWR _62460_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_280_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40838_ _40873_/A VGND VGND VPWR VPWR _40843_/A sky130_fd_sc_hd__buf_1
+XPHY_28474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47394_ _47391_/Y _47393_/X VGND VGND VPWR VPWR _78852_/D sky130_fd_sc_hd__nand2_2
+XFILLER_597_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71658_ _71394_/A _71657_/X VGND VGND VPWR VPWR _71659_/B sky130_fd_sc_hd__nor2_2
+XFILLER_389_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_477_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49133_ _49133_/A _49120_/X VGND VGND VPWR VPWR _49133_/X sky130_fd_sc_hd__or2_2
+XFILLER_163_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61411_ _77531_/Q _61553_/B VGND VGND VPWR VPWR _61411_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_206_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46345_ _46345_/A _46345_/B VGND VGND VPWR VPWR _46346_/B sky130_fd_sc_hd__and2_2
+XFILLER_540_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_477_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70609_ _69745_/X _76653_/Q _70045_/B VGND VGND VPWR VPWR _70609_/X sky130_fd_sc_hd__and3_2
+XPHY_27773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77165_ _77663_/CLK _77165_/D VGND VGND VPWR VPWR _77165_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43557_ _42501_/B _43560_/B VGND VGND VPWR VPWR _43557_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_223_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62391_ _62391_/A _62553_/B VGND VGND VPWR VPWR _62391_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_422_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74377_ _74377_/A _74376_/Y VGND VGND VPWR VPWR _80949_/D sky130_fd_sc_hd__nand2_2
+XFILLER_308_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40769_ _40891_/A _40760_/B VGND VGND VPWR VPWR _40769_/X sky130_fd_sc_hd__or2_2
+XFILLER_538_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_163_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71589_ _70478_/Y _71321_/B VGND VGND VPWR VPWR _71589_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_108_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_368_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_598_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64130_ _64130_/A VGND VGND VPWR VPWR _65225_/A sky130_fd_sc_hd__buf_1
+XFILLER_37_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76116_ _74714_/A VGND VGND VPWR VPWR _76261_/A sky130_fd_sc_hd__buf_1
+XFILLER_594_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42508_ _69003_/A _42552_/B VGND VGND VPWR VPWR _42520_/A sky130_fd_sc_hd__nor2_2
+XFILLER_477_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49064_ _49064_/A _49063_/X VGND VGND VPWR VPWR _78431_/D sky130_fd_sc_hd__nand2_2
+X_61342_ _61342_/A _61184_/B VGND VGND VPWR VPWR _61343_/C sky130_fd_sc_hd__nor2_2
+XFILLER_692_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_501_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73328_ _73323_/X _73324_/X _66932_/A VGND VGND VPWR VPWR _73330_/A sky130_fd_sc_hd__nand3_2
+XFILLER_715_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_3053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46276_ _41765_/Y _41976_/A VGND VGND VPWR VPWR _46278_/A sky130_fd_sc_hd__nor2_2
+XPHY_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77096_ _77596_/CLK _54151_/Y VGND VGND VPWR VPWR _77096_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_364_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_566_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_674_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43488_ _55256_/A VGND VGND VPWR VPWR _55325_/A sky130_fd_sc_hd__inv_8
+XFILLER_593_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_670_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_358_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_141_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48015_ _48040_/B VGND VGND VPWR VPWR _48015_/X sky130_fd_sc_hd__buf_1
+XPHY_16582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45227_ _58849_/A _45195_/B VGND VGND VPWR VPWR _45227_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_657_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76047_ _75928_/A _76036_/B VGND VGND VPWR VPWR _76047_/X sky130_fd_sc_hd__or2_2
+X_64061_ _59531_/A _64053_/Y _64060_/Y VGND VGND VPWR VPWR _64061_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_15_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42439_ _42439_/A _42439_/B _42439_/C _42439_/D VGND VGND VPWR VPWR _42439_/X sky130_fd_sc_hd__or4_2
+XFILLER_726_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61273_ _59074_/X VGND VGND VPWR VPWR _61428_/B sky130_fd_sc_hd__buf_1
+XFILLER_635_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73259_ _73256_/Y _73259_/B VGND VGND VPWR VPWR _73259_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_334_3005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_297_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63012_ _62378_/X _63012_/B _63012_/C VGND VGND VPWR VPWR _63013_/C sky130_fd_sc_hd__nor3_2
+XFILLER_534_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_278 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60224_ _59416_/A VGND VGND VPWR VPWR _60227_/A sky130_fd_sc_hd__buf_1
+XFILLER_338_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45158_ _69725_/A _45278_/B VGND VGND VPWR VPWR _45186_/B sky130_fd_sc_hd__nor2_2
+XFILLER_616_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_506_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_436_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_32_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_711_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_436_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_397 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_89_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_588_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44109_ _44009_/A _44000_/B VGND VGND VPWR VPWR _44109_/Y sky130_fd_sc_hd__nor2_2
+X_67820_ _67820_/A _67652_/B VGND VGND VPWR VPWR _67820_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_471_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79806_ _79809_/CLK _79806_/D VGND VGND VPWR VPWR _66997_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_116_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60155_ _54346_/A _59980_/B VGND VGND VPWR VPWR _60156_/C sky130_fd_sc_hd__nor2_2
+XFILLER_488_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_67_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49966_ _49817_/A VGND VGND VPWR VPWR _49997_/A sky130_fd_sc_hd__buf_1
+XFILLER_354_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45089_ _45089_/A _55306_/B VGND VGND VPWR VPWR _45089_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_158_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_63_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77998_ _77998_/CLK _50726_/Y VGND VGND VPWR VPWR _61870_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_351_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_98_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_48_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48917_ _48287_/A VGND VGND VPWR VPWR _49043_/A sky130_fd_sc_hd__buf_1
+XFILLER_171_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67751_ _81027_/Q _67912_/B VGND VGND VPWR VPWR _67752_/C sky130_fd_sc_hd__nor2_2
+XPHY_22080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_256_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79737_ _79737_/CLK _79737_/D VGND VGND VPWR VPWR _79737_/Q sky130_fd_sc_hd__dfxtp_4
+X_64963_ _64963_/A _69466_/B VGND VGND VPWR VPWR _64963_/Y sky130_fd_sc_hd__nor2_2
+X_60086_ _77322_/Q _60406_/B VGND VGND VPWR VPWR _60086_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_332_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_449_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76949_ _76963_/CLK _76949_/D VGND VGND VPWR VPWR _54698_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_668_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_534_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49897_ _78217_/Q _49885_/X VGND VGND VPWR VPWR _49897_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_447_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_31880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_676_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_286_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66702_ _66702_/A VGND VGND VPWR VPWR _67037_/B sky130_fd_sc_hd__buf_1
+XFILLER_566_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_315_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39650_ _39663_/A _39653_/B _68318_/A VGND VGND VPWR VPWR _39650_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_446_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63914_ _59441_/A _63910_/Y _63913_/Y VGND VGND VPWR VPWR _63915_/C sky130_fd_sc_hd__nor3_2
+XFILLER_80_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_230_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_529_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48848_ _48848_/A _48845_/B VGND VGND VPWR VPWR _48848_/X sky130_fd_sc_hd__or2_2
+XFILLER_449_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67682_ _66872_/X _67682_/B _67682_/C VGND VGND VPWR VPWR _67683_/D sky130_fd_sc_hd__nor3_2
+XFILLER_97_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79668_ _79751_/CLK _41255_/Y VGND VGND VPWR VPWR _65307_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_170_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64894_ _65065_/A _64894_/B _64893_/Y VGND VGND VPWR VPWR _64894_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_629_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38601_ _38605_/A _38605_/B _64889_/A VGND VGND VPWR VPWR _38602_/B sky130_fd_sc_hd__nand3_2
+XFILLER_449_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69421_ _44664_/Y _65205_/A VGND VGND VPWR VPWR _69425_/A sky130_fd_sc_hd__nor2_2
+XFILLER_449_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_230_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_691_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66633_ _66633_/A _66316_/B VGND VGND VPWR VPWR _66633_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_410_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78619_ _78619_/CLK _78619_/D VGND VGND VPWR VPWR _48342_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39581_ _39579_/X _39580_/Y VGND VGND VPWR VPWR _80088_/D sky130_fd_sc_hd__nand2_2
+XFILLER_681_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63845_ _63435_/X _63843_/Y _63844_/Y VGND VGND VPWR VPWR _63845_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_38_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48779_ _78505_/Q _48765_/B VGND VGND VPWR VPWR _48782_/A sky130_fd_sc_hd__nand2_2
+XFILLER_380_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79599_ _79599_/CLK _79599_/D VGND VGND VPWR VPWR _56371_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_113_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_269_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_226_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_167_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38532_ _38523_/A _38523_/B _67387_/A VGND VGND VPWR VPWR _38533_/B sky130_fd_sc_hd__nand3_2
+XFILLER_415_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50810_ _50724_/X _50807_/B VGND VGND VPWR VPWR _50810_/X sky130_fd_sc_hd__or2_2
+XFILLER_66_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69352_ _58767_/A _64444_/X VGND VGND VPWR VPWR _69352_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_599_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66564_ _66564_/A _66902_/B VGND VGND VPWR VPWR _66564_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_560_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_349_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51790_ _51733_/A _51790_/B VGND VGND VPWR VPWR _51790_/X sky130_fd_sc_hd__or2_2
+X_63776_ _63645_/A _63776_/B _63775_/Y VGND VGND VPWR VPWR _63784_/B sky130_fd_sc_hd__nor3_2
+XFILLER_306_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60988_ _77632_/Q _60825_/B VGND VGND VPWR VPWR _60990_/B sky130_fd_sc_hd__nor2_2
+XFILLER_443_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_380_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68303_ _66657_/A VGND VGND VPWR VPWR _68304_/B sky130_fd_sc_hd__buf_1
+XFILLER_328_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_285_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_679_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65515_ _65677_/A _65513_/Y _65514_/Y VGND VGND VPWR VPWR _65515_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_603_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50741_ _50731_/X VGND VGND VPWR VPWR _50754_/B sky130_fd_sc_hd__buf_1
+XFILLER_588_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38463_ _38454_/A _38460_/B _80367_/Q VGND VGND VPWR VPWR _38463_/Y sky130_fd_sc_hd__nand3_2
+X_62727_ _62574_/A _62727_/B _62726_/Y VGND VGND VPWR VPWR _62727_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_253_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_675_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69283_ _44038_/B _65207_/A VGND VGND VPWR VPWR _69283_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_267_2291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_605_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66495_ _79995_/Q _66495_/B VGND VGND VPWR VPWR _66497_/B sky130_fd_sc_hd__nor2_2
+XFILLER_460_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_341_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_187_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80512_ _80477_/CLK _76143_/Y VGND VGND VPWR VPWR _80512_/Q sky130_fd_sc_hd__dfxtp_4
+X_68234_ _68234_/A _68574_/B VGND VGND VPWR VPWR _68235_/C sky130_fd_sc_hd__nor2_2
+XFILLER_495_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53460_ _53343_/X _53448_/B VGND VGND VPWR VPWR _53460_/X sky130_fd_sc_hd__or2_2
+XFILLER_599_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65446_ _64579_/X VGND VGND VPWR VPWR _65447_/B sky130_fd_sc_hd__buf_1
+XFILLER_25_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38394_ _76272_/A _38391_/B VGND VGND VPWR VPWR _38394_/X sky130_fd_sc_hd__or2_2
+X_50672_ _50672_/A _50672_/B VGND VGND VPWR VPWR _78012_/D sky130_fd_sc_hd__nand2_2
+XFILLER_576_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62658_ _59049_/A VGND VGND VPWR VPWR _62977_/A sky130_fd_sc_hd__buf_1
+XFILLER_694_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81492_ _79431_/CLK _71922_/Y VGND VGND VPWR VPWR _56781_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_529_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_460_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_165_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_59_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_241_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_421_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52411_ _52320_/X _52420_/B VGND VGND VPWR VPWR _52412_/B sky130_fd_sc_hd__or2_2
+XFILLER_716_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61609_ _61302_/A _61606_/Y _61609_/C VGND VGND VPWR VPWR _61613_/B sky130_fd_sc_hd__nor3_2
+XFILLER_37_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80443_ _80477_/CLK _76420_/Y VGND VGND VPWR VPWR _80443_/Q sky130_fd_sc_hd__dfxtp_4
+X_68165_ _80325_/Q _68165_/B VGND VGND VPWR VPWR _68166_/C sky130_fd_sc_hd__nor2_2
+XFILLER_40_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53391_ _53388_/Y _53390_/X VGND VGND VPWR VPWR _77298_/D sky130_fd_sc_hd__nand2_2
+XFILLER_576_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65377_ _65377_/A _65204_/Y _65377_/C _65376_/Y VGND VGND VPWR VPWR _76690_/D sky130_fd_sc_hd__or4_2
+XFILLER_421_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_395_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_304_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62589_ _62589_/A _62120_/B VGND VGND VPWR VPWR _62590_/C sky130_fd_sc_hd__nor2_2
+XFILLER_278_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_559_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_33_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_600_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55130_ _55130_/A _55166_/B VGND VGND VPWR VPWR _55130_/X sky130_fd_sc_hd__and2_2
+X_67116_ _64218_/A VGND VGND VPWR VPWR _67790_/A sky130_fd_sc_hd__buf_1
+X_52342_ _52341_/X _52308_/X VGND VGND VPWR VPWR _52343_/B sky130_fd_sc_hd__or2_2
+X_64328_ _64328_/A _64328_/B VGND VGND VPWR VPWR _64328_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_276_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_495_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_718_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_21_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80374_ _80375_/CLK _38440_/Y VGND VGND VPWR VPWR _65584_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_590_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68096_ _81381_/Q _68419_/B VGND VGND VPWR VPWR _68096_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_159_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_198_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_194_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39015_ _38508_/A _39029_/B VGND VGND VPWR VPWR _39018_/A sky130_fd_sc_hd__or2_2
+XFILLER_31_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55061_ _55064_/A _42468_/A VGND VGND VPWR VPWR _55069_/A sky130_fd_sc_hd__nand2_2
+X_67047_ _67214_/A _67045_/Y _67047_/C VGND VGND VPWR VPWR _67051_/B sky130_fd_sc_hd__nor3_2
+XFILLER_120_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52273_ _52187_/A _52267_/B VGND VGND VPWR VPWR _52273_/X sky130_fd_sc_hd__or2_2
+XFILLER_402_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64259_ _64259_/A VGND VGND VPWR VPWR _69523_/B sky130_fd_sc_hd__buf_1
+XFILLER_473_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_198_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_716_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_198_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_309_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_382_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54012_ _54010_/Y _54012_/B VGND VGND VPWR VPWR _54012_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_356_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51224_ _51222_/Y _51223_/X VGND VGND VPWR VPWR _77861_/D sky130_fd_sc_hd__nand2_2
+XFILLER_172_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_198_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_391_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_631_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_517_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_276_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_159_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58820_ _56350_/A _58820_/B _58820_/C VGND VGND VPWR VPWR _58821_/C sky130_fd_sc_hd__nor3_2
+XPHY_12518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_512_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51155_ _51155_/A _51155_/B VGND VGND VPWR VPWR _51155_/X sky130_fd_sc_hd__or2_2
+XFILLER_630_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68998_ _68632_/X _68998_/B VGND VGND VPWR VPWR _68999_/C sky130_fd_sc_hd__nor2_2
+XFILLER_514_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_11806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50106_ _78161_/Q _50091_/X VGND VGND VPWR VPWR _50106_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_337_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39917_ _39917_/A _39916_/Y VGND VGND VPWR VPWR _80008_/D sky130_fd_sc_hd__nand2_2
+XFILLER_176_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58751_ _69367_/A _56285_/B VGND VGND VPWR VPWR _58753_/B sky130_fd_sc_hd__nor2_2
+XFILLER_235_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55963_ _55991_/A VGND VGND VPWR VPWR _56098_/A sky130_fd_sc_hd__buf_1
+XFILLER_192_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51086_ _51111_/B VGND VGND VPWR VPWR _51086_/X sky130_fd_sc_hd__buf_1
+XFILLER_685_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67949_ _68431_/A _67946_/Y _67948_/Y VGND VGND VPWR VPWR _67949_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_137_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_591_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_153_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_46_0_u_core.clock clkbuf_7_47_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_93_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_467_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_289_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_89_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_213_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57702_ _57936_/A _57702_/B _57701_/Y VGND VGND VPWR VPWR _57703_/C sky130_fd_sc_hd__nor3_2
+XFILLER_235_1567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50037_ _50009_/A _50055_/B VGND VGND VPWR VPWR _50038_/B sky130_fd_sc_hd__or2_2
+X_54914_ _54942_/A _54929_/B VGND VGND VPWR VPWR _54915_/B sky130_fd_sc_hd__or2_2
+XFILLER_723_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_432_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39848_ _39843_/A _39834_/B _80023_/Q VGND VGND VPWR VPWR _39848_/Y sky130_fd_sc_hd__nand3_2
+X_70960_ _70830_/X VGND VGND VPWR VPWR _72089_/B sky130_fd_sc_hd__inv_8
+XFILLER_135_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58682_ _80972_/Q _58816_/B VGND VGND VPWR VPWR _58683_/C sky130_fd_sc_hd__nor2_2
+XFILLER_163_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_438_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55894_ _42056_/A VGND VGND VPWR VPWR _55894_/X sky130_fd_sc_hd__buf_1
+XFILLER_287_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_626_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_6_0_u_core.clock clkbuf_7_7_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_6_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_79_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_252_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57633_ _80031_/Q _57633_/B VGND VGND VPWR VPWR _57633_/Y sky130_fd_sc_hd__nor2_2
+X_69619_ _70639_/A VGND VGND VPWR VPWR _69638_/B sky130_fd_sc_hd__inv_8
+XFILLER_213_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_647_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_410_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54845_ _54958_/A _54841_/B VGND VGND VPWR VPWR _54846_/B sky130_fd_sc_hd__or2_2
+XFILLER_88_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39779_ _39769_/X _39764_/X _39779_/C VGND VGND VPWR VPWR _39780_/B sky130_fd_sc_hd__nand3_2
+XPHY_7169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70891_ _70891_/A _71085_/A VGND VGND VPWR VPWR _70891_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_76_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_130_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_213_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_257_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_246_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_467_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_311_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41810_ _41780_/A VGND VGND VPWR VPWR _41810_/X sky130_fd_sc_hd__buf_1
+XPHY_5712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72630_ _72217_/A _72622_/X VGND VGND VPWR VPWR _72630_/X sky130_fd_sc_hd__or2_2
+XFILLER_328_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57564_ _57400_/A _57564_/B VGND VGND VPWR VPWR _57564_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_45_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54776_ _54774_/Y _54775_/X VGND VGND VPWR VPWR _54776_/Y sky130_fd_sc_hd__nand2_2
+X_42790_ _42747_/X _70770_/X _70778_/X _42748_/X VGND VGND VPWR VPWR _42790_/X sky130_fd_sc_hd__o22a_4
+XFILLER_217_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_2_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_92_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51988_ _51986_/Y _51987_/X VGND VGND VPWR VPWR _77665_/D sky130_fd_sc_hd__nand2_2
+XFILLER_40_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_56_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_84_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_248_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59303_ _47698_/B VGND VGND VPWR VPWR _59470_/A sky130_fd_sc_hd__buf_1
+XFILLER_179_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56515_ _56759_/A _56514_/Y VGND VGND VPWR VPWR _56539_/B sky130_fd_sc_hd__nor2_2
+XPHY_5756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53727_ _53725_/Y _53726_/X VGND VGND VPWR VPWR _77207_/D sky130_fd_sc_hd__nand2_2
+X_41741_ wbs_dat_i[11] VGND VGND VPWR VPWR _41741_/Y sky130_fd_sc_hd__inv_8
+XPHY_38249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72561_ _72561_/A _72561_/B VGND VGND VPWR VPWR _72561_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_406_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_229_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50939_ _50937_/A VGND VGND VPWR VPWR _50955_/B sky130_fd_sc_hd__buf_1
+XFILLER_2_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_207_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57495_ _80285_/Q _57495_/B VGND VGND VPWR VPWR _57496_/C sky130_fd_sc_hd__nor2_2
+XFILLER_45_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_131_0_u_core.clock clkbuf_7_65_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_263_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_57_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_595_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74300_ _74277_/A VGND VGND VPWR VPWR _74301_/A sky130_fd_sc_hd__buf_1
+XFILLER_260_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_37537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59234_ _59163_/A VGND VGND VPWR VPWR _59234_/X sky130_fd_sc_hd__buf_1
+XFILLER_38_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71512_ _71512_/A _71512_/B VGND VGND VPWR VPWR _71512_/X sky130_fd_sc_hd__and2_2
+XPHY_36803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44460_ _74978_/A _44395_/B VGND VGND VPWR VPWR _44461_/C sky130_fd_sc_hd__nor2_2
+Xclkbuf_9_191_0_u_core.clock clkbuf_8_95_0_u_core.clock/X VGND VGND VPWR VPWR _79533_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_244_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56446_ _56291_/A _56440_/Y _56446_/C VGND VGND VPWR VPWR _56458_/A sky130_fd_sc_hd__nor3_2
+XFILLER_271_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75280_ _75278_/X _75280_/B _80725_/Q VGND VGND VPWR VPWR _75280_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_543_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41672_ _76839_/Q _76838_/Q VGND VGND VPWR VPWR _41674_/C sky130_fd_sc_hd__or2_2
+XPHY_36814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53658_ _53658_/A _53658_/B VGND VGND VPWR VPWR _53658_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_232_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72492_ _72489_/X _72491_/X _81417_/Q VGND VGND VPWR VPWR _72492_/Y sky130_fd_sc_hd__nand3_2
+XPHY_27036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_324_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43411_ _42930_/A _43398_/B VGND VGND VPWR VPWR _43414_/A sky130_fd_sc_hd__or2_2
+XFILLER_220_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74231_ _74231_/A _74227_/X VGND VGND VPWR VPWR _74231_/X sky130_fd_sc_hd__or2_2
+XFILLER_129_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40623_ _40617_/A _40630_/B _56670_/A VGND VGND VPWR VPWR _40624_/B sky130_fd_sc_hd__nand3_2
+XPHY_121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52609_ _52758_/A VGND VGND VPWR VPWR _52609_/X sky130_fd_sc_hd__buf_1
+XFILLER_309_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59165_ _77149_/Q _59404_/B VGND VGND VPWR VPWR _59166_/C sky130_fd_sc_hd__nor2_2
+X_71443_ _69880_/A VGND VGND VPWR VPWR _71443_/X sky130_fd_sc_hd__buf_1
+XFILLER_521_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56377_ _57993_/A VGND VGND VPWR VPWR _58827_/A sky130_fd_sc_hd__buf_1
+X_44391_ _72708_/A _73262_/X VGND VGND VPWR VPWR _44392_/A sky130_fd_sc_hd__or2_2
+XPHY_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_57_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53589_ _53596_/A VGND VGND VPWR VPWR _53608_/B sky130_fd_sc_hd__buf_1
+XFILLER_188_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_138_0_u_core.clock clkbuf_8_69_0_u_core.clock/X VGND VGND VPWR VPWR _79122_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_73_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_319_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_693_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58116_ _68151_/A _58273_/B VGND VGND VPWR VPWR _58116_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_35_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46130_ _46133_/A _46187_/A _46130_/C VGND VGND VPWR VPWR _46188_/C sky130_fd_sc_hd__nor3_2
+XPHY_165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43342_ _43342_/A _43342_/B VGND VGND VPWR VPWR _43342_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_693_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74162_ _74165_/A _74165_/B _81006_/Q VGND VGND VPWR VPWR _74162_/Y sky130_fd_sc_hd__nand3_2
+XPHY_15100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55328_ _55465_/B VGND VGND VPWR VPWR _55328_/X sky130_fd_sc_hd__buf_1
+XFILLER_164_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40554_ _40554_/A _40559_/B _68311_/A VGND VGND VPWR VPWR _40554_/Y sky130_fd_sc_hd__nand3_2
+XPHY_176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_671_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59096_ _59096_/A VGND VGND VPWR VPWR _60011_/A sky130_fd_sc_hd__buf_1
+X_71374_ _39146_/A VGND VGND VPWR VPWR _42919_/A sky130_fd_sc_hd__buf_1
+XPHY_15111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_575_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_26_0_u_core.clock clkbuf_8_27_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_53_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_24900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_562_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_346_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_494_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73113_ _39887_/A VGND VGND VPWR VPWR _74946_/A sky130_fd_sc_hd__buf_1
+XFILLER_177_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46061_ _71986_/A _46055_/Y VGND VGND VPWR VPWR _46061_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_202_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_247_0_u_core.clock clkbuf_8_246_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_495_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_537_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58047_ _58047_/A _58046_/Y VGND VGND VPWR VPWR _58047_/Y sky130_fd_sc_hd__nor2_2
+X_70325_ _70325_/A VGND VGND VPWR VPWR _70325_/X sky130_fd_sc_hd__buf_1
+XFILLER_491_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43273_ _42912_/A _43258_/B VGND VGND VPWR VPWR _43275_/A sky130_fd_sc_hd__or2_2
+XFILLER_12_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55259_ _55310_/A _55259_/B VGND VGND VPWR VPWR _55260_/B sky130_fd_sc_hd__nor2_2
+XPHY_14410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74093_ _74092_/X _74073_/B _66433_/A VGND VGND VPWR VPWR _74094_/B sky130_fd_sc_hd__nand3_2
+XPHY_15155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78970_ _79497_/CLK _78970_/D VGND VGND VPWR VPWR _43030_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_294_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40485_ _40471_/X _40466_/X _65683_/A VGND VGND VPWR VPWR _40486_/B sky130_fd_sc_hd__nand3_2
+XFILLER_590_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_355_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_3095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_630_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45012_ io_out[23] _42414_/X VGND VGND VPWR VPWR _45012_/X sky130_fd_sc_hd__or2_2
+XPHY_24966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_184_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42224_ _42223_/Y _42249_/B VGND VGND VPWR VPWR _42227_/A sky130_fd_sc_hd__nor2_2
+XPHY_14443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73044_ _42937_/A _73051_/B VGND VGND VPWR VPWR _73045_/B sky130_fd_sc_hd__or2_2
+X_77921_ _77920_/CLK _77921_/D VGND VGND VPWR VPWR _62319_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_712_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70256_ _70178_/X _70873_/A VGND VGND VPWR VPWR _70256_/X sky130_fd_sc_hd__or2_2
+XFILLER_51_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_218_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_299_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_294_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_418_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_515_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_488_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49820_ _61910_/A _49820_/B VGND VGND VPWR VPWR _49823_/A sky130_fd_sc_hd__nand2_2
+XFILLER_64_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42155_ _69677_/A _42141_/B VGND VGND VPWR VPWR _42156_/B sky130_fd_sc_hd__nor2_2
+XFILLER_236_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77852_ _77836_/CLK _77852_/D VGND VGND VPWR VPWR _61649_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_175_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_652_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_316_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_10_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70187_ _70187_/A VGND VGND VPWR VPWR _70187_/X sky130_fd_sc_hd__buf_1
+XFILLER_141_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_587_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59998_ _59998_/A _60332_/B VGND VGND VPWR VPWR _59998_/Y sky130_fd_sc_hd__nor2_2
+XPHY_13775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_535_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_287_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_503_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_119_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41106_ _41103_/X _41105_/Y VGND VGND VPWR VPWR _79705_/D sky130_fd_sc_hd__nand2_2
+XPHY_31154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76803_ _76798_/CLK _55535_/Y VGND VGND VPWR VPWR _76803_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_253_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49751_ _78256_/Q _49736_/X VGND VGND VPWR VPWR _49751_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_153_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_314_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46963_ _46962_/X _71575_/B _71517_/B _46937_/X VGND VGND VPWR VPWR _46964_/B sky130_fd_sc_hd__o22a_4
+X_42086_ _79528_/Q _42090_/B VGND VGND VPWR VPWR _42086_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_503_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58949_ _54876_/A _59312_/B VGND VGND VPWR VPWR _58949_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_665_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77783_ _77799_/CLK _77783_/D VGND VGND VPWR VPWR _60858_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_720_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74995_ _75031_/A VGND VGND VPWR VPWR _74995_/X sky130_fd_sc_hd__buf_1
+XFILLER_316_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_270_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48702_ _48700_/Y _48702_/B VGND VGND VPWR VPWR _78525_/D sky130_fd_sc_hd__nand2_2
+XFILLER_351_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79522_ _79119_/CLK _79522_/D VGND VGND VPWR VPWR _79522_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_618_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45914_ _45911_/X _45914_/B _45914_/C VGND VGND VPWR VPWR _79071_/D sky130_fd_sc_hd__nor3_2
+XFILLER_645_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41037_ _41033_/X _41036_/Y VGND VGND VPWR VPWR _79720_/D sky130_fd_sc_hd__nand2_2
+XFILLER_151_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76734_ _78860_/CLK _60576_/Y VGND VGND VPWR VPWR _45253_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_489_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73946_ _73944_/X _73946_/B VGND VGND VPWR VPWR _73946_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_368_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49682_ _49705_/B VGND VGND VPWR VPWR _49704_/B sky130_fd_sc_hd__buf_1
+X_61960_ _78350_/Q _62120_/B VGND VGND VPWR VPWR _61961_/C sky130_fd_sc_hd__nor2_2
+XPHY_30475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46894_ _46877_/A _46893_/X VGND VGND VPWR VPWR _46900_/A sky130_fd_sc_hd__or2_2
+XFILLER_313_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60911_ _60911_/A _60286_/B VGND VGND VPWR VPWR _60913_/B sky130_fd_sc_hd__nor2_2
+XFILLER_97_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48633_ _63285_/A _48614_/B VGND VGND VPWR VPWR _48636_/A sky130_fd_sc_hd__nand2_2
+XFILLER_7_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79453_ _76842_/CLK _79453_/D VGND VGND VPWR VPWR _79453_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_624_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45845_ _45845_/A _45795_/A VGND VGND VPWR VPWR _45847_/B sky130_fd_sc_hd__nor2_2
+XFILLER_633_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76665_ _76664_/CLK _76665_/D VGND VGND VPWR VPWR _70306_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_282_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61891_ _59544_/A VGND VGND VPWR VPWR _61891_/X sky130_fd_sc_hd__buf_1
+XFILLER_685_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73877_ _73877_/A VGND VGND VPWR VPWR _73886_/A sky130_fd_sc_hd__buf_1
+XFILLER_568_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_153_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_223_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78404_ _78403_/CLK _78404_/D VGND VGND VPWR VPWR _64055_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_7681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63630_ _48150_/A _63180_/B VGND VGND VPWR VPWR _63630_/Y sky130_fd_sc_hd__nor2_2
+X_75616_ _75620_/A _75626_/B _68348_/A VGND VGND VPWR VPWR _75617_/B sky130_fd_sc_hd__nand3_2
+XFILLER_444_3055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_43_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60842_ _60371_/A _60842_/B _60841_/Y VGND VGND VPWR VPWR _60842_/Y sky130_fd_sc_hd__nor3_2
+XPHY_7692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48564_ _48564_/A _48554_/B VGND VGND VPWR VPWR _48566_/A sky130_fd_sc_hd__nand2_2
+XFILLER_698_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72828_ _72843_/A _72824_/B _72828_/C VGND VGND VPWR VPWR _72829_/B sky130_fd_sc_hd__nand3_2
+XFILLER_481_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79384_ _79384_/CLK _79384_/D VGND VGND VPWR VPWR _66951_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_409_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_282_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45776_ _42250_/Y _45752_/X _45731_/X _45775_/Y VGND VGND VPWR VPWR _45776_/X sky130_fd_sc_hd__o22a_4
+XFILLER_231_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76596_ VGND VGND VPWR VPWR _76596_/HI la_data_out[92] sky130_fd_sc_hd__conb_1
+XFILLER_698_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42988_ _71981_/B _42994_/B VGND VGND VPWR VPWR _42988_/X sky130_fd_sc_hd__or2_2
+XFILLER_149_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_385_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_463_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47515_ _47515_/A _47514_/X VGND VGND VPWR VPWR _47515_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_368_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78335_ _77888_/CLK _49448_/Y VGND VGND VPWR VPWR _62014_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44727_ _44727_/A _44716_/B _44725_/B VGND VGND VPWR VPWR _44727_/X sky130_fd_sc_hd__or3_2
+X_75547_ _76187_/A VGND VGND VPWR VPWR _75548_/A sky130_fd_sc_hd__buf_1
+X_63561_ _63561_/A _63414_/X VGND VGND VPWR VPWR _63561_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_63_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_597_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41939_ _41699_/Y _41930_/X VGND VGND VPWR VPWR _41939_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_208_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_236_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48495_ _48492_/Y _48494_/X VGND VGND VPWR VPWR _78580_/D sky130_fd_sc_hd__nand2_2
+X_60773_ _60311_/A _60771_/Y _60773_/C VGND VGND VPWR VPWR _60773_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_526_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72759_ _72770_/A _72751_/X _81346_/Q VGND VGND VPWR VPWR _72759_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_444_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_706_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_504_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_722_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_381_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65300_ _65300_/A _64782_/B VGND VGND VPWR VPWR _65300_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_91_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_166_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62512_ _60163_/A VGND VGND VPWR VPWR _62983_/B sky130_fd_sc_hd__buf_1
+XFILLER_90_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_260_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_600_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47446_ _47446_/A _47436_/X VGND VGND VPWR VPWR _47446_/X sky130_fd_sc_hd__or2_2
+XFILLER_700_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66280_ _65452_/A VGND VGND VPWR VPWR _66283_/A sky130_fd_sc_hd__buf_1
+XFILLER_424_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78266_ _78276_/CLK _49719_/Y VGND VGND VPWR VPWR _78266_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44658_ _44874_/A VGND VGND VPWR VPWR _44875_/A sky130_fd_sc_hd__inv_8
+XFILLER_223_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_561_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63492_ _78664_/Q _63180_/B VGND VGND VPWR VPWR _63492_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_51_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75478_ _75478_/A _75498_/B _80676_/Q VGND VGND VPWR VPWR _75479_/B sky130_fd_sc_hd__nand3_2
+XFILLER_585_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_3053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_479_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_225_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_320_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65231_ _65231_/A _65227_/Y _65230_/Y VGND VGND VPWR VPWR _65235_/B sky130_fd_sc_hd__nor3_2
+XFILLER_601_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_657_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77217_ _77230_/CLK _53693_/Y VGND VGND VPWR VPWR _77217_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_500_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_242_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_577_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43609_ _43856_/A VGND VGND VPWR VPWR _43791_/A sky130_fd_sc_hd__buf_1
+XFILLER_34_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62443_ _78313_/Q _62443_/B VGND VGND VPWR VPWR _62446_/B sky130_fd_sc_hd__nor2_2
+X_74429_ _74425_/X _74428_/X VGND VGND VPWR VPWR _74429_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_381_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47377_ _47375_/Y _47377_/B VGND VGND VPWR VPWR _78856_/D sky130_fd_sc_hd__nand2_2
+X_78197_ _78189_/CLK _78197_/D VGND VGND VPWR VPWR _78197_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_422_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_602_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44589_ _79213_/Q _44589_/B VGND VGND VPWR VPWR _44589_/Y sky130_fd_sc_hd__nor2_2
+XPHY_27570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_349_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_479_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_241_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_121_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_657_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_657_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49116_ _63829_/A _49112_/B VGND VGND VPWR VPWR _49116_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_499_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46328_ _46331_/B VGND VGND VPWR VPWR _46328_/Y sky130_fd_sc_hd__inv_8
+X_65162_ _79987_/Q _65672_/B VGND VGND VPWR VPWR _65164_/B sky130_fd_sc_hd__nor2_2
+X_77148_ _77716_/CLK _77148_/D VGND VGND VPWR VPWR _77148_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_337_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_559_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62374_ _62070_/A _62369_/Y _62374_/C VGND VGND VPWR VPWR _62385_/B sky130_fd_sc_hd__nor3_2
+XPHY_17080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_340_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_203_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_160_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_301_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64113_ _64112_/X VGND VGND VPWR VPWR _65209_/A sky130_fd_sc_hd__buf_1
+X_49047_ _49047_/A _49065_/B VGND VGND VPWR VPWR _49048_/B sky130_fd_sc_hd__or2_2
+X_61325_ _61325_/A _61325_/B VGND VGND VPWR VPWR _61325_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_199_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_34_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_160_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46259_ _46259_/A _46255_/Y _46259_/C VGND VGND VPWR VPWR _79029_/D sky130_fd_sc_hd__nor3_2
+X_65093_ _65093_/A _64581_/B VGND VGND VPWR VPWR _65093_/Y sky130_fd_sc_hd__nor2_2
+X_69970_ _69969_/X VGND VGND VPWR VPWR _70469_/A sky130_fd_sc_hd__buf_1
+XFILLER_195_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77079_ _77596_/CLK _54212_/Y VGND VGND VPWR VPWR _77079_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_121_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_715_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_575_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_191_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_438_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_650_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_121_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68921_ _81386_/Q _69344_/B VGND VGND VPWR VPWR _68922_/C sky130_fd_sc_hd__nor2_2
+XFILLER_392_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64044_ _59408_/A _64042_/Y _64044_/C VGND VGND VPWR VPWR _64044_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_201_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_129_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61256_ _61099_/A _61254_/Y _61256_/C VGND VGND VPWR VPWR _61256_/Y sky130_fd_sc_hd__nor3_2
+X_80090_ _80095_/CLK _39575_/Y VGND VGND VPWR VPWR _80090_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_15_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_161 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_69_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_455_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_11_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_271_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_144_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_328_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60207_ _60039_/A _60205_/Y _60206_/Y VGND VGND VPWR VPWR _60207_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_318_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_533_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_28_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68852_ _68027_/A VGND VGND VPWR VPWR _68860_/A sky130_fd_sc_hd__buf_1
+XFILLER_521_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_102_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_332_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61187_ _61187_/A _60876_/B VGND VGND VPWR VPWR _61189_/B sky130_fd_sc_hd__nor2_2
+XFILLER_86_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_531_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67803_ _75752_/C _67803_/B VGND VGND VPWR VPWR _67804_/C sky130_fd_sc_hd__nor2_2
+XFILLER_172_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60138_ _59613_/X _60138_/B VGND VGND VPWR VPWR _60180_/B sky130_fd_sc_hd__nor2_2
+XFILLER_321_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_275_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_271_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49949_ _49800_/A VGND VGND VPWR VPWR _50012_/A sky130_fd_sc_hd__buf_1
+XFILLER_433_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68783_ _69365_/A _68773_/Y _68782_/Y VGND VGND VPWR VPWR _68783_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_264_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65995_ _66160_/A _65985_/Y _65995_/C VGND VGND VPWR VPWR _65996_/B sky130_fd_sc_hd__nor3_2
+XFILLER_320_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_687_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39702_ _39213_/A _39701_/X VGND VGND VPWR VPWR _39702_/X sky130_fd_sc_hd__or2_2
+XFILLER_119_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67734_ _67734_/A _67734_/B _67734_/C VGND VGND VPWR VPWR _67734_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_414_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_28_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52960_ _52929_/X _52960_/B VGND VGND VPWR VPWR _52960_/X sky130_fd_sc_hd__or2_2
+XFILLER_98_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60069_ _60069_/A _60069_/B _60069_/C VGND VGND VPWR VPWR _60074_/B sky130_fd_sc_hd__nor3_2
+X_64946_ _79570_/Q _64781_/B VGND VGND VPWR VPWR _64948_/B sky130_fd_sc_hd__nor2_2
+XFILLER_686_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_236_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_419_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80992_ _81025_/CLK _80992_/D VGND VGND VPWR VPWR _74214_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_6_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_512_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51911_ _51939_/A _51911_/B VGND VGND VPWR VPWR _51911_/X sky130_fd_sc_hd__or2_2
+X_39633_ _39633_/A _39633_/B _68981_/A VGND VGND VPWR VPWR _39634_/B sky130_fd_sc_hd__nand3_2
+XFILLER_210_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_636_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67665_ _80130_/Q _67335_/B VGND VGND VPWR VPWR _67665_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_429_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52891_ _52891_/A _52890_/X VGND VGND VPWR VPWR _52891_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_58_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64877_ _65572_/A _64877_/B _64876_/Y VGND VGND VPWR VPWR _64877_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_445_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_269_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_132_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69404_ _69404_/A _69400_/Y _69404_/C VGND VGND VPWR VPWR _69412_/B sky130_fd_sc_hd__nor3_2
+XFILLER_625_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54630_ _54628_/Y _54629_/X VGND VGND VPWR VPWR _76967_/D sky130_fd_sc_hd__nand2_2
+XFILLER_61_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66616_ _57451_/A _66615_/X VGND VGND VPWR VPWR _66617_/C sky130_fd_sc_hd__nor2_2
+XFILLER_288_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51842_ _51842_/A _51842_/B VGND VGND VPWR VPWR _51842_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_462_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39564_ _39508_/A VGND VGND VPWR VPWR _39565_/B sky130_fd_sc_hd__buf_1
+X_63828_ _63259_/X _63828_/B _63828_/C VGND VGND VPWR VPWR _63832_/B sky130_fd_sc_hd__nor3_2
+XPHY_5019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_23_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_617_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67596_ _67429_/A _67596_/B _67595_/Y VGND VGND VPWR VPWR _67597_/C sky130_fd_sc_hd__nor3_2
+XFILLER_651_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_110_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38515_ _76121_/A VGND VGND VPWR VPWR _38662_/A sky130_fd_sc_hd__buf_1
+XFILLER_329_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69335_ _69335_/A _69333_/Y _69335_/C VGND VGND VPWR VPWR _69335_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54561_ _54559_/Y _54560_/X VGND VGND VPWR VPWR _76987_/D sky130_fd_sc_hd__nand2_2
+XFILLER_386_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66547_ _66547_/A VGND VGND VPWR VPWR _67217_/A sky130_fd_sc_hd__buf_1
+XANTENNA_209 _76155_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_269_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51773_ _61437_/A _51780_/B VGND VGND VPWR VPWR _51775_/A sky130_fd_sc_hd__nand2_2
+X_39495_ _39254_/A _39501_/B VGND VGND VPWR VPWR _39495_/X sky130_fd_sc_hd__or2_2
+XPHY_4329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63759_ _63337_/A _63759_/B _63758_/Y VGND VGND VPWR VPWR _63759_/Y sky130_fd_sc_hd__nor3_2
+XPHY_18709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_54_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_525_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_577_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56300_ _56529_/A VGND VGND VPWR VPWR _57513_/A sky130_fd_sc_hd__buf_1
+XFILLER_341_2105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53512_ _53512_/A _53521_/B VGND VGND VPWR VPWR _53512_/X sky130_fd_sc_hd__or2_2
+XFILLER_208_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_408_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38446_ _38439_/A _38443_/B _80372_/Q VGND VGND VPWR VPWR _38446_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_325_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50724_ _50275_/A VGND VGND VPWR VPWR _50724_/X sky130_fd_sc_hd__buf_1
+X_57280_ _57280_/A _57280_/B _57280_/C VGND VGND VPWR VPWR _57281_/C sky130_fd_sc_hd__nor3_2
+XFILLER_198_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_503_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69266_ _69404_/A _69262_/Y _69266_/C VGND VGND VPWR VPWR _69266_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_443_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54492_ _54490_/A VGND VGND VPWR VPWR _54511_/B sky130_fd_sc_hd__buf_1
+XFILLER_640_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66478_ _57351_/A _65981_/B VGND VGND VPWR VPWR _66480_/B sky130_fd_sc_hd__nor2_2
+XFILLER_54_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_548_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_658_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56231_ _58535_/A VGND VGND VPWR VPWR _56577_/B sky130_fd_sc_hd__buf_1
+XPHY_2916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68217_ _67894_/A _68217_/B _68216_/Y VGND VGND VPWR VPWR _68217_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_560_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65429_ _64748_/A _65429_/B _65429_/C VGND VGND VPWR VPWR _65430_/C sky130_fd_sc_hd__nor3_2
+X_53443_ _53443_/A _53439_/B VGND VGND VPWR VPWR _53445_/A sky130_fd_sc_hd__nand2_2
+XFILLER_74_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38377_ _38374_/A _38385_/B _38377_/C VGND VGND VPWR VPWR _38377_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_323_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50655_ _50655_/A _50648_/X VGND VGND VPWR VPWR _50655_/X sky130_fd_sc_hd__or2_2
+XFILLER_576_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81475_ _81468_/CLK _81475_/D VGND VGND VPWR VPWR _67791_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_503_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69197_ _69335_/A _69197_/B _69197_/C VGND VGND VPWR VPWR _69197_/Y sky130_fd_sc_hd__nor3_2
+XPHY_2938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_289_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_529_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_146_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56162_ _56152_/A _56162_/B _56162_/C VGND VGND VPWR VPWR wbs_dat_o[26] sky130_fd_sc_hd__nor3_2
+X_80426_ _80393_/CLK _80426_/D VGND VGND VPWR VPWR _68880_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_538_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68148_ _68148_/A _68307_/B VGND VGND VPWR VPWR _68148_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_50_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53374_ _53343_/X _53371_/B VGND VGND VPWR VPWR _53374_/X sky130_fd_sc_hd__or2_2
+XPHY_34719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_577_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50586_ _50642_/A _50607_/B VGND VGND VPWR VPWR _50587_/B sky130_fd_sc_hd__or2_2
+XFILLER_675_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55113_ _55113_/A _55112_/Y VGND VGND VPWR VPWR _55113_/X sky130_fd_sc_hd__xor2_2
+XFILLER_194_443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52325_ _52772_/A VGND VGND VPWR VPWR _52358_/A sky130_fd_sc_hd__buf_1
+XPHY_24229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_328_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56093_ _56087_/A _56093_/B VGND VGND VPWR VPWR _56094_/B sky130_fd_sc_hd__nor2_2
+X_80357_ _80354_/CLK _38514_/Y VGND VGND VPWR VPWR _68052_/A sky130_fd_sc_hd__dfxtp_4
+X_68079_ _68079_/A _67912_/B VGND VGND VPWR VPWR _68079_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_355_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_107_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_614_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_705_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70110_ _70106_/Y _70108_/X _70095_/A _70360_/A VGND VGND VPWR VPWR _70110_/X sky130_fd_sc_hd__o22a_4
+XFILLER_356_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_124_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55044_ _76853_/Q _55022_/X VGND VGND VPWR VPWR _55044_/Y sky130_fd_sc_hd__nand2_2
+X_59921_ _77321_/Q _59764_/B VGND VGND VPWR VPWR _59923_/B sky130_fd_sc_hd__nor2_2
+XPHY_13005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40270_ _40260_/A VGND VGND VPWR VPWR _40325_/A sky130_fd_sc_hd__buf_1
+XFILLER_358_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52256_ _61378_/A _52266_/B VGND VGND VPWR VPWR _52258_/A sky130_fd_sc_hd__nand2_2
+XFILLER_237_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71090_ _71205_/A VGND VGND VPWR VPWR _71090_/X sky130_fd_sc_hd__buf_1
+XFILLER_716_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80288_ _80289_/CLK _80288_/D VGND VGND VPWR VPWR _80288_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51207_ _51197_/X VGND VGND VPWR VPWR _51220_/B sky130_fd_sc_hd__buf_1
+XPHY_12304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70041_ _70041_/A _70041_/B VGND VGND VPWR VPWR _70046_/A sky130_fd_sc_hd__nand2_2
+XFILLER_658_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_536_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59852_ _60334_/A _59850_/Y _59852_/C VGND VGND VPWR VPWR _59853_/C sky130_fd_sc_hd__nor3_2
+XFILLER_172_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52187_ _52187_/A _52187_/B VGND VGND VPWR VPWR _52187_/X sky130_fd_sc_hd__or2_2
+XFILLER_323_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_688_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_686_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_137_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58803_ _58530_/X _58803_/B _58802_/Y VGND VGND VPWR VPWR _58803_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_311_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_489_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51138_ _61792_/A _51138_/B VGND VGND VPWR VPWR _51140_/A sky130_fd_sc_hd__nand2_2
+XFILLER_122_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_567_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_257_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_254_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59783_ _59460_/A _59783_/B _59782_/Y VGND VGND VPWR VPWR _59783_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_133_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56995_ _57243_/A _56995_/B _56994_/Y VGND VGND VPWR VPWR _56999_/B sky130_fd_sc_hd__nor3_2
+XFILLER_669_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_486_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_2718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73800_ _73923_/A _73804_/B VGND VGND VPWR VPWR _73800_/X sky130_fd_sc_hd__or2_2
+XFILLER_489_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_435_0_u_core.clock clkbuf_9_435_0_u_core.clock/A VGND VGND VPWR VPWR _80104_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_387_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_627_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58734_ _69399_/A _58531_/B VGND VGND VPWR VPWR _58734_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_107_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_103_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43960_ _79275_/Q _43944_/B VGND VGND VPWR VPWR _43960_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_213_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_172_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51069_ _51066_/Y _51069_/B VGND VGND VPWR VPWR _77906_/D sky130_fd_sc_hd__nand2_2
+X_55946_ _71436_/A _42093_/A _69711_/B VGND VGND VPWR VPWR _55947_/B sky130_fd_sc_hd__and3_2
+X_74780_ _75391_/A _74779_/X VGND VGND VPWR VPWR _74780_/X sky130_fd_sc_hd__or2_2
+XPHY_11669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71992_ _71992_/A _71989_/Y _71991_/Y VGND VGND VPWR VPWR _71992_/X sky130_fd_sc_hd__or3_2
+XFILLER_627_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_625_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_231_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_296_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_655_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42911_ _42908_/X _42911_/B VGND VGND VPWR VPWR _42911_/Y sky130_fd_sc_hd__nand2_2
+XPHY_10957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73731_ _73973_/A _73722_/B VGND VGND VPWR VPWR _73731_/X sky130_fd_sc_hd__or2_2
+XFILLER_368_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58665_ _39386_/C _58665_/B VGND VGND VPWR VPWR _58666_/C sky130_fd_sc_hd__nor2_2
+XFILLER_465_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70943_ _70678_/X VGND VGND VPWR VPWR _71874_/B sky130_fd_sc_hd__inv_8
+XPHY_10968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_3131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43891_ _75014_/A _43891_/B VGND VGND VPWR VPWR _43892_/C sky130_fd_sc_hd__nor2_2
+XPHY_6210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55877_ _42187_/B _55877_/B VGND VGND VPWR VPWR _76683_/D sky130_fd_sc_hd__or2_2
+XFILLER_213_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_480_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57616_ _56397_/X VGND VGND VPWR VPWR _57936_/A sky130_fd_sc_hd__buf_1
+X_45630_ _71861_/A _45617_/B VGND VGND VPWR VPWR _45631_/C sky130_fd_sc_hd__nor2_2
+XFILLER_660_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76450_ VGND VGND VPWR VPWR _76450_/HI io_oeb[10] sky130_fd_sc_hd__conb_1
+XPHY_6243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42842_ _41805_/Y _42257_/A _41806_/Y _42260_/A VGND VGND VPWR VPWR _42842_/X sky130_fd_sc_hd__o22a_4
+X_73662_ _73662_/A _73662_/B VGND VGND VPWR VPWR _73662_/X sky130_fd_sc_hd__or2_2
+XFILLER_419_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54828_ _54828_/A VGND VGND VPWR VPWR _54841_/B sky130_fd_sc_hd__buf_1
+XFILLER_292_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_523_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58596_ _58663_/A _58596_/B VGND VGND VPWR VPWR _58596_/Y sky130_fd_sc_hd__nor2_2
+X_70874_ _70121_/X _70147_/Y _71073_/A VGND VGND VPWR VPWR _70874_/X sky130_fd_sc_hd__and3_2
+XFILLER_428_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_614_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75401_ _75394_/A _75401_/B _75401_/C VGND VGND VPWR VPWR _75401_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_150_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_85_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72613_ _72667_/A VGND VGND VPWR VPWR _72620_/B sky130_fd_sc_hd__buf_1
+XFILLER_363_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45561_ _45542_/X VGND VGND VPWR VPWR _45572_/B sky130_fd_sc_hd__buf_1
+XFILLER_579_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57547_ _57547_/A VGND VGND VPWR VPWR _57548_/B sky130_fd_sc_hd__buf_1
+XPHY_38035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76381_ _76261_/A _76388_/B VGND VGND VPWR VPWR _76383_/A sky130_fd_sc_hd__or2_2
+XFILLER_365_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42773_ _42752_/A _42772_/X VGND VGND VPWR VPWR _42773_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_504_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54759_ _54768_/A VGND VGND VPWR VPWR _54771_/B sky130_fd_sc_hd__buf_1
+XFILLER_92_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73593_ _73607_/A _73597_/B _81144_/Q VGND VGND VPWR VPWR _73593_/Y sky130_fd_sc_hd__nand3_2
+XPHY_37301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_324_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47300_ _47259_/X VGND VGND VPWR VPWR _49363_/A sky130_fd_sc_hd__buf_1
+XFILLER_209_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_79_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78120_ _78121_/CLK _78120_/D VGND VGND VPWR VPWR _62239_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_183_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44512_ _66695_/A _44369_/X VGND VGND VPWR VPWR _44526_/A sky130_fd_sc_hd__nor2_2
+XPHY_4841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75332_ _75330_/X _75331_/Y VGND VGND VPWR VPWR _75332_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_217_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41724_ _45107_/B VGND VGND VPWR VPWR _45309_/B sky130_fd_sc_hd__buf_1
+XPHY_37334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48280_ _78630_/Q _48283_/B VGND VGND VPWR VPWR _48282_/A sky130_fd_sc_hd__nand2_2
+X_72544_ _72666_/A _72549_/B VGND VGND VPWR VPWR _72548_/A sky130_fd_sc_hd__or2_2
+XFILLER_363_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2052 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_630_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57478_ _66829_/A _57645_/B VGND VGND VPWR VPWR _57478_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_57_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45492_ _45492_/A _45299_/B _45476_/C VGND VGND VPWR VPWR _45492_/Y sky130_fd_sc_hd__nor3_2
+XPHY_37345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59217_ _59426_/A VGND VGND VPWR VPWR _59218_/B sky130_fd_sc_hd__buf_1
+XFILLER_38_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47231_ _47232_/A VGND VGND VPWR VPWR _47231_/X sky130_fd_sc_hd__buf_1
+XFILLER_658_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78051_ _78044_/CLK _78051_/D VGND VGND VPWR VPWR _62660_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44443_ _44443_/A _44442_/X _44508_/C VGND VGND VPWR VPWR _44443_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56429_ _58833_/A _56426_/Y _56429_/C VGND VGND VPWR VPWR _56429_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_246_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75263_ _75259_/X _75263_/B VGND VGND VPWR VPWR _75263_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_504_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_378_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41655_ wbs_adr_i[27] wbs_adr_i[26] wbs_adr_i[25] _41654_/Y VGND VGND VPWR VPWR _41662_/A
++ sky130_fd_sc_hd__or4_2
+XPHY_36644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72475_ _72472_/A _72472_/B _69340_/A VGND VGND VPWR VPWR _72475_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_341_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_220_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_1617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77002_ _76941_/CLK _54501_/Y VGND VGND VPWR VPWR _59967_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_341_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74214_ _74207_/A _74203_/B _74214_/C VGND VGND VPWR VPWR _74215_/B sky130_fd_sc_hd__nand3_2
+XFILLER_402_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_324_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40606_ _40604_/X _40606_/B VGND VGND VPWR VPWR _40606_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_502_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47162_ _47162_/A _47165_/B _47162_/C VGND VGND VPWR VPWR _78899_/D sky130_fd_sc_hd__nor3_2
+XFILLER_654_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59148_ _60676_/A VGND VGND VPWR VPWR _59720_/B sky130_fd_sc_hd__buf_1
+XFILLER_53_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71426_ _70905_/Y _71425_/B VGND VGND VPWR VPWR _71426_/X sky130_fd_sc_hd__and2_2
+XFILLER_220_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_709_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44374_ _44373_/X VGND VGND VPWR VPWR _44377_/A sky130_fd_sc_hd__buf_1
+XPHY_25420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75194_ _75192_/X _75193_/Y VGND VGND VPWR VPWR _80748_/D sky130_fd_sc_hd__nand2_2
+XPHY_26165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41586_ _41586_/A _41585_/Y VGND VGND VPWR VPWR _79580_/D sky130_fd_sc_hd__nand2_2
+XPHY_35954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_305_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_220_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_502_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46113_ _45382_/A _46113_/B VGND VGND VPWR VPWR _46113_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43325_ _42962_/A _43313_/X VGND VGND VPWR VPWR _43325_/X sky130_fd_sc_hd__or2_2
+XFILLER_693_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74145_ _74141_/X _74145_/B VGND VGND VPWR VPWR _81009_/D sky130_fd_sc_hd__nand2_2
+XPHY_35987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40537_ _40390_/A _40549_/B VGND VGND VPWR VPWR _40540_/A sky130_fd_sc_hd__or2_2
+XPHY_25464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_346_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47093_ _46851_/A _47091_/Y _47093_/C VGND VGND VPWR VPWR _47095_/A sky130_fd_sc_hd__nor3_2
+X_71357_ _71357_/A _71357_/B VGND VGND VPWR VPWR _71357_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_572_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59079_ _59078_/X VGND VGND VPWR VPWR _59080_/B sky130_fd_sc_hd__buf_1
+XFILLER_121_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_200_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_717_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_646_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_376_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61110_ _59060_/X VGND VGND VPWR VPWR _61110_/X sky130_fd_sc_hd__buf_1
+XPHY_24752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46044_ _46861_/A VGND VGND VPWR VPWR _46844_/A sky130_fd_sc_hd__buf_1
+X_70308_ _70307_/Y _70168_/A _70299_/A _70408_/B VGND VGND VPWR VPWR _70308_/X sky130_fd_sc_hd__o22a_4
+XFILLER_455_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43256_ _43244_/A VGND VGND VPWR VPWR _43257_/A sky130_fd_sc_hd__buf_1
+XFILLER_632_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62090_ _61931_/A _62088_/Y _62090_/C VGND VGND VPWR VPWR _62095_/B sky130_fd_sc_hd__nor3_2
+XPHY_14240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_537_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74076_ _74220_/A _74061_/B VGND VGND VPWR VPWR _74076_/X sky130_fd_sc_hd__or2_2
+XFILLER_294_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78953_ _78890_/CLK _46797_/Y VGND VGND VPWR VPWR _43139_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_534_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40468_ _40465_/X _40467_/Y VGND VGND VPWR VPWR _79866_/D sky130_fd_sc_hd__nand2_2
+XFILLER_712_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71288_ _70252_/Y _71288_/B VGND VGND VPWR VPWR _71292_/B sky130_fd_sc_hd__nor2_2
+XFILLER_154_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_590_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_294_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42207_ _79103_/Q VGND VGND VPWR VPWR _42207_/Y sky130_fd_sc_hd__inv_8
+XFILLER_515_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61041_ _60886_/A _61041_/B _61041_/C VGND VGND VPWR VPWR _61041_/Y sky130_fd_sc_hd__nor3_2
+X_73027_ _73027_/A _73027_/B VGND VGND VPWR VPWR _81285_/D sky130_fd_sc_hd__nand2_2
+X_77904_ _78800_/CLK _51075_/Y VGND VGND VPWR VPWR _77904_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_154_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_3068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70239_ _70238_/X VGND VGND VPWR VPWR _70239_/Y sky130_fd_sc_hd__inv_8
+XFILLER_177_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43187_ _79449_/Q VGND VGND VPWR VPWR _43187_/Y sky130_fd_sc_hd__inv_8
+XPHY_13550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78884_ _78890_/CLK _78884_/D VGND VGND VPWR VPWR _46436_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_14295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40399_ _39132_/A VGND VGND VPWR VPWR _40400_/A sky130_fd_sc_hd__buf_1
+XFILLER_190_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_299_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49803_ _62537_/A _49812_/B VGND VGND VPWR VPWR _49807_/A sky130_fd_sc_hd__nand2_2
+XFILLER_662_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42138_ _42138_/A _42137_/Y _42142_/C VGND VGND VPWR VPWR _42138_/Y sky130_fd_sc_hd__nor3_2
+X_77835_ _77840_/CLK _51346_/Y VGND VGND VPWR VPWR _61386_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_272_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_1540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_218_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47995_ _47995_/A _47995_/B VGND VGND VPWR VPWR _47995_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_433_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_9_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_708_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64800_ _81297_/Q _64800_/B VGND VGND VPWR VPWR _64801_/C sky130_fd_sc_hd__nor2_2
+XFILLER_411_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_687_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49734_ _49734_/A _49733_/X VGND VGND VPWR VPWR _49734_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_45_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42069_ _44448_/A VGND VGND VPWR VPWR _42070_/A sky130_fd_sc_hd__buf_1
+X_46946_ _46913_/A _46946_/B VGND VGND VPWR VPWR _46950_/A sky130_fd_sc_hd__or2_2
+X_65780_ _65780_/A VGND VGND VPWR VPWR _65950_/A sky130_fd_sc_hd__buf_1
+XFILLER_214_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77766_ _77741_/CLK _51614_/Y VGND VGND VPWR VPWR _60695_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62992_ _63304_/A _62992_/B _62991_/Y VGND VGND VPWR VPWR _62993_/C sky130_fd_sc_hd__nor3_2
+X_74978_ _74978_/A _74985_/B VGND VGND VPWR VPWR _74978_/X sky130_fd_sc_hd__or2_2
+XFILLER_214_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_80_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79505_ _76720_/CLK _79505_/D VGND VGND VPWR VPWR _79505_/Q sky130_fd_sc_hd__dfxtp_4
+X_64731_ _64731_/A _65064_/B VGND VGND VPWR VPWR _64731_/Y sky130_fd_sc_hd__nor2_2
+XPHY_30294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_526_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76717_ _76677_/CLK _55927_/X VGND VGND VPWR VPWR _69569_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_646_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61943_ _61318_/X _61940_/Y _61943_/C VGND VGND VPWR VPWR _61943_/Y sky130_fd_sc_hd__nor3_2
+X_49665_ _49696_/A _49673_/B VGND VGND VPWR VPWR _49665_/X sky130_fd_sc_hd__or2_2
+XFILLER_256_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_79_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73929_ _73807_/A _73923_/B VGND VGND VPWR VPWR _73929_/X sky130_fd_sc_hd__or2_2
+XFILLER_310_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_132_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_645_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_444_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46877_ _46877_/A _46876_/X VGND VGND VPWR VPWR _46877_/X sky130_fd_sc_hd__or2_2
+XFILLER_725_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77697_ _77193_/CLK _77697_/D VGND VGND VPWR VPWR _77697_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_665_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_42_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48616_ _63846_/A _48611_/B VGND VGND VPWR VPWR _48616_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_416_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79436_ _79435_/CLK _79436_/D VGND VGND VPWR VPWR _68456_/A sky130_fd_sc_hd__dfxtp_4
+X_67450_ _67788_/A _67448_/Y _67449_/Y VGND VGND VPWR VPWR _67450_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_456_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_95_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45828_ _79091_/Q _45816_/B VGND VGND VPWR VPWR _45833_/B sky130_fd_sc_hd__nor2_2
+X_64662_ _64662_/A _69502_/B VGND VGND VPWR VPWR _64663_/C sky130_fd_sc_hd__nor2_2
+XFILLER_255_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76648_ _79384_/CLK _76648_/D VGND VGND VPWR VPWR _70723_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_660_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61874_ _61874_/A _61409_/B VGND VGND VPWR VPWR _61874_/Y sky130_fd_sc_hd__nor2_2
+X_49596_ _49626_/A _49596_/B VGND VGND VPWR VPWR _49597_/B sky130_fd_sc_hd__or2_2
+XFILLER_633_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_209_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_472_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_83_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_23_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_561_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_184_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66401_ _66401_/A VGND VGND VPWR VPWR _66724_/B sky130_fd_sc_hd__buf_1
+XFILLER_643_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_462_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_97_1533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63613_ _63613_/A _63609_/Y _63613_/C VGND VGND VPWR VPWR _63621_/B sky130_fd_sc_hd__nor3_2
+XFILLER_596_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60825_ _77631_/Q _60825_/B VGND VGND VPWR VPWR _60828_/B sky130_fd_sc_hd__nor2_2
+X_48547_ _48042_/A _49254_/B VGND VGND VPWR VPWR _48547_/X sky130_fd_sc_hd__or2_2
+X_67381_ _80481_/Q _67224_/B VGND VGND VPWR VPWR _67384_/B sky130_fd_sc_hd__nor2_2
+XFILLER_526_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79367_ _79368_/CLK _79367_/D VGND VGND VPWR VPWR _58767_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_431_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_409_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45759_ _45759_/A _45759_/B _45759_/C VGND VGND VPWR VPWR _45759_/Y sky130_fd_sc_hd__nor3_2
+XPHY_39270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64593_ _64593_/A _69311_/B VGND VGND VPWR VPWR _64593_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_82_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76579_ VGND VGND VPWR VPWR _76579_/HI la_data_out[75] sky130_fd_sc_hd__conb_1
+XFILLER_678_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_63_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_35_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38300_ _38293_/A _38293_/B _80411_/Q VGND VGND VPWR VPWR _38301_/B sky130_fd_sc_hd__nand3_2
+XFILLER_559_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69120_ _58601_/A _68981_/B VGND VGND VPWR VPWR _69120_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_346_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66332_ _66162_/A _66331_/Y VGND VGND VPWR VPWR _66332_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_364_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_224_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_282_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_208_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78318_ _78301_/CLK _49523_/Y VGND VGND VPWR VPWR _78318_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_507_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39280_ _39133_/A _39283_/B VGND VGND VPWR VPWR _39280_/X sky130_fd_sc_hd__or2_2
+X_63544_ _63675_/A _63544_/B _63543_/Y VGND VGND VPWR VPWR _63544_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_620_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60756_ _52959_/A _60756_/B VGND VGND VPWR VPWR _60756_/Y sky130_fd_sc_hd__nor2_2
+X_48478_ _48320_/A VGND VGND VPWR VPWR _48478_/X sky130_fd_sc_hd__buf_1
+X_79298_ _79298_/CLK _79298_/D VGND VGND VPWR VPWR _79298_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_123_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_307_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_75_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_209_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38231_ _38231_/A _38231_/B VGND VGND VPWR VPWR _80430_/D sky130_fd_sc_hd__nand2_2
+XFILLER_307_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69051_ _81035_/Q _69329_/B VGND VGND VPWR VPWR _69051_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_503_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_1_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47429_ _47427_/A VGND VGND VPWR VPWR _47451_/B sky130_fd_sc_hd__buf_1
+XFILLER_687_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66263_ _66263_/A _66262_/X VGND VGND VPWR VPWR _66263_/Y sky130_fd_sc_hd__nor2_2
+X_78249_ _78276_/CLK _49779_/Y VGND VGND VPWR VPWR _78249_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_260_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63475_ _61913_/A VGND VGND VPWR VPWR _63478_/A sky130_fd_sc_hd__buf_1
+XPHY_28090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_479_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_479_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60687_ _60687_/A VGND VGND VPWR VPWR _60688_/B sky130_fd_sc_hd__buf_1
+XFILLER_307_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_56_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_264_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_36_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68002_ _80132_/Q _68001_/X VGND VGND VPWR VPWR _68005_/B sky130_fd_sc_hd__nor2_2
+XFILLER_400_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_574_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65214_ _66052_/A VGND VGND VPWR VPWR _65881_/A sky130_fd_sc_hd__buf_1
+XFILLER_500_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_398_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_259_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_405_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50440_ _50405_/X _50458_/B VGND VGND VPWR VPWR _50441_/B sky130_fd_sc_hd__or2_2
+X_62426_ _62426_/A _62426_/B _62425_/Y VGND VGND VPWR VPWR _62427_/C sky130_fd_sc_hd__nor3_2
+XFILLER_713_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81260_ _81273_/CLK _81260_/D VGND VGND VPWR VPWR _69198_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_672_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_2532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66194_ _66194_/A _66192_/Y _66194_/C VGND VGND VPWR VPWR _66200_/B sky130_fd_sc_hd__nor3_2
+XFILLER_337_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_149_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_2982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80211_ _80226_/CLK _39086_/Y VGND VGND VPWR VPWR _39085_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_17_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_533_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65145_ _65145_/A VGND VGND VPWR VPWR _65315_/B sky130_fd_sc_hd__buf_1
+XFILLER_242_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50371_ _78092_/Q _50371_/B VGND VGND VPWR VPWR _50371_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_273_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_457_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81191_ _81513_/CLK _81191_/D VGND VGND VPWR VPWR _73414_/C sky130_fd_sc_hd__dfxtp_4
+X_62357_ _62053_/X _62355_/Y _62356_/Y VGND VGND VPWR VPWR _62357_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_653_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_542_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52110_ _52054_/A _52113_/B VGND VGND VPWR VPWR _52111_/B sky130_fd_sc_hd__or2_2
+XFILLER_375_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_301_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61308_ _77658_/Q _60837_/B VGND VGND VPWR VPWR _61309_/C sky130_fd_sc_hd__nor2_2
+XFILLER_439_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_192_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_618_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80142_ _80109_/CLK _39380_/Y VGND VGND VPWR VPWR _58799_/A sky130_fd_sc_hd__dfxtp_4
+X_53090_ _53106_/B VGND VGND VPWR VPWR _53103_/B sky130_fd_sc_hd__buf_1
+XFILLER_713_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65076_ _64748_/A _65076_/B _65075_/Y VGND VGND VPWR VPWR _65077_/C sky130_fd_sc_hd__nor3_2
+X_69953_ _69952_/X VGND VGND VPWR VPWR _69954_/A sky130_fd_sc_hd__buf_1
+XFILLER_614_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62288_ _61669_/A _62287_/Y VGND VGND VPWR VPWR _62289_/C sky130_fd_sc_hd__nor2_2
+XFILLER_82_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_30_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52041_ _52041_/A _52059_/B VGND VGND VPWR VPWR _52041_/X sky130_fd_sc_hd__or2_2
+X_68904_ _81034_/Q _68574_/B VGND VGND VPWR VPWR _68904_/Y sky130_fd_sc_hd__nor2_2
+X_64027_ _63634_/A _64027_/B _64026_/Y VGND VGND VPWR VPWR _64028_/C sky130_fd_sc_hd__nor3_2
+XFILLER_314_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_273_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_145_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_163_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61239_ _60614_/A _61229_/Y _61238_/Y VGND VGND VPWR VPWR _61239_/Y sky130_fd_sc_hd__nor3_2
+X_80073_ _80073_/CLK _39639_/Y VGND VGND VPWR VPWR _58455_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_333_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69884_ _71309_/A VGND VGND VPWR VPWR _69884_/X sky130_fd_sc_hd__buf_1
+XFILLER_138_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_412_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_160_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_683_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_195_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_550_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_104_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68835_ _39006_/C _68666_/X VGND VGND VPWR VPWR _68836_/C sky130_fd_sc_hd__nor2_2
+XFILLER_278_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_711_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38995_ _39050_/A VGND VGND VPWR VPWR _38995_/X sky130_fd_sc_hd__buf_1
+XFILLER_321_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_86_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_373_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_320_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_278_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_259_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55800_ _55800_/A _55800_/B VGND VGND VPWR VPWR _55800_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_210_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_160_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56780_ _56780_/A _56778_/Y _56780_/C VGND VGND VPWR VPWR _56784_/B sky130_fd_sc_hd__nor3_2
+X_68766_ _68766_/A _68261_/X VGND VGND VPWR VPWR _68766_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_331_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53992_ _59718_/A _53978_/B VGND VGND VPWR VPWR _53992_/Y sky130_fd_sc_hd__nand2_2
+X_65978_ _57107_/A _66316_/B VGND VGND VPWR VPWR _65978_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_47_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_588_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_464_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_25_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55731_ _55731_/A _55731_/B _55730_/X VGND VGND VPWR VPWR _55731_/Y sky130_fd_sc_hd__nor3_2
+X_67717_ _67876_/A _67717_/B _67717_/C VGND VGND VPWR VPWR _67717_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_683_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64929_ _68521_/A VGND VGND VPWR VPWR _65780_/A sky130_fd_sc_hd__buf_1
+X_52943_ _52966_/B VGND VGND VPWR VPWR _52965_/B sky130_fd_sc_hd__buf_1
+XFILLER_8_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80975_ _80911_/CLK _74275_/Y VGND VGND VPWR VPWR _64211_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_475_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68697_ _68198_/A _68695_/Y _68696_/Y VGND VGND VPWR VPWR _68697_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_189_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_462_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39616_ _39636_/A VGND VGND VPWR VPWR _39617_/A sky130_fd_sc_hd__buf_1
+XFILLER_101_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_626_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58450_ _80137_/Q _58201_/B VGND VGND VPWR VPWR _58450_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_249_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55662_ _55704_/A _55661_/X VGND VGND VPWR VPWR _55663_/C sky130_fd_sc_hd__nor2_2
+XFILLER_210_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67648_ _79938_/Q _67648_/B VGND VGND VPWR VPWR _67650_/B sky130_fd_sc_hd__nor2_2
+XFILLER_284_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52874_ _52872_/Y _52873_/X VGND VGND VPWR VPWR _52874_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_224_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_160_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_724_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57401_ _57401_/A VGND VGND VPWR VPWR _57419_/A sky130_fd_sc_hd__buf_1
+XFILLER_247_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54613_ _54642_/A _54616_/B VGND VGND VPWR VPWR _54614_/B sky130_fd_sc_hd__or2_2
+XFILLER_261_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51825_ _51850_/B VGND VGND VPWR VPWR _51840_/B sky130_fd_sc_hd__buf_1
+X_39547_ _39550_/A _39550_/B _57804_/A VGND VGND VPWR VPWR _39547_/Y sky130_fd_sc_hd__nand3_2
+XPHY_4104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58381_ _68618_/A _58471_/B VGND VGND VPWR VPWR _58381_/Y sky130_fd_sc_hd__nor2_2
+XPHY_19229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55593_ _55593_/A _55593_/B VGND VGND VPWR VPWR _55593_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_427_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67579_ _65933_/A VGND VGND VPWR VPWR _68254_/A sky130_fd_sc_hd__buf_1
+XPHY_4115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_215_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_497_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57332_ _57567_/A _57332_/B _57331_/Y VGND VGND VPWR VPWR _57332_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_284_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_245_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69318_ _69318_/A _69180_/B VGND VGND VPWR VPWR _69320_/B sky130_fd_sc_hd__nor2_2
+XPHY_3403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_9_0_u_core.clock clkbuf_9_9_0_u_core.clock/A VGND VGND VPWR VPWR _77525_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_4148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_149_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54544_ _54544_/A _54544_/B VGND VGND VPWR VPWR _54544_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_204_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_247_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51756_ _51812_/A _51753_/B VGND VGND VPWR VPWR _51757_/B sky130_fd_sc_hd__or2_2
+X_39478_ _39535_/A VGND VGND VPWR VPWR _39488_/A sky130_fd_sc_hd__buf_1
+XPHY_4159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_620_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70590_ _70588_/Y _70589_/X VGND VGND VPWR VPWR _70590_/X sky130_fd_sc_hd__and2_2
+XFILLER_183_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_57_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_521_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38429_ _38429_/A _38429_/B VGND VGND VPWR VPWR _38429_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_376_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50707_ _50856_/A VGND VGND VPWR VPWR _50827_/A sky130_fd_sc_hd__buf_1
+XFILLER_597_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57263_ _57412_/A _57263_/B _57262_/Y VGND VGND VPWR VPWR _57269_/B sky130_fd_sc_hd__nor3_2
+XFILLER_503_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_35_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_659_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69249_ _79980_/Q _69109_/B VGND VGND VPWR VPWR _69249_/Y sky130_fd_sc_hd__nor2_2
+XPHY_2713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54475_ _54473_/Y _54474_/X VGND VGND VPWR VPWR _54475_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_655_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51687_ _51687_/A _51687_/B VGND VGND VPWR VPWR _77746_/D sky130_fd_sc_hd__nand2_2
+XPHY_3469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_225_0_u_core.clock clkbuf_9_225_0_u_core.clock/A VGND VGND VPWR VPWR _79610_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_243_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59002_ _59002_/A VGND VGND VPWR VPWR _59825_/A sky130_fd_sc_hd__buf_1
+XFILLER_161_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56214_ _56214_/A _56209_/B VGND VGND VPWR VPWR _56214_/X sky130_fd_sc_hd__or2_2
+XFILLER_180_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41440_ _41440_/A _41440_/B _58002_/A VGND VGND VPWR VPWR _41440_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_241_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53426_ _77287_/Q _53426_/B VGND VGND VPWR VPWR _53428_/A sky130_fd_sc_hd__nand2_2
+XFILLER_74_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72260_ _74755_/A VGND VGND VPWR VPWR _72659_/A sky130_fd_sc_hd__buf_1
+XFILLER_278_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50638_ _54460_/A _50517_/X VGND VGND VPWR VPWR _50638_/X sky130_fd_sc_hd__or2_2
+XPHY_34505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57194_ _57194_/A _57192_/Y _57194_/C VGND VGND VPWR VPWR _57199_/B sky130_fd_sc_hd__nor3_2
+X_81458_ _81326_/CLK _72321_/Y VGND VGND VPWR VPWR _64962_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_54_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_405_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_384_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_168_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1464 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_139_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71211_ _70201_/X _71211_/B VGND VGND VPWR VPWR _71215_/B sky130_fd_sc_hd__nor2_2
+XFILLER_718_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80409_ _80408_/CLK _38309_/Y VGND VGND VPWR VPWR _80409_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_573_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56145_ _56131_/A _56145_/B VGND VGND VPWR VPWR _56146_/C sky130_fd_sc_hd__nor2_2
+XFILLER_329_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41371_ _41374_/A _41384_/B _41371_/C VGND VGND VPWR VPWR _41372_/B sky130_fd_sc_hd__nand3_2
+XFILLER_260_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53357_ _60252_/A _53367_/B VGND VGND VPWR VPWR _53357_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72191_ _72183_/X _72190_/X _68784_/A VGND VGND VPWR VPWR _72191_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_17_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50569_ _50569_/A _50568_/X VGND VGND VPWR VPWR _50569_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_675_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_394_0_u_core.clock clkbuf_9_395_0_u_core.clock/A VGND VGND VPWR VPWR _79694_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_194_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81389_ _81354_/CLK _81389_/D VGND VGND VPWR VPWR _81389_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_373_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_221_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_13_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43110_ _43095_/X VGND VGND VPWR VPWR _43113_/C sky130_fd_sc_hd__inv_8
+XFILLER_174_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_651_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40322_ _40326_/A _40322_/B _79899_/Q VGND VGND VPWR VPWR _40323_/B sky130_fd_sc_hd__nand3_2
+XPHY_23314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52308_ _48452_/X _52280_/B VGND VGND VPWR VPWR _52308_/X sky130_fd_sc_hd__or2_2
+XPHY_24059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71142_ _71510_/A VGND VGND VPWR VPWR _71346_/A sky130_fd_sc_hd__buf_1
+XPHY_33848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44090_ _44068_/X _44087_/Y _44086_/A _44089_/Y VGND VGND VPWR VPWR _44090_/X sky130_fd_sc_hd__o22a_4
+XFILLER_633_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56076_ _45031_/Y _55974_/B VGND VGND VPWR VPWR _56078_/B sky130_fd_sc_hd__nor2_2
+XPHY_23325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_489_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53288_ _77322_/Q _53292_/B VGND VGND VPWR VPWR _53288_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_721_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_298_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_309_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_532_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55027_ _55025_/Y _55027_/B VGND VGND VPWR VPWR _55027_/Y sky130_fd_sc_hd__nand2_2
+X_59904_ _59578_/A _59904_/B _59904_/C VGND VGND VPWR VPWR _59912_/B sky130_fd_sc_hd__nor3_2
+X_43041_ _43034_/Y _43037_/B _43036_/Y _43040_/Y VGND VGND VPWR VPWR _43041_/X sky130_fd_sc_hd__a211o_4
+XFILLER_374_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40253_ _40253_/A _40253_/B VGND VGND VPWR VPWR _40253_/Y sky130_fd_sc_hd__nand2_2
+X_52239_ _52177_/A _52245_/B VGND VGND VPWR VPWR _52240_/B sky130_fd_sc_hd__or2_2
+XFILLER_5_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75950_ _75970_/A VGND VGND VPWR VPWR _76057_/B sky130_fd_sc_hd__buf_1
+XPHY_23369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71073_ _71073_/A _71073_/B VGND VGND VPWR VPWR _71074_/B sky130_fd_sc_hd__and2_2
+XFILLER_417_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_120_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74901_ _74901_/A _74901_/B _80826_/Q VGND VGND VPWR VPWR _74902_/B sky130_fd_sc_hd__nand3_2
+XFILLER_482_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70024_ _70124_/A _69974_/Y _70023_/X VGND VGND VPWR VPWR _70025_/A sky130_fd_sc_hd__or3_2
+XFILLER_512_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59835_ _59835_/A _59669_/B VGND VGND VPWR VPWR _59837_/B sky130_fd_sc_hd__nor2_2
+XFILLER_174_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40184_ _40184_/A _40184_/B _40184_/C VGND VGND VPWR VPWR _40184_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_317_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75881_ _75884_/A _75881_/B _67379_/A VGND VGND VPWR VPWR _75881_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_516_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_151_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_311_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_1_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46800_ _46796_/B _46800_/B _46787_/X VGND VGND VPWR VPWR _78952_/D sky130_fd_sc_hd__nor3_2
+XPHY_12178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77620_ _77628_/CLK _52157_/Y VGND VGND VPWR VPWR _61610_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_510_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74832_ _74816_/X _74841_/B _74832_/C VGND VGND VPWR VPWR _74833_/B sky130_fd_sc_hd__nand3_2
+XFILLER_117_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_332_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59766_ _59766_/A _59766_/B VGND VGND VPWR VPWR _59766_/Y sky130_fd_sc_hd__nor2_2
+X_47780_ _47778_/Y _47780_/B VGND VGND VPWR VPWR _78759_/D sky130_fd_sc_hd__nand2_2
+XPHY_10710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56978_ _56978_/A _56818_/B VGND VGND VPWR VPWR _56978_/Y sky130_fd_sc_hd__nor2_2
+X_44992_ _44992_/A VGND VGND VPWR VPWR _45037_/A sky130_fd_sc_hd__buf_1
+XFILLER_250_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_10721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_77_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_133_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_215_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58717_ _58430_/A _58715_/Y _58717_/C VGND VGND VPWR VPWR _58721_/B sky130_fd_sc_hd__nor3_2
+X_46731_ _43018_/A _46730_/Y VGND VGND VPWR VPWR _46731_/Y sky130_fd_sc_hd__nor2_2
+XPHY_11488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77551_ _77571_/CLK _77551_/D VGND VGND VPWR VPWR _60793_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_231_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43943_ _43943_/A VGND VGND VPWR VPWR _43944_/B sky130_fd_sc_hd__buf_1
+XFILLER_81_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74763_ _74761_/X _74763_/B VGND VGND VPWR VPWR _74763_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_627_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_627_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55929_ _55880_/A _55929_/B VGND VGND VPWR VPWR _55929_/Y sky130_fd_sc_hd__nor2_2
+XPHY_10754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_661_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59697_ _59856_/A _59696_/Y VGND VGND VPWR VPWR _59697_/Y sky130_fd_sc_hd__nor2_2
+X_71975_ _71975_/A _71975_/B _71337_/A VGND VGND VPWR VPWR _71975_/X sky130_fd_sc_hd__or3_2
+XFILLER_584_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76502_ VGND VGND VPWR VPWR _76502_/HI io_out[32] sky130_fd_sc_hd__conb_1
+XFILLER_580_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_189_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49450_ _49359_/X _49444_/B VGND VGND VPWR VPWR _49450_/X sky130_fd_sc_hd__or2_2
+XFILLER_707_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73714_ _73714_/A _73714_/B VGND VGND VPWR VPWR _73714_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_447_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_608_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46662_ _42405_/X _46662_/B VGND VGND VPWR VPWR _46662_/X sky130_fd_sc_hd__or2_2
+XFILLER_76_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58648_ _79980_/Q _58648_/B VGND VGND VPWR VPWR _58650_/B sky130_fd_sc_hd__nor2_2
+X_70926_ _70926_/A _70925_/Y VGND VGND VPWR VPWR _70927_/B sky130_fd_sc_hd__nor2_2
+XFILLER_185_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77482_ _77444_/CLK _77482_/D VGND VGND VPWR VPWR _77482_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_4_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43874_ _43723_/A _43873_/Y _44273_/B VGND VGND VPWR VPWR _43875_/B sky130_fd_sc_hd__and3_2
+XFILLER_49_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74694_ _74694_/A VGND VGND VPWR VPWR _75203_/A sky130_fd_sc_hd__buf_1
+XFILLER_562_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_3052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_287_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48401_ _48401_/A _48413_/B VGND VGND VPWR VPWR _48401_/X sky130_fd_sc_hd__or2_2
+XPHY_6062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79221_ _81212_/CLK _79221_/D VGND VGND VPWR VPWR _44522_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_267_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_98_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45613_ _45618_/A _45613_/B _45612_/Y VGND VGND VPWR VPWR _45613_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_346_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76433_ _38314_/A _76433_/B VGND VGND VPWR VPWR _76435_/A sky130_fd_sc_hd__or2_2
+XPHY_6073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42825_ wbs_sel_i[0] VGND VGND VPWR VPWR _42825_/Y sky130_fd_sc_hd__inv_8
+XFILLER_111_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_248_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73645_ _73643_/X _73644_/Y VGND VGND VPWR VPWR _73645_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_441_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_189_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49381_ _49397_/B VGND VGND VPWR VPWR _49391_/B sky130_fd_sc_hd__buf_1
+XFILLER_218_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46593_ _46593_/A _46591_/Y _46593_/C VGND VGND VPWR VPWR _46593_/Y sky130_fd_sc_hd__nor3_2
+X_70857_ _70855_/Y _70857_/B VGND VGND VPWR VPWR _70857_/X sky130_fd_sc_hd__or2_2
+XFILLER_630_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58579_ _58339_/A _58579_/B _58578_/Y VGND VGND VPWR VPWR _58579_/X sky130_fd_sc_hd__or3_2
+XFILLER_91_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_146_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_17_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_379_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60610_ _59810_/A VGND VGND VPWR VPWR _60611_/B sky130_fd_sc_hd__buf_1
+X_48332_ _48332_/A _48331_/X VGND VGND VPWR VPWR _48332_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_365_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79152_ _79145_/CLK _45478_/Y VGND VGND VPWR VPWR _69630_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_504_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45544_ _72151_/A _45554_/B VGND VGND VPWR VPWR _45544_/Y sky130_fd_sc_hd__nor2_2
+XPHY_37120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76364_ _76364_/A _76363_/Y VGND VGND VPWR VPWR _80458_/D sky130_fd_sc_hd__nand2_2
+XPHY_19763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_261_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_111_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42756_ _42756_/A _42756_/B VGND VGND VPWR VPWR _42756_/X sky130_fd_sc_hd__and2_2
+X_61590_ _61590_/A _60972_/X VGND VGND VPWR VPWR _61590_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_33_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73576_ _73576_/A _73575_/Y VGND VGND VPWR VPWR _81149_/D sky130_fd_sc_hd__nand2_2
+XFILLER_45_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_76_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70788_ _70779_/X _70788_/B VGND VGND VPWR VPWR _71997_/A sky130_fd_sc_hd__and2_2
+XFILLER_92_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_540 _68026_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_2_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_622_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_410_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_551 _47276_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_183_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78103_ _78102_/CLK _78103_/D VGND VGND VPWR VPWR _62045_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_4671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75315_ _75313_/X _75314_/Y VGND VGND VPWR VPWR _80716_/D sky130_fd_sc_hd__nand2_2
+XFILLER_70_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41707_ wbs_adr_i[4] wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] VGND VGND VPWR VPWR _41708_/B
++ sky130_fd_sc_hd__or4_2
+XFILLER_163_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48263_ _48263_/A _48263_/B VGND VGND VPWR VPWR _78636_/D sky130_fd_sc_hd__nand2_2
+X_60541_ _59740_/A VGND VGND VPWR VPWR _60542_/B sky130_fd_sc_hd__buf_1
+XPHY_4682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72527_ _72563_/A VGND VGND VPWR VPWR _72542_/A sky130_fd_sc_hd__buf_1
+XFILLER_92_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79083_ _79051_/CLK _79083_/D VGND VGND VPWR VPWR _45875_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_226_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45475_ _45475_/A _45203_/B VGND VGND VPWR VPWR _45477_/B sky130_fd_sc_hd__nor2_2
+XPHY_36430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76295_ _76291_/A _76291_/B _76295_/C VGND VGND VPWR VPWR _76295_/Y sky130_fd_sc_hd__nand3_2
+XPHY_4693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42687_ _42631_/A _42686_/X VGND VGND VPWR VPWR _42687_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_166_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_53_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47214_ _46440_/A _47214_/B VGND VGND VPWR VPWR _47214_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_693_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_610_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_501_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78034_ _78044_/CLK _78034_/D VGND VGND VPWR VPWR _50591_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44426_ _44422_/Y _44426_/B _44426_/C VGND VGND VPWR VPWR _44427_/B sky130_fd_sc_hd__nor3_2
+XPHY_3981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63260_ _47778_/A _62792_/X VGND VGND VPWR VPWR _63262_/B sky130_fd_sc_hd__nor2_2
+X_75246_ _75366_/A _75246_/B VGND VGND VPWR VPWR _75246_/X sky130_fd_sc_hd__or2_2
+XFILLER_261_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_158_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_422_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41638_ _45107_/A VGND VGND VPWR VPWR _46650_/A sky130_fd_sc_hd__inv_8
+XPHY_36474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48194_ _48163_/X _48194_/B VGND VGND VPWR VPWR _48194_/X sky130_fd_sc_hd__or2_2
+X_72458_ _72456_/X _72457_/Y VGND VGND VPWR VPWR _81425_/D sky130_fd_sc_hd__nand2_2
+XPHY_3992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60472_ _59668_/A VGND VGND VPWR VPWR _60472_/X sky130_fd_sc_hd__buf_1
+XFILLER_458_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_242_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_638_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_204_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_393_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62211_ _62053_/X _62209_/Y _62210_/Y VGND VGND VPWR VPWR _62211_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_556_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_261_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47145_ _46330_/B _47149_/A VGND VGND VPWR VPWR _47146_/C sky130_fd_sc_hd__nor2_2
+X_71409_ _43588_/A _71480_/B VGND VGND VPWR VPWR _71409_/X sky130_fd_sc_hd__and2_2
+XFILLER_200_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_70_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44357_ _44618_/A _44636_/A _44621_/A VGND VGND VPWR VPWR _44612_/B sky130_fd_sc_hd__and3_2
+XPHY_25250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63191_ _60058_/A VGND VGND VPWR VPWR _63645_/A sky130_fd_sc_hd__buf_1
+X_75177_ _75175_/X _75176_/Y VGND VGND VPWR VPWR _80752_/D sky130_fd_sc_hd__nand2_2
+XFILLER_690_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41569_ _41070_/X _41564_/X VGND VGND VPWR VPWR _41569_/X sky130_fd_sc_hd__or2_2
+XPHY_35784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72389_ _72382_/A _72400_/B _67928_/A VGND VGND VPWR VPWR _72389_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_259_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_657_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_474_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_220_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_186_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43308_ _43301_/A _43319_/B _66951_/A VGND VGND VPWR VPWR _43309_/B sky130_fd_sc_hd__nand3_2
+X_62142_ _61514_/A _62142_/B _62141_/Y VGND VGND VPWR VPWR _62146_/B sky130_fd_sc_hd__nor3_2
+XFILLER_576_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74128_ _74137_/A _74137_/B _81012_/Q VGND VGND VPWR VPWR _74129_/B sky130_fd_sc_hd__nand3_2
+XFILLER_294_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47076_ _46910_/A VGND VGND VPWR VPWR _55855_/A sky130_fd_sc_hd__buf_1
+XFILLER_536_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_673_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44288_ _44032_/Y _44303_/A VGND VGND VPWR VPWR _44288_/X sky130_fd_sc_hd__or2_2
+X_79985_ _79902_/CLK _40000_/Y VGND VGND VPWR VPWR _79985_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_572_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46027_ _46027_/A _46834_/A VGND VGND VPWR VPWR _46028_/B sky130_fd_sc_hd__nor2_2
+XFILLER_515_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43239_ _43227_/X _43239_/B _43238_/Y VGND VGND VPWR VPWR _79402_/D sky130_fd_sc_hd__nor3_2
+XFILLER_220_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62073_ _78207_/Q _61760_/B VGND VGND VPWR VPWR _62074_/C sky130_fd_sc_hd__nor2_2
+X_74059_ _74732_/A VGND VGND VPWR VPWR _74596_/A sky130_fd_sc_hd__buf_1
+XFILLER_376_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66950_ _65294_/A VGND VGND VPWR VPWR _66984_/A sky130_fd_sc_hd__buf_1
+XFILLER_12_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78936_ _79506_/CLK _46882_/Y VGND VGND VPWR VPWR _78936_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_14070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_177_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65901_ _80472_/Q _66235_/B VGND VGND VPWR VPWR _65903_/B sky130_fd_sc_hd__nor2_2
+XFILLER_550_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61024_ _60864_/A _61024_/B _61024_/C VGND VGND VPWR VPWR _61025_/C sky130_fd_sc_hd__nor3_2
+XFILLER_160_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_29_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_331_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_116_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66881_ _66881_/A _66879_/Y _66881_/C VGND VGND VPWR VPWR _66882_/C sky130_fd_sc_hd__nor3_2
+XFILLER_489_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_303_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78867_ _78867_/CLK _78867_/D VGND VGND VPWR VPWR _63919_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_678_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_116_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_448_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68620_ _68790_/A _68616_/Y _68620_/C VGND VGND VPWR VPWR _68629_/B sky130_fd_sc_hd__nor3_2
+XFILLER_42_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65832_ _68753_/A VGND VGND VPWR VPWR _66498_/B sky130_fd_sc_hd__buf_1
+XFILLER_331_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77818_ _77811_/CLK _77818_/D VGND VGND VPWR VPWR _61350_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_302_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38780_ _38787_/A _38780_/B _80293_/Q VGND VGND VPWR VPWR _38780_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_610_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_589_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47978_ _47978_/A _47977_/X VGND VGND VPWR VPWR _47982_/A sky130_fd_sc_hd__nand2_2
+X_78798_ _78307_/CLK _47625_/Y VGND VGND VPWR VPWR _63204_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_12690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_680_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_151_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49717_ _49710_/A VGND VGND VPWR VPWR _49730_/B sky130_fd_sc_hd__buf_1
+XFILLER_64_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68551_ _68551_/A _68549_/Y _68551_/C VGND VGND VPWR VPWR _68551_/Y sky130_fd_sc_hd__nor3_2
+XPHY_30080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46929_ _46920_/X _71445_/B _71385_/B _46892_/X VGND VGND VPWR VPWR _46930_/B sky130_fd_sc_hd__o22a_4
+XFILLER_228_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65763_ _80983_/Q _65763_/B VGND VGND VPWR VPWR _65766_/B sky130_fd_sc_hd__nor2_2
+XPHY_30091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77749_ _77749_/CLK _77749_/D VGND VGND VPWR VPWR _60450_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_288_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62975_ _78541_/Q _63440_/B VGND VGND VPWR VPWR _62975_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_469_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_6_59_0_u_core.clock clkbuf_6_59_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_6_59_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_446_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_634_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67502_ _68162_/A _67497_/Y _67502_/C VGND VGND VPWR VPWR _67502_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_95_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64714_ _75173_/C _64463_/B VGND VGND VPWR VPWR _64714_/Y sky130_fd_sc_hd__nor2_2
+X_61926_ _78126_/Q _61460_/B VGND VGND VPWR VPWR _61927_/C sky130_fd_sc_hd__nor2_2
+X_49648_ _49711_/A _49675_/B VGND VGND VPWR VPWR _49649_/B sky130_fd_sc_hd__or2_2
+X_80760_ _80766_/CLK _80760_/D VGND VGND VPWR VPWR _65889_/A sky130_fd_sc_hd__dfxtp_4
+X_68482_ _67823_/X _68482_/B _68482_/C VGND VGND VPWR VPWR _68482_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_266_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65694_ _38834_/C _65857_/B VGND VGND VPWR VPWR _65694_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_231_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39401_ _39133_/A _39401_/B VGND VGND VPWR VPWR _39401_/X sky130_fd_sc_hd__or2_2
+XFILLER_721_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67433_ _66777_/A _67432_/Y VGND VGND VPWR VPWR _67433_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_209_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79419_ _79892_/CLK _42976_/Y VGND VGND VPWR VPWR _56962_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_604_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64645_ _38976_/C _69267_/B VGND VGND VPWR VPWR _64647_/B sky130_fd_sc_hd__nor2_2
+XFILLER_20_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_77_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80691_ _80742_/CLK _80691_/D VGND VGND VPWR VPWR _75407_/C sky130_fd_sc_hd__dfxtp_4
+X_61857_ _61857_/A _61233_/B VGND VGND VPWR VPWR _61857_/Y sky130_fd_sc_hd__nor2_2
+X_49579_ _61952_/A _49576_/B VGND VGND VPWR VPWR _49581_/A sky130_fd_sc_hd__nand2_2
+XFILLER_307_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_243_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_411_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_472_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_168_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39332_ _39327_/X _39331_/X _66358_/A VGND VGND VPWR VPWR _39332_/Y sky130_fd_sc_hd__nand3_2
+X_51610_ _51580_/A _51613_/B VGND VGND VPWR VPWR _51611_/B sky130_fd_sc_hd__or2_2
+XFILLER_149_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_166_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_541_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60808_ _62370_/A VGND VGND VPWR VPWR _60811_/A sky130_fd_sc_hd__buf_1
+XFILLER_58_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67364_ _80737_/Q _67364_/B VGND VGND VPWR VPWR _67364_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_77_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_162_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52590_ _52587_/Y _52589_/X VGND VGND VPWR VPWR _77506_/D sky130_fd_sc_hd__nand2_2
+X_64576_ _64569_/X _64572_/Y _64576_/C VGND VGND VPWR VPWR _64586_/B sky130_fd_sc_hd__nor3_2
+XFILLER_346_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_307_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_0_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61788_ _61788_/A _61161_/B VGND VGND VPWR VPWR _61788_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_342_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_424_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69103_ _69087_/Y _69103_/B VGND VGND VPWR VPWR _69104_/B sky130_fd_sc_hd__nor2_2
+XFILLER_247_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_184_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_36_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66315_ _66315_/A _66145_/B VGND VGND VPWR VPWR _66315_/Y sky130_fd_sc_hd__nor2_2
+XPHY_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39263_ _39248_/X _39273_/B _80172_/Q VGND VGND VPWR VPWR _39264_/B sky130_fd_sc_hd__nand3_2
+X_51541_ _51541_/A _51541_/B VGND VGND VPWR VPWR _51541_/Y sky130_fd_sc_hd__nand2_2
+XPHY_2009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_398_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63527_ _49155_/A _64051_/B VGND VGND VPWR VPWR _63528_/C sky130_fd_sc_hd__nor2_2
+XFILLER_127_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60739_ _77447_/Q _60739_/B VGND VGND VPWR VPWR _60740_/C sky130_fd_sc_hd__nor2_2
+XFILLER_208_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67295_ _64522_/A VGND VGND VPWR VPWR _67631_/A sky130_fd_sc_hd__buf_1
+XFILLER_602_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_90_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_181_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38214_ _38212_/X _38214_/B VGND VGND VPWR VPWR _38214_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_539_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_397_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69034_ _80459_/Q _68556_/B VGND VGND VPWR VPWR _69035_/C sky130_fd_sc_hd__nor2_2
+XFILLER_574_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81312_ _81306_/CLK _72903_/Y VGND VGND VPWR VPWR _81312_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_223_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_637_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66246_ _66734_/A _66246_/B _66246_/C VGND VGND VPWR VPWR _66247_/C sky130_fd_sc_hd__nor3_2
+X_54260_ _54257_/Y _54260_/B VGND VGND VPWR VPWR _77068_/D sky130_fd_sc_hd__nand2_2
+XFILLER_479_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39194_ _39194_/A _39189_/X VGND VGND VPWR VPWR _39194_/X sky130_fd_sc_hd__or2_2
+X_51472_ _51472_/A _51490_/B VGND VGND VPWR VPWR _51472_/X sky130_fd_sc_hd__or2_2
+XFILLER_261_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63458_ _63991_/A _63455_/Y _63458_/C VGND VGND VPWR VPWR _63458_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_479_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_691_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_719_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53211_ _53211_/A _53210_/X VGND VGND VPWR VPWR _53211_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_123_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_162_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_92_0_u_core.clock clkbuf_7_93_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_92_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_50423_ _50422_/X _50410_/X VGND VGND VPWR VPWR _50423_/X sky130_fd_sc_hd__or2_2
+XFILLER_492_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62409_ _60847_/A VGND VGND VPWR VPWR _62409_/X sky130_fd_sc_hd__buf_1
+XFILLER_20_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_476_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81243_ _81273_/CLK _81243_/D VGND VGND VPWR VPWR _66442_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_139_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54191_ _48422_/X _54190_/X VGND VGND VPWR VPWR _54201_/A sky130_fd_sc_hd__or2_2
+XFILLER_34_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66177_ _67823_/A VGND VGND VPWR VPWR _66177_/X sky130_fd_sc_hd__buf_1
+XFILLER_320_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63389_ _78464_/Q _63389_/B VGND VGND VPWR VPWR _63391_/B sky130_fd_sc_hd__nor2_2
+XFILLER_222_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_10_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_109_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53142_ _53310_/A VGND VGND VPWR VPWR _54967_/A sky130_fd_sc_hd__buf_1
+XFILLER_277_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65128_ _64282_/A VGND VGND VPWR VPWR _65129_/B sky130_fd_sc_hd__buf_1
+X_50354_ _50352_/Y _50353_/X VGND VGND VPWR VPWR _78097_/D sky130_fd_sc_hd__nand2_2
+XFILLER_591_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81174_ _81203_/CLK _73479_/Y VGND VGND VPWR VPWR _65614_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_418_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_192_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_7_39_0_u_core.clock clkbuf_7_39_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_79_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_633_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_581_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_514_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_470_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80125_ _80157_/CLK _80125_/D VGND VGND VPWR VPWR _80125_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_314_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_590_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53073_ _53073_/A _53072_/X VGND VGND VPWR VPWR _53073_/Y sky130_fd_sc_hd__nand2_2
+X_57950_ _67812_/A _58111_/B VGND VGND VPWR VPWR _57950_/Y sky130_fd_sc_hd__nor2_2
+X_65059_ _65905_/A VGND VGND VPWR VPWR _65743_/A sky130_fd_sc_hd__buf_1
+XPHY_31709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69936_ _71082_/A _69936_/B _69935_/Y VGND VGND VPWR VPWR _69936_/X sky130_fd_sc_hd__or3_2
+XFILLER_341_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50285_ _50285_/A _50284_/X VGND VGND VPWR VPWR _50288_/A sky130_fd_sc_hd__nand2_2
+XFILLER_307_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_195_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_133_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_552_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52024_ _52024_/A _52023_/X VGND VGND VPWR VPWR _52024_/Y sky130_fd_sc_hd__nand2_2
+X_56901_ _56729_/A _56901_/B _56901_/C VGND VGND VPWR VPWR _56901_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_523_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80056_ _80095_/CLK _80056_/D VGND VGND VPWR VPWR _39699_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_533_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57881_ _57649_/A _57880_/Y VGND VGND VPWR VPWR _57881_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_65_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_689_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69867_ _71225_/A VGND VGND VPWR VPWR _71145_/B sky130_fd_sc_hd__buf_1
+XPHY_9638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_550_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_251_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59620_ _76968_/Q _60107_/B VGND VGND VPWR VPWR _59623_/B sky130_fd_sc_hd__nor2_2
+XPHY_20518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56832_ _79989_/Q _57072_/B VGND VGND VPWR VPWR _56833_/C sky130_fd_sc_hd__nor2_2
+XFILLER_134_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68818_ _68651_/A _68818_/B _68817_/Y VGND VGND VPWR VPWR _68818_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_314_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38978_ _38613_/A _38968_/B VGND VGND VPWR VPWR _38980_/A sky130_fd_sc_hd__or2_2
+XFILLER_429_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69798_ _69798_/A VGND VGND VPWR VPWR _69798_/X sky130_fd_sc_hd__buf_1
+XFILLER_169_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_99_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_293_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_132_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59551_ _59551_/A _59551_/B _59551_/C VGND VGND VPWR VPWR _59552_/C sky130_fd_sc_hd__nor3_2
+XFILLER_59_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_60_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56763_ _80084_/Q _56596_/B VGND VGND VPWR VPWR _56765_/B sky130_fd_sc_hd__nor2_2
+XFILLER_219_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68749_ _65248_/A VGND VGND VPWR VPWR _69342_/A sky130_fd_sc_hd__buf_1
+XFILLER_101_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_452_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_643_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53975_ _53973_/Y _53974_/X VGND VGND VPWR VPWR _77141_/D sky130_fd_sc_hd__nand2_2
+XFILLER_154_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_549_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_151_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_609_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_8_124_0_u_core.clock clkbuf_7_62_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_249_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_704_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_274_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58502_ _58494_/Y _58502_/B VGND VGND VPWR VPWR _58502_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_637_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_447_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55714_ _55678_/A _55714_/B VGND VGND VPWR VPWR _55714_/X sky130_fd_sc_hd__or2_2
+XFILLER_683_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_9_184_0_u_core.clock clkbuf_8_92_0_u_core.clock/X VGND VGND VPWR VPWR _76684_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_463_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40940_ _40940_/A _40939_/Y VGND VGND VPWR VPWR _79744_/D sky130_fd_sc_hd__nand2_2
+XFILLER_585_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_507_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52926_ _52985_/A _52930_/B VGND VGND VPWR VPWR _52926_/X sky130_fd_sc_hd__or2_2
+XFILLER_1_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59482_ _59482_/A _59801_/B VGND VGND VPWR VPWR _59482_/Y sky130_fd_sc_hd__nor2_2
+X_71760_ _71321_/B VGND VGND VPWR VPWR _71760_/X sky130_fd_sc_hd__buf_1
+XFILLER_408_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_100_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80958_ _80891_/CLK _80958_/D VGND VGND VPWR VPWR _66970_/A sky130_fd_sc_hd__dfxtp_4
+X_56694_ _56611_/A _56694_/B VGND VGND VPWR VPWR _56695_/C sky130_fd_sc_hd__nor2_2
+XFILLER_707_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_347_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_274_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_724_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_72_0_u_core.clock clkbuf_7_36_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_72_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_284_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_652_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70711_ _70710_/A _70709_/X VGND VGND VPWR VPWR _70711_/X sky130_fd_sc_hd__and2_2
+X_58433_ _58352_/A _58433_/B _58433_/C VGND VGND VPWR VPWR _58434_/C sky130_fd_sc_hd__nor3_2
+XFILLER_625_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55645_ _55734_/A VGND VGND VPWR VPWR _55680_/A sky130_fd_sc_hd__buf_1
+XFILLER_366_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_60_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40871_ _40871_/A _40870_/Y VGND VGND VPWR VPWR _40871_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_46_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52857_ _52848_/A VGND VGND VPWR VPWR _52870_/B sky130_fd_sc_hd__buf_1
+XFILLER_73_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71691_ _71684_/A _71691_/B VGND VGND VPWR VPWR _71691_/X sky130_fd_sc_hd__and2_2
+XFILLER_446_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80889_ _81013_/CLK _74627_/Y VGND VGND VPWR VPWR _66101_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_249_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_499_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_360_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42610_ _42476_/X _42609_/X _70368_/X _42496_/X VGND VGND VPWR VPWR _42610_/X sky130_fd_sc_hd__o22a_4
+XFILLER_210_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_269_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73430_ _74989_/A _73433_/B VGND VGND VPWR VPWR _73431_/B sky130_fd_sc_hd__or2_2
+XFILLER_163_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_640_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51808_ _77713_/Q _51811_/B VGND VGND VPWR VPWR _51808_/Y sky130_fd_sc_hd__nand2_2
+XPHY_18314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58364_ _80136_/Q _58201_/B VGND VGND VPWR VPWR _58365_/C sky130_fd_sc_hd__nor2_2
+XFILLER_389_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_347_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70642_ _69891_/X _70641_/Y _69638_/B _70586_/X VGND VGND VPWR VPWR _70642_/X sky130_fd_sc_hd__o22a_4
+XFILLER_540_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43590_ _43576_/A _43590_/B _43589_/Y VGND VGND VPWR VPWR _79323_/D sky130_fd_sc_hd__nor3_2
+XFILLER_720_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_19_0_u_core.clock clkbuf_7_9_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_39_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_55576_ _55573_/Y _55576_/B _55561_/C VGND VGND VPWR VPWR _55576_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_603_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_161_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52788_ _52788_/A VGND VGND VPWR VPWR _52817_/A sky130_fd_sc_hd__buf_1
+XFILLER_284_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_76_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_259_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_401_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_343_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57315_ _57635_/A _57315_/B _57315_/C VGND VGND VPWR VPWR _57316_/C sky130_fd_sc_hd__nor3_2
+XFILLER_445_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42541_ _55127_/A _42572_/B VGND VGND VPWR VPWR _42549_/B sky130_fd_sc_hd__nor2_2
+X_54527_ _54527_/A _54527_/B VGND VGND VPWR VPWR _54527_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_389_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73361_ _73361_/A VGND VGND VPWR VPWR _73371_/B sky130_fd_sc_hd__buf_1
+XFILLER_243_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51739_ _53650_/A _51795_/B VGND VGND VPWR VPWR _51749_/A sky130_fd_sc_hd__or2_2
+XFILLER_270_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_549_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70573_ _70150_/A _70573_/B _70572_/Y VGND VGND VPWR VPWR _70574_/A sky130_fd_sc_hd__or3_2
+X_58295_ _58215_/A _58295_/B _58295_/C VGND VGND VPWR VPWR _58296_/B sky130_fd_sc_hd__nor3_2
+XFILLER_376_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75100_ _75100_/A _75100_/B VGND VGND VPWR VPWR _75100_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_458_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_321_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72312_ _71945_/A VGND VGND VPWR VPWR _72959_/A sky130_fd_sc_hd__buf_1
+XPHY_16912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45260_ _45171_/A _45259_/X VGND VGND VPWR VPWR _45260_/X sky130_fd_sc_hd__or2_2
+XPHY_35025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57246_ _57246_/A _56997_/B VGND VGND VPWR VPWR _57247_/C sky130_fd_sc_hd__nor2_2
+X_76080_ _38235_/A _76080_/B VGND VGND VPWR VPWR _76080_/X sky130_fd_sc_hd__or2_2
+XPHY_16923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42472_ _42596_/A VGND VGND VPWR VPWR _42472_/X sky130_fd_sc_hd__buf_1
+XFILLER_564_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54458_ _54486_/A _54433_/A VGND VGND VPWR VPWR _54458_/X sky130_fd_sc_hd__or2_2
+X_73292_ _73295_/A _73295_/B _68579_/A VGND VGND VPWR VPWR _73294_/A sky130_fd_sc_hd__nand3_2
+XFILLER_243_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_655_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_360_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44211_ _44209_/Y _44210_/X VGND VGND VPWR VPWR _44211_/Y sky130_fd_sc_hd__nor2_2
+XPHY_2576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75031_ _75031_/A VGND VGND VPWR VPWR _75039_/B sky130_fd_sc_hd__buf_1
+X_41423_ _41038_/X _41408_/B VGND VGND VPWR VPWR _41423_/X sky130_fd_sc_hd__or2_2
+XPHY_34324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53409_ _53409_/A _53413_/B VGND VGND VPWR VPWR _53409_/Y sky130_fd_sc_hd__nand2_2
+XPHY_1842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72243_ _74069_/A VGND VGND VPWR VPWR _72904_/A sky130_fd_sc_hd__buf_1
+XFILLER_256_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45191_ _45191_/A VGND VGND VPWR VPWR _45191_/Y sky130_fd_sc_hd__inv_8
+X_57177_ _80313_/Q _57095_/B VGND VGND VPWR VPWR _57177_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_395_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_128_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54389_ _54538_/A VGND VGND VPWR VPWR _54477_/A sky130_fd_sc_hd__buf_1
+XFILLER_302_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_139_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_183_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_651_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44142_ _44142_/A _44174_/B _44146_/B VGND VGND VPWR VPWR _44142_/X sky130_fd_sc_hd__and3_2
+XPHY_1886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56128_ _45320_/Y _56121_/X VGND VGND VPWR VPWR _56129_/B sky130_fd_sc_hd__nor2_2
+XFILLER_475_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41354_ _41351_/X _41353_/Y VGND VGND VPWR VPWR _79642_/D sky130_fd_sc_hd__nand2_2
+XPHY_34379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72174_ _74683_/A VGND VGND VPWR VPWR _72177_/A sky130_fd_sc_hd__buf_1
+XFILLER_371_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_714_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40305_ _40303_/X _40304_/Y VGND VGND VPWR VPWR _79904_/D sky130_fd_sc_hd__nand2_2
+XPHY_23144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71125_ _69923_/A _70140_/Y VGND VGND VPWR VPWR _71127_/C sky130_fd_sc_hd__nor2_2
+XPHY_32933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44073_ _44208_/A VGND VGND VPWR VPWR _44073_/X sky130_fd_sc_hd__buf_1
+X_48950_ _48947_/Y _48949_/X VGND VGND VPWR VPWR _78462_/D sky130_fd_sc_hd__nand2_2
+XFILLER_561_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56059_ _45134_/Y _56010_/X VGND VGND VPWR VPWR _56061_/B sky130_fd_sc_hd__nor2_2
+XFILLER_171_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_516_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79770_ _79772_/CLK _79770_/D VGND VGND VPWR VPWR _57246_/A sky130_fd_sc_hd__dfxtp_4
+X_41285_ _41282_/X _41284_/Y VGND VGND VPWR VPWR _41285_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_124_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_633_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_614_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76982_ _76993_/CLK _54577_/Y VGND VGND VPWR VPWR _76982_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_371_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_688_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_87_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_52_0_u_core.clock clkbuf_9_53_0_u_core.clock/A VGND VGND VPWR VPWR _78046_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_234_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47901_ _47839_/A _47910_/B VGND VGND VPWR VPWR _47902_/B sky130_fd_sc_hd__or2_2
+XFILLER_493_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43024_ _45087_/A _43024_/B VGND VGND VPWR VPWR _43024_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_532_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78721_ _78721_/CLK _47931_/Y VGND VGND VPWR VPWR _78721_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_614_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40236_ _39997_/A _40236_/B VGND VGND VPWR VPWR _40236_/X sky130_fd_sc_hd__or2_2
+XFILLER_336_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75933_ _75931_/X _75933_/B VGND VGND VPWR VPWR _75933_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71056_ _71056_/A VGND VGND VPWR VPWR _71436_/A sky130_fd_sc_hd__buf_1
+XFILLER_586_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_289_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48881_ _48881_/A _48881_/B VGND VGND VPWR VPWR _48881_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_498_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_174_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_647_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70007_ _70325_/A _70007_/B _70007_/C _70229_/A VGND VGND VPWR VPWR _55106_/A sky130_fd_sc_hd__or4_2
+XFILLER_469_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47832_ _78746_/Q _47823_/B VGND VGND VPWR VPWR _47832_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_430_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59818_ _58887_/A VGND VGND VPWR VPWR _59818_/X sky130_fd_sc_hd__buf_1
+XFILLER_586_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78652_ _78660_/CLK _78652_/D VGND VGND VPWR VPWR _48202_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_11230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40167_ _40167_/A _40166_/Y VGND VGND VPWR VPWR _79941_/D sky130_fd_sc_hd__nand2_2
+XFILLER_332_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_296_133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_272_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75864_ _75864_/A _75863_/Y VGND VGND VPWR VPWR _75864_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_61_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_410_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_317_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_627_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77603_ _77065_/CLK _52230_/Y VGND VGND VPWR VPWR _52228_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_278_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74815_ _74815_/A _74668_/X VGND VGND VPWR VPWR _74815_/X sky130_fd_sc_hd__or2_2
+XFILLER_439_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47763_ _47704_/A _47763_/B VGND VGND VPWR VPWR _47764_/B sky130_fd_sc_hd__or2_2
+XFILLER_215_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_567_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59749_ _59749_/A _60068_/B VGND VGND VPWR VPWR _59750_/C sky130_fd_sc_hd__nor2_2
+XFILLER_133_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_152_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78583_ _78867_/CLK _48480_/Y VGND VGND VPWR VPWR _78583_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_439_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40098_ _40094_/A _40087_/B _65835_/A VGND VGND VPWR VPWR _40098_/Y sky130_fd_sc_hd__nand3_2
+X_44975_ _42184_/A _44975_/B VGND VGND VPWR VPWR _44976_/B sky130_fd_sc_hd__nor2_2
+XFILLER_310_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75795_ _75917_/A _75808_/B VGND VGND VPWR VPWR _75797_/A sky130_fd_sc_hd__or2_2
+XFILLER_296_188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_59_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49502_ _49626_/A _49522_/B VGND VGND VPWR VPWR _49503_/B sky130_fd_sc_hd__or2_2
+XFILLER_616_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_238_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46714_ _46714_/A _46714_/B VGND VGND VPWR VPWR _46773_/A sky130_fd_sc_hd__or2_2
+XFILLER_152_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_427_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77534_ _77525_/CLK _52488_/Y VGND VGND VPWR VPWR _77534_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_466_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43926_ _43941_/A _43926_/B _43926_/C VGND VGND VPWR VPWR _43926_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_627_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62760_ _62685_/Y _62760_/B VGND VGND VPWR VPWR _76748_/D sky130_fd_sc_hd__nor2_2
+X_74746_ _74746_/A VGND VGND VPWR VPWR _75366_/A sky130_fd_sc_hd__buf_1
+XFILLER_267_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47694_ _48009_/A VGND VGND VPWR VPWR _47756_/A sky130_fd_sc_hd__buf_1
+X_71958_ _76793_/Q VGND VGND VPWR VPWR _71959_/A sky130_fd_sc_hd__inv_8
+XFILLER_627_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_584_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_187_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_599_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_226_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_428_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_725_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61711_ _59787_/A VGND VGND VPWR VPWR _61711_/X sky130_fd_sc_hd__buf_1
+X_49433_ _62638_/A _49436_/B VGND VGND VPWR VPWR _49433_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_78_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46645_ _78983_/Q VGND VGND VPWR VPWR _58876_/A sky130_fd_sc_hd__inv_8
+X_70909_ _70908_/Y VGND VGND VPWR VPWR _71464_/A sky130_fd_sc_hd__buf_1
+XFILLER_94_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77465_ _77444_/CLK _52742_/Y VGND VGND VPWR VPWR _61047_/A sky130_fd_sc_hd__dfxtp_4
+X_43857_ _43942_/A VGND VGND VPWR VPWR _43871_/A sky130_fd_sc_hd__buf_1
+X_62691_ _62691_/A _63153_/B VGND VGND VPWR VPWR _62691_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_24_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_225_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74677_ _74673_/X _74677_/B VGND VGND VPWR VPWR _74677_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_562_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_523_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_209_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_662_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71889_ _71864_/A _71889_/B VGND VGND VPWR VPWR _71889_/X sky130_fd_sc_hd__or2_2
+XFILLER_20_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_1552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_209_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_443_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79204_ _81251_/CLK _44711_/Y VGND VGND VPWR VPWR _79204_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_267_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_587_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64430_ _66051_/A VGND VGND VPWR VPWR _64879_/A sky130_fd_sc_hd__buf_1
+XFILLER_218_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76416_ _76419_/A _76419_/B _80444_/Q VGND VGND VPWR VPWR _76416_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_304_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42808_ _42070_/A VGND VGND VPWR VPWR _43812_/A sky130_fd_sc_hd__buf_1
+XFILLER_699_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61642_ _51498_/A _61485_/B VGND VGND VPWR VPWR _61644_/B sky130_fd_sc_hd__nor2_2
+X_49364_ _47303_/A VGND VGND VPWR VPWR _49364_/X sky130_fd_sc_hd__buf_1
+X_73628_ _73750_/A _73635_/B VGND VGND VPWR VPWR _73628_/X sky130_fd_sc_hd__or2_2
+XFILLER_206_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46576_ _78998_/Q _46581_/B VGND VGND VPWR VPWR _46576_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_523_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77396_ _77387_/CLK _77396_/D VGND VGND VPWR VPWR _52998_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43788_ _72862_/A _43787_/X VGND VGND VPWR VPWR _43789_/C sky130_fd_sc_hd__nor2_2
+XPHY_5180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_59_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_33_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48315_ _48940_/A VGND VGND VPWR VPWR _48411_/A sky130_fd_sc_hd__buf_1
+XPHY_19582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79135_ _79136_/CLK _79135_/D VGND VGND VPWR VPWR _45564_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_463_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64361_ _56261_/A _69108_/B VGND VGND VPWR VPWR _64365_/B sky130_fd_sc_hd__nor2_2
+X_45527_ _70407_/A _45497_/A VGND VGND VPWR VPWR _45527_/Y sky130_fd_sc_hd__nor2_2
+X_76347_ _38212_/B VGND VGND VPWR VPWR _76360_/B sky130_fd_sc_hd__buf_1
+XFILLER_343_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42739_ _42752_/A _42738_/X VGND VGND VPWR VPWR _42739_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_248_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73559_ _73521_/A VGND VGND VPWR VPWR _73560_/B sky130_fd_sc_hd__buf_1
+XFILLER_441_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49295_ _49237_/A _49295_/B VGND VGND VPWR VPWR _49296_/B sky130_fd_sc_hd__or2_2
+XFILLER_61_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61573_ _61110_/X _61571_/Y _61573_/C VGND VGND VPWR VPWR _61577_/B sky130_fd_sc_hd__nor3_2
+XFILLER_495_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_233_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_370 _50965_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_72_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_441_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66100_ _67746_/A VGND VGND VPWR VPWR _66754_/A sky130_fd_sc_hd__buf_1
+XANTENNA_381 _51728_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_72_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63312_ _78719_/Q _63468_/B VGND VGND VPWR VPWR _63312_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_205_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_392 _54983_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_163_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48246_ _48275_/A _48252_/B VGND VGND VPWR VPWR _48247_/B sky130_fd_sc_hd__or2_2
+X_60524_ _60039_/A _60522_/Y _60524_/C VGND VGND VPWR VPWR _60524_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_343_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67080_ _66427_/A _67080_/B _67080_/C VGND VGND VPWR VPWR _67081_/C sky130_fd_sc_hd__nor3_2
+XFILLER_500_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_394_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79066_ _79057_/CLK _45932_/Y VGND VGND VPWR VPWR _79066_/Q sky130_fd_sc_hd__dfxtp_4
+X_45458_ _45467_/A _45141_/B VGND VGND VPWR VPWR _45458_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64292_ _64448_/A VGND VGND VPWR VPWR _64794_/A sky130_fd_sc_hd__buf_1
+X_76278_ _76276_/X _76277_/Y VGND VGND VPWR VPWR _76278_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_476_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_539_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66031_ _65863_/A _66031_/B _66031_/C VGND VGND VPWR VPWR _66032_/C sky130_fd_sc_hd__nor3_2
+XFILLER_33_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_539_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78017_ _78530_/CLK _50653_/Y VGND VGND VPWR VPWR _62312_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_15_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44409_ _44716_/B VGND VGND VPWR VPWR _44525_/A sky130_fd_sc_hd__buf_1
+X_63243_ _63243_/A _63550_/B VGND VGND VPWR VPWR _63243_/Y sky130_fd_sc_hd__nor2_2
+X_75229_ _75239_/A _75225_/X _75229_/C VGND VGND VPWR VPWR _75230_/B sky130_fd_sc_hd__nand3_2
+XFILLER_395_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_31_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48177_ _78659_/Q _48172_/X VGND VGND VPWR VPWR _48177_/Y sky130_fd_sc_hd__nand2_2
+X_60455_ _60455_/A _59811_/B VGND VGND VPWR VPWR _60456_/C sky130_fd_sc_hd__nor2_2
+XFILLER_88_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_348_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_18_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45389_ _45052_/A _45388_/Y VGND VGND VPWR VPWR _45389_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_509_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_387_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_398_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_296_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_509_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_394_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_157_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_634_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47128_ _46316_/B _47127_/X VGND VGND VPWR VPWR _47129_/A sky130_fd_sc_hd__or2_2
+XFILLER_204_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63174_ _63023_/A _63172_/Y _63173_/Y VGND VGND VPWR VPWR _63174_/Y sky130_fd_sc_hd__nor3_2
+XPHY_25080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60386_ _60069_/A _60386_/B _60386_/C VGND VGND VPWR VPWR _60390_/B sky130_fd_sc_hd__nor3_2
+XFILLER_278_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_146_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_277_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_173_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_493_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_619_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62125_ _62118_/X _62121_/Y _62124_/Y VGND VGND VPWR VPWR _62125_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_698_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39950_ _39947_/X _39949_/Y VGND VGND VPWR VPWR _79999_/D sky130_fd_sc_hd__nand2_2
+XFILLER_390_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47059_ _47043_/A _47057_/Y _47059_/C VGND VGND VPWR VPWR _47060_/B sky130_fd_sc_hd__or3_2
+XFILLER_615_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_192_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67982_ _67644_/X _67982_/B _67982_/C VGND VGND VPWR VPWR _67982_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_710_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79968_ _80031_/CLK _40066_/Y VGND VGND VPWR VPWR _79968_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_318_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_196_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_157_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_304_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_48_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38901_ _38899_/X _38900_/Y VGND VGND VPWR VPWR _80261_/D sky130_fd_sc_hd__nand2_2
+XFILLER_511_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69721_ _42087_/A _69711_/B _64087_/A _69665_/A VGND VGND VPWR VPWR _64427_/A sky130_fd_sc_hd__o22a_4
+XFILLER_435_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50070_ _50070_/A _50069_/X VGND VGND VPWR VPWR _78171_/D sky130_fd_sc_hd__nand2_2
+X_66933_ _66273_/A _66931_/Y _66932_/Y VGND VGND VPWR VPWR _66933_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_311_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62056_ _59078_/X VGND VGND VPWR VPWR _62056_/X sky130_fd_sc_hd__buf_1
+X_78919_ _78919_/CLK _78919_/D VGND VGND VPWR VPWR _71780_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_505_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39881_ _39879_/X _39881_/B VGND VGND VPWR VPWR _80016_/D sky130_fd_sc_hd__nand2_2
+XFILLER_569_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_333_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79899_ _80144_/CLK _40323_/Y VGND VGND VPWR VPWR _79899_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_135_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_530_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_336_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_9_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61007_ _51606_/A _60377_/X VGND VGND VPWR VPWR _61007_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_288_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_29_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_677_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38832_ _38830_/X _38831_/Y VGND VGND VPWR VPWR _38832_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_331_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69652_ _69652_/A _69652_/B VGND VGND VPWR VPWR _69652_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_130_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66864_ _66864_/A _67517_/B VGND VGND VPWR VPWR _66864_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_530_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_192_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_142_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_237_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_69_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68603_ _79624_/Q _68603_/B VGND VGND VPWR VPWR _68603_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_114_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65815_ _65658_/A _65811_/Y _65815_/C VGND VGND VPWR VPWR _65815_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_173_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38763_ _38763_/A VGND VGND VPWR VPWR _38783_/A sky130_fd_sc_hd__buf_1
+XFILLER_430_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69583_ _55935_/A _69582_/Y VGND VGND VPWR VPWR _69614_/A sky130_fd_sc_hd__or2_2
+XFILLER_645_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_130_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66795_ _66470_/A _66793_/Y _66795_/C VGND VGND VPWR VPWR _66795_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_723_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_3023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_60_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_569_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_29_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_151_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_190_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_205_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68534_ _74703_/C _68534_/B VGND VGND VPWR VPWR _68535_/C sky130_fd_sc_hd__nor2_2
+XFILLER_430_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80812_ _81476_/CLK _80812_/D VGND VGND VPWR VPWR _69159_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_151_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65746_ _65911_/A _65746_/B _65746_/C VGND VGND VPWR VPWR _65747_/C sky130_fd_sc_hd__nor3_2
+X_53760_ _77197_/Q _53757_/B VGND VGND VPWR VPWR _53762_/A sky130_fd_sc_hd__nand2_2
+XFILLER_383_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_284_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_116_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38694_ _38692_/X _38694_/B VGND VGND VPWR VPWR _38694_/Y sky130_fd_sc_hd__nand2_2
+X_50972_ _50972_/A _50987_/B VGND VGND VPWR VPWR _50973_/B sky130_fd_sc_hd__or2_2
+XFILLER_289_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62958_ _62938_/Y _62958_/B VGND VGND VPWR VPWR _62959_/B sky130_fd_sc_hd__nor2_2
+XFILLER_77_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_387_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_411_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_627_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52711_ _52711_/A _52714_/B VGND VGND VPWR VPWR _52713_/A sky130_fd_sc_hd__nand2_2
+XFILLER_607_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61909_ _78230_/Q _61753_/X VGND VGND VPWR VPWR _61911_/B sky130_fd_sc_hd__nor2_2
+XFILLER_383_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80743_ _80742_/CLK _75215_/Y VGND VGND VPWR VPWR _68353_/A sky130_fd_sc_hd__dfxtp_4
+X_68465_ _68276_/X _68465_/B _68465_/C VGND VGND VPWR VPWR _68466_/B sky130_fd_sc_hd__nor3_2
+XFILLER_266_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53691_ _77217_/Q _53691_/B VGND VGND VPWR VPWR _53691_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_472_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_55_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65677_ _65677_/A _65675_/Y _65676_/Y VGND VGND VPWR VPWR _65677_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_228_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_623_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62889_ _62417_/A _62889_/B _62888_/Y VGND VGND VPWR VPWR _62898_/B sky130_fd_sc_hd__nor3_2
+XFILLER_129_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_598_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_465_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_446_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_407_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55430_ _71412_/A _55430_/B VGND VGND VPWR VPWR _55430_/X sky130_fd_sc_hd__or2_2
+XFILLER_622_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67416_ _73437_/C _68083_/B VGND VGND VPWR VPWR _67418_/B sky130_fd_sc_hd__nor2_2
+XFILLER_0_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_721_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52642_ _54553_/A _52670_/B VGND VGND VPWR VPWR _52668_/B sky130_fd_sc_hd__or2_2
+XFILLER_326_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64628_ _56487_/A _64283_/B VGND VGND VPWR VPWR _64631_/B sky130_fd_sc_hd__nor2_2
+XFILLER_97_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_461_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_70_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80674_ _80641_/CLK _75489_/Y VGND VGND VPWR VPWR _67526_/A sky130_fd_sc_hd__dfxtp_4
+X_68396_ _80935_/Q _68396_/B VGND VGND VPWR VPWR _68396_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_502_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_97_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_587_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_271_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_244_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_697_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39315_ _39315_/A _39315_/B VGND VGND VPWR VPWR _39315_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_55_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_424_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_386_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55361_ _55361_/A _55360_/X VGND VGND VPWR VPWR _76817_/D sky130_fd_sc_hd__nand2_2
+XFILLER_596_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67347_ _67191_/A _67338_/Y _67347_/C VGND VGND VPWR VPWR _67348_/B sky130_fd_sc_hd__nor3_2
+XPHY_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_494_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52573_ _52571_/Y _52572_/X VGND VGND VPWR VPWR _77510_/D sky130_fd_sc_hd__nand2_2
+XFILLER_322_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_24_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64559_ _64913_/A VGND VGND VPWR VPWR _64560_/B sky130_fd_sc_hd__buf_1
+XPHY_26709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_142_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_498_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_312_0_u_core.clock clkbuf_9_313_0_u_core.clock/A VGND VGND VPWR VPWR _81366_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_54_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57100_ _57348_/A _57100_/B VGND VGND VPWR VPWR _57101_/C sky130_fd_sc_hd__nor2_2
+XFILLER_502_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54312_ _54314_/A VGND VGND VPWR VPWR _54313_/B sky130_fd_sc_hd__buf_1
+XFILLER_601_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_586_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39246_ _39246_/A VGND VGND VPWR VPWR _39247_/A sky130_fd_sc_hd__buf_1
+X_51524_ _51524_/A VGND VGND VPWR VPWR _51972_/A sky130_fd_sc_hd__buf_1
+XFILLER_209_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58080_ _80612_/Q _57911_/B VGND VGND VPWR VPWR _58082_/B sky130_fd_sc_hd__nor2_2
+XPHY_16219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55292_ _55291_/X VGND VGND VPWR VPWR _55531_/A sky130_fd_sc_hd__buf_1
+XFILLER_240_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67278_ _67434_/A _67278_/B _67278_/C VGND VGND VPWR VPWR _67351_/B sky130_fd_sc_hd__nor3_2
+XFILLER_90_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_4_14_1_u_core.clock clkbuf_4_14_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_5_29_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_394_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_400_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_260_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_659_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_494_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57031_ _81463_/Q _57031_/B VGND VGND VPWR VPWR _57032_/C sky130_fd_sc_hd__nor2_2
+XFILLER_320_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69017_ _68694_/A _69017_/B _69017_/C VGND VGND VPWR VPWR _69021_/B sky130_fd_sc_hd__nor3_2
+XFILLER_225_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_500_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_138_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54243_ _77071_/Q _54243_/B VGND VGND VPWR VPWR _54243_/Y sky130_fd_sc_hd__nand2_2
+XPHY_15518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66229_ _66715_/A _66229_/B _66228_/Y VGND VGND VPWR VPWR _66229_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_539_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_498_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_88_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51455_ _51455_/A _51454_/X VGND VGND VPWR VPWR _77809_/D sky130_fd_sc_hd__nand2_2
+XFILLER_71_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39177_ _39159_/A _39195_/B _57661_/A VGND VGND VPWR VPWR _39178_/B sky130_fd_sc_hd__nand3_2
+XPHY_15529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_481_0_u_core.clock clkbuf_9_481_0_u_core.clock/A VGND VGND VPWR VPWR _80597_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_297_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_162_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_592_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_88_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50406_ _50405_/X _50429_/B VGND VGND VPWR VPWR _50406_/X sky130_fd_sc_hd__or2_2
+X_81226_ _81228_/CLK _81226_/D VGND VGND VPWR VPWR _68910_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_197_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54174_ _54174_/A _54174_/B VGND VGND VPWR VPWR _54176_/A sky130_fd_sc_hd__nand2_2
+XFILLER_542_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51386_ _51386_/A _51386_/B VGND VGND VPWR VPWR _51386_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_326_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_539_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_428_0_u_core.clock clkbuf_9_429_0_u_core.clock/A VGND VGND VPWR VPWR _80027_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_535_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53125_ _53069_/A _53131_/B VGND VGND VPWR VPWR _53126_/B sky130_fd_sc_hd__or2_2
+XFILLER_49_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_197_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_543_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50337_ _50281_/A _50311_/X VGND VGND VPWR VPWR _50338_/B sky130_fd_sc_hd__or2_2
+XFILLER_101_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81157_ _81133_/CLK _81157_/D VGND VGND VPWR VPWR _68066_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_326_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_703_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58982_ _58982_/A _58982_/B VGND VGND VPWR VPWR _59086_/B sky130_fd_sc_hd__nor2_2
+XFILLER_359_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_316_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_292_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_121_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_685_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_470_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80108_ _80109_/CLK _39506_/Y VGND VGND VPWR VPWR _58667_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57933_ _58255_/A _57931_/Y _57932_/Y VGND VGND VPWR VPWR _57933_/Y sky130_fd_sc_hd__nor3_2
+X_41070_ _73181_/A VGND VGND VPWR VPWR _41070_/X sky130_fd_sc_hd__buf_1
+XPHY_31539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53056_ _53056_/A _53077_/B VGND VGND VPWR VPWR _53057_/B sky130_fd_sc_hd__or2_2
+X_69919_ _69918_/X VGND VGND VPWR VPWR _69920_/B sky130_fd_sc_hd__inv_8
+XPHY_9413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_372_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50268_ _62084_/A _50273_/B VGND VGND VPWR VPWR _50272_/A sky130_fd_sc_hd__nand2_2
+XFILLER_216_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81088_ _81060_/CLK _73806_/Y VGND VGND VPWR VPWR _67248_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_713_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_66_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_726_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_511_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_453_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40021_ _40030_/A VGND VGND VPWR VPWR _40060_/A sky130_fd_sc_hd__buf_1
+XFILLER_332_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52007_ _52003_/Y _52006_/X VGND VGND VPWR VPWR _77660_/D sky130_fd_sc_hd__nand2_2
+XPHY_20304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72930_ _72930_/A _72948_/B _81306_/Q VGND VGND VPWR VPWR _72931_/B sky130_fd_sc_hd__nand3_2
+XPHY_9457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80039_ _80039_/CLK _80039_/D VGND VGND VPWR VPWR _80039_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_689_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57864_ _57543_/X _57819_/X _57863_/X _74462_/A _57626_/X VGND VGND VPWR VPWR _76662_/D
++ sky130_fd_sc_hd__a32oi_4
+XPHY_30849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_585_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_314_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_7_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50199_ _50111_/X _50202_/B VGND VGND VPWR VPWR _50199_/X sky130_fd_sc_hd__or2_2
+XPHY_20326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_47_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_613_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_290_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_118_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59603_ _59767_/A _59603_/B _59602_/Y VGND VGND VPWR VPWR _59603_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_251_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_216_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_120_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56815_ _56400_/X VGND VGND VPWR VPWR _56816_/B sky130_fd_sc_hd__buf_1
+XFILLER_709_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72861_ _72857_/X _72860_/Y VGND VGND VPWR VPWR _81321_/D sky130_fd_sc_hd__nand2_2
+XPHY_8767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57795_ _67484_/A _57795_/B VGND VGND VPWR VPWR _57795_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_293_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_199_0_u_core.clock clkbuf_7_99_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_399_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_672_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_448_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_408_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74600_ _74600_/A _74596_/B VGND VGND VPWR VPWR _74600_/X sky130_fd_sc_hd__or2_2
+XFILLER_625_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_93_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_75_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71812_ _70642_/X _71421_/B VGND VGND VPWR VPWR _71812_/Y sky130_fd_sc_hd__nor2_2
+X_59534_ _59534_/A _59534_/B _59533_/Y VGND VGND VPWR VPWR _59534_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_115_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44760_ _44648_/A _44759_/X _44757_/B VGND VGND VPWR VPWR _44760_/X sky130_fd_sc_hd__or3_2
+X_56746_ _39864_/C _56745_/X VGND VGND VPWR VPWR _56746_/Y sky130_fd_sc_hd__nor2_2
+X_75580_ _75944_/A _75572_/B VGND VGND VPWR VPWR _75583_/A sky130_fd_sc_hd__or2_2
+XFILLER_726_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41972_ _42020_/B VGND VGND VPWR VPWR _41972_/X sky130_fd_sc_hd__buf_1
+XFILLER_212_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53958_ _53958_/A VGND VGND VPWR VPWR _53965_/B sky130_fd_sc_hd__buf_1
+XFILLER_286_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72792_ _73537_/A VGND VGND VPWR VPWR _72792_/X sky130_fd_sc_hd__buf_1
+XFILLER_99_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_409_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_362_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_581_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_609_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43711_ _43967_/A _43968_/B VGND VGND VPWR VPWR _43712_/B sky130_fd_sc_hd__nand2_4
+XFILLER_5_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74531_ _64751_/A VGND VGND VPWR VPWR _74531_/Y sky130_fd_sc_hd__inv_8
+XFILLER_581_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40923_ _40978_/A VGND VGND VPWR VPWR _40924_/B sky130_fd_sc_hd__buf_1
+XPHY_29324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52909_ _53028_/A _52936_/B VGND VGND VPWR VPWR _52910_/B sky130_fd_sc_hd__or2_2
+XFILLER_726_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59465_ _76919_/Q _63891_/B VGND VGND VPWR VPWR _59467_/B sky130_fd_sc_hd__nor2_2
+XFILLER_210_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71743_ _44224_/A VGND VGND VPWR VPWR _42958_/A sky130_fd_sc_hd__buf_1
+X_44691_ _44701_/A _44690_/Y VGND VGND VPWR VPWR _44691_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_21_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56677_ _56759_/A _56677_/B VGND VGND VPWR VPWR _56695_/B sky130_fd_sc_hd__nor2_2
+XFILLER_207_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_189_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_624_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53889_ _53889_/A _53889_/B VGND VGND VPWR VPWR _77164_/D sky130_fd_sc_hd__nand2_2
+XFILLER_408_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_189_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_707_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_262_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46430_ _46405_/X _46429_/Y VGND VGND VPWR VPWR _46430_/Y sky130_fd_sc_hd__nor2_2
+X_58416_ _58416_/A _58707_/B VGND VGND VPWR VPWR _58416_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_280_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77250_ _77120_/CLK _53569_/Y VGND VGND VPWR VPWR _77250_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_189_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43642_ _43628_/X _43642_/B _43642_/C VGND VGND VPWR VPWR _43642_/Y sky130_fd_sc_hd__nor3_2
+X_55628_ _55559_/A _55628_/B _55627_/Y VGND VGND VPWR VPWR _55629_/D sky130_fd_sc_hd__nor3_2
+XFILLER_147_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74462_ _74462_/A _74450_/B VGND VGND VPWR VPWR _74462_/X sky130_fd_sc_hd__or2_2
+XFILLER_327_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40854_ _40854_/A _40854_/B VGND VGND VPWR VPWR _40854_/Y sky130_fd_sc_hd__nand2_2
+XPHY_29379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71674_ _71832_/B VGND VGND VPWR VPWR _71675_/B sky130_fd_sc_hd__buf_1
+X_59396_ _77134_/Q _59718_/B VGND VGND VPWR VPWR _59397_/C sky130_fd_sc_hd__nor2_2
+XFILLER_382_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_216_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_523_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76201_ _76201_/A VGND VGND VPWR VPWR _76327_/A sky130_fd_sc_hd__buf_1
+XFILLER_442_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73413_ _73411_/Y _73413_/B VGND VGND VPWR VPWR _81192_/D sky130_fd_sc_hd__nand2_2
+XFILLER_188_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_704_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46361_ _45341_/A _46361_/B VGND VGND VPWR VPWR _46394_/A sky130_fd_sc_hd__nor2_2
+X_58347_ _58184_/A _58347_/B _58346_/Y VGND VGND VPWR VPWR _58353_/B sky130_fd_sc_hd__nor3_2
+X_70625_ _69999_/X _70625_/B VGND VGND VPWR VPWR _70626_/B sky130_fd_sc_hd__nor2_2
+XFILLER_231_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77181_ _77214_/CLK _53826_/Y VGND VGND VPWR VPWR _59126_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_520_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43573_ _42724_/A VGND VGND VPWR VPWR _43576_/A sky130_fd_sc_hd__buf_1
+XPHY_17410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55559_ _55559_/A _55358_/B VGND VGND VPWR VPWR _55559_/X sky130_fd_sc_hd__or2_2
+X_74393_ _74390_/X _74393_/B VGND VGND VPWR VPWR _74393_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_360_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40785_ _40780_/X _40785_/B VGND VGND VPWR VPWR _40785_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_280_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_54_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_700_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48100_ _48098_/Y _48099_/X VGND VGND VPWR VPWR _78677_/D sky130_fd_sc_hd__nand2_2
+XFILLER_43_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45312_ _45309_/X _45311_/X VGND VGND VPWR VPWR _55623_/B sky130_fd_sc_hd__nand2_2
+XPHY_27966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76132_ _76272_/A _76132_/B VGND VGND VPWR VPWR _76132_/X sky130_fd_sc_hd__or2_2
+XFILLER_565_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42524_ _42476_/X _42523_/X _70175_/X _42496_/X VGND VGND VPWR VPWR _42524_/X sky130_fd_sc_hd__o22a_4
+XFILLER_199_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49080_ _63830_/A _49075_/B VGND VGND VPWR VPWR _49083_/A sky130_fd_sc_hd__nand2_2
+XFILLER_70_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_696_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73344_ _73353_/A _73353_/B _66272_/A VGND VGND VPWR VPWR _73344_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_54_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_227_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46292_ _46292_/A _46290_/Y _46292_/C VGND VGND VPWR VPWR _79023_/D sky130_fd_sc_hd__nor3_2
+XPHY_3074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58278_ _58036_/X _58274_/Y _58277_/Y VGND VGND VPWR VPWR _58278_/Y sky130_fd_sc_hd__nor3_2
+XPHY_18199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70556_ _70572_/A _70512_/X VGND VGND VPWR VPWR _70557_/B sky130_fd_sc_hd__nand2_2
+XFILLER_520_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_388_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48031_ _48060_/A _48037_/B VGND VGND VPWR VPWR _48031_/X sky130_fd_sc_hd__or2_2
+XFILLER_399_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_392_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_638_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57229_ _42112_/A VGND VGND VPWR VPWR _57400_/A sky130_fd_sc_hd__buf_1
+XFILLER_348_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45243_ _45323_/A _45242_/Y VGND VGND VPWR VPWR _45243_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_208_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76063_ _75944_/A _76057_/B VGND VGND VPWR VPWR _76065_/A sky130_fd_sc_hd__or2_2
+XFILLER_297_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42455_ _42475_/A VGND VGND VPWR VPWR _42576_/A sky130_fd_sc_hd__buf_1
+XFILLER_187_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73275_ _73268_/A _73268_/B _69196_/A VGND VGND VPWR VPWR _73275_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_677_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70487_ _69746_/X _70170_/A VGND VGND VPWR VPWR _70488_/B sky130_fd_sc_hd__nor2_2
+XFILLER_673_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_156_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75014_ _75014_/A _75014_/B VGND VGND VPWR VPWR _75014_/X sky130_fd_sc_hd__or2_2
+XPHY_16786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41406_ _41392_/X VGND VGND VPWR VPWR _41407_/A sky130_fd_sc_hd__buf_1
+XFILLER_670_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60240_ _60075_/A _60240_/B _60240_/C VGND VGND VPWR VPWR _60257_/A sky130_fd_sc_hd__nor3_2
+XPHY_1672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72226_ _75485_/A VGND VGND VPWR VPWR _74728_/A sky130_fd_sc_hd__buf_1
+XPHY_16797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45174_ _45174_/A _45467_/B VGND VGND VPWR VPWR _45174_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42386_ _42301_/A _42386_/B _42386_/C VGND VGND VPWR VPWR _42393_/A sky130_fd_sc_hd__nor3_2
+XFILLER_679_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_356_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_338_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44125_ _68025_/A _44052_/X VGND VGND VPWR VPWR _44128_/B sky130_fd_sc_hd__nor2_2
+XFILLER_291_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79822_ _80013_/CLK _40644_/Y VGND VGND VPWR VPWR _79822_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_176_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41337_ _41337_/A _41337_/B VGND VGND VPWR VPWR _79646_/D sky130_fd_sc_hd__nand2_2
+XFILLER_670_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60171_ _60171_/A _59686_/B VGND VGND VPWR VPWR _60172_/C sky130_fd_sc_hd__nor2_2
+XFILLER_156_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_573_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72157_ _74968_/A VGND VGND VPWR VPWR _72268_/A sky130_fd_sc_hd__buf_1
+XFILLER_10_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49982_ _49982_/A _49982_/B VGND VGND VPWR VPWR _78196_/D sky130_fd_sc_hd__nand2_2
+Xclkbuf_6_30_0_u_core.clock clkbuf_6_30_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_61_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_33475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_278_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71108_ _71108_/A _71108_/B VGND VGND VPWR VPWR _71108_/X sky130_fd_sc_hd__and2_2
+XFILLER_154_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48933_ _49054_/A _48937_/B VGND VGND VPWR VPWR _48934_/B sky130_fd_sc_hd__or2_2
+X_44056_ _44055_/X VGND VGND VPWR VPWR _44102_/A sky130_fd_sc_hd__buf_6
+XFILLER_551_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79753_ _79824_/CLK _79753_/D VGND VGND VPWR VPWR _58498_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_48_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_152_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41268_ _41266_/X _41268_/B VGND VGND VPWR VPWR _41268_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76965_ _76939_/CLK _54636_/Y VGND VGND VPWR VPWR _58928_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_22251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72088_ _71718_/B _70074_/X _72088_/C VGND VGND VPWR VPWR _72088_/X sky130_fd_sc_hd__or3_2
+XFILLER_100_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_234_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_139_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_6_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43007_ _43010_/A _43006_/X VGND VGND VPWR VPWR _43007_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_174_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78704_ _78741_/CLK _78704_/D VGND VGND VPWR VPWR _78704_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_459_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40219_ _39850_/X _40216_/B VGND VGND VPWR VPWR _40219_/X sky130_fd_sc_hd__or2_2
+XPHY_22284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63930_ _59531_/A _63922_/Y _63929_/Y VGND VGND VPWR VPWR _63930_/Y sky130_fd_sc_hd__nor3_2
+X_75916_ _75897_/A VGND VGND VPWR VPWR _75920_/B sky130_fd_sc_hd__buf_1
+X_71039_ _71039_/A VGND VGND VPWR VPWR _71188_/A sky130_fd_sc_hd__buf_1
+XFILLER_174_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_711_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48864_ _48864_/A _48867_/B VGND VGND VPWR VPWR _48864_/X sky130_fd_sc_hd__or2_2
+XPHY_21550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79684_ _79716_/CLK _41197_/Y VGND VGND VPWR VPWR _58096_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_112_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41199_ _41192_/A _41196_/B _58011_/A VGND VGND VPWR VPWR _41199_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_610_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76896_ _77353_/CLK _54897_/Y VGND VGND VPWR VPWR _59761_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_649_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_135_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_629_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_670_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47815_ _47756_/A _47789_/X VGND VGND VPWR VPWR _47815_/X sky130_fd_sc_hd__or2_2
+XFILLER_174_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_11060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78635_ _78671_/CLK _48266_/Y VGND VGND VPWR VPWR _78635_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_269_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63861_ _48554_/A _59218_/B VGND VGND VPWR VPWR _63861_/Y sky130_fd_sc_hd__nor2_2
+X_75847_ _75844_/A _75844_/B _68870_/A VGND VGND VPWR VPWR _75847_/Y sky130_fd_sc_hd__nand3_2
+XPHY_21594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_285_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48795_ _78501_/Q _48768_/B VGND VGND VPWR VPWR _48795_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_662_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_257_339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_709_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65600_ _68194_/A VGND VGND VPWR VPWR _65601_/B sky130_fd_sc_hd__buf_1
+XFILLER_484_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_234_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_455_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_4_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62812_ _62812_/A _62189_/X VGND VGND VPWR VPWR _62812_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_529_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47746_ _78768_/Q _47731_/X VGND VGND VPWR VPWR _47748_/A sky130_fd_sc_hd__nand2_2
+XFILLER_22_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66580_ _66251_/X _66576_/Y _66580_/C VGND VGND VPWR VPWR _66591_/B sky130_fd_sc_hd__nor3_2
+XPHY_10370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78566_ _78561_/CLK _78566_/D VGND VGND VPWR VPWR _63137_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_449_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44958_ _44958_/A _44958_/B VGND VGND VPWR VPWR _44985_/B sky130_fd_sc_hd__or2_2
+XFILLER_662_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63792_ _63792_/A _63530_/B VGND VGND VPWR VPWR _63792_/Y sky130_fd_sc_hd__nor2_2
+X_75778_ _75785_/A _75774_/B _80604_/Q VGND VGND VPWR VPWR _75779_/B sky130_fd_sc_hd__nand3_2
+XFILLER_212_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_120_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_643_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65531_ _65851_/A _65531_/B _65531_/C VGND VGND VPWR VPWR _65537_/B sky130_fd_sc_hd__nor3_2
+XFILLER_310_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77517_ _77525_/CLK _52548_/Y VGND VGND VPWR VPWR _60469_/A sky130_fd_sc_hd__dfxtp_4
+X_43909_ _43909_/A _43907_/Y _43937_/C VGND VGND VPWR VPWR _43909_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_345_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62743_ _59594_/A VGND VGND VPWR VPWR _62743_/X sky130_fd_sc_hd__buf_1
+X_74729_ _75351_/A _74729_/B VGND VGND VPWR VPWR _74729_/X sky130_fd_sc_hd__or2_2
+XFILLER_564_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47677_ _78785_/Q _47663_/B VGND VGND VPWR VPWR _47680_/A sky130_fd_sc_hd__nand2_2
+XFILLER_627_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78497_ _78498_/CLK _48815_/Y VGND VGND VPWR VPWR _63577_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_263_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44889_ _44888_/A _44715_/A _44879_/Y VGND VGND VPWR VPWR _44889_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_722_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_414_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_93_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49416_ _49444_/A _49419_/B VGND VGND VPWR VPWR _49417_/B sky130_fd_sc_hd__or2_2
+XFILLER_604_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68250_ _81350_/Q _68588_/B VGND VGND VPWR VPWR _68252_/B sky130_fd_sc_hd__nor2_2
+XFILLER_408_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46628_ _46628_/A _58856_/A VGND VGND VPWR VPWR _46628_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_94_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65462_ _65630_/A _65451_/Y _65461_/Y VGND VGND VPWR VPWR _65462_/Y sky130_fd_sc_hd__nor3_2
+X_77448_ _77454_/CLK _52809_/Y VGND VGND VPWR VPWR _60900_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_425_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_322_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62674_ _62674_/A _62987_/B VGND VGND VPWR VPWR _62674_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_443_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_76_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67201_ _67201_/A _67033_/B VGND VGND VPWR VPWR _67202_/C sky130_fd_sc_hd__nor2_2
+XFILLER_430_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_640_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64413_ _65194_/A _64407_/Y _64413_/C VGND VGND VPWR VPWR _64414_/C sky130_fd_sc_hd__nor3_2
+XFILLER_323_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61625_ _61625_/A _61624_/Y VGND VGND VPWR VPWR _61626_/B sky130_fd_sc_hd__nor2_2
+XFILLER_222_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49347_ _51158_/A VGND VGND VPWR VPWR _49444_/A sky130_fd_sc_hd__buf_1
+XFILLER_587_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68181_ _68181_/A _67857_/B VGND VGND VPWR VPWR _68181_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_7_10_0_u_core.clock clkbuf_6_5_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_10_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_345_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_304_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46559_ _46519_/A _46518_/Y VGND VGND VPWR VPWR _46560_/B sky130_fd_sc_hd__or2_2
+XFILLER_209_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65393_ _66222_/A VGND VGND VPWR VPWR _66066_/A sky130_fd_sc_hd__buf_1
+XFILLER_33_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77379_ _77379_/CLK _77379_/D VGND VGND VPWR VPWR _53058_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39100_ _76224_/A _38740_/B VGND VGND VPWR VPWR _39128_/A sky130_fd_sc_hd__or2_2
+XFILLER_261_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_34_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67132_ _65483_/X VGND VGND VPWR VPWR _67787_/B sky130_fd_sc_hd__buf_1
+XFILLER_568_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79118_ _79116_/CLK _79118_/D VGND VGND VPWR VPWR _45626_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_178_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64344_ _64289_/Y _64344_/B VGND VGND VPWR VPWR _64344_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_124_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61556_ _59029_/A VGND VGND VPWR VPWR _61556_/X sky130_fd_sc_hd__buf_1
+X_80390_ _80384_/CLK _80390_/D VGND VGND VPWR VPWR _38382_/C sky130_fd_sc_hd__dfxtp_4
+X_49278_ _49249_/A _49265_/X VGND VGND VPWR VPWR _49279_/B sky130_fd_sc_hd__or2_2
+XFILLER_718_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_1478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_198_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_394_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_194_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_618_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_638_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39031_ _39029_/X _39030_/Y VGND VGND VPWR VPWR _80226_/D sky130_fd_sc_hd__nand2_2
+XFILLER_493_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_241_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60507_ _60017_/A _60505_/Y _60507_/C VGND VGND VPWR VPWR _60508_/C sky130_fd_sc_hd__nor3_2
+XFILLER_339_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48229_ _48134_/A _52093_/A VGND VGND VPWR VPWR _48229_/X sky130_fd_sc_hd__or2_2
+XFILLER_304_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67063_ _67063_/A VGND VGND VPWR VPWR _67064_/B sky130_fd_sc_hd__buf_1
+XFILLER_556_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79049_ _79095_/CLK _79049_/D VGND VGND VPWR VPWR _41705_/D sky130_fd_sc_hd__dfxtp_4
+XFILLER_692_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_36090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64275_ _64275_/A VGND VGND VPWR VPWR _64276_/B sky130_fd_sc_hd__buf_1
+XFILLER_147_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61487_ _60860_/X _61487_/B _61487_/C VGND VGND VPWR VPWR _61488_/C sky130_fd_sc_hd__nor3_2
+XFILLER_539_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_296_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_716_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_501_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66014_ _65351_/A _66014_/B _66013_/Y VGND VGND VPWR VPWR _66014_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_144_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_382_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51240_ _51280_/B _51289_/A VGND VGND VPWR VPWR _51242_/A sky130_fd_sc_hd__or2_2
+XFILLER_198_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_198_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63226_ _47526_/A _63226_/B VGND VGND VPWR VPWR _63226_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_673_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60438_ _76774_/Q _60592_/B VGND VGND VPWR VPWR _60438_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_524_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_31_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_571_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_296_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_536_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_3079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_391_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_532_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81011_ _80887_/CLK _81011_/D VGND VGND VPWR VPWR _65083_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_274_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_239_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63157_ _63157_/A _63008_/B VGND VGND VPWR VPWR _63157_/Y sky130_fd_sc_hd__nor2_2
+X_51171_ _77876_/Q _51170_/X VGND VGND VPWR VPWR _51171_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_159_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_391_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60369_ _76876_/Q _59892_/B VGND VGND VPWR VPWR _60369_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_179_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_564_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_352_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_390_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_106_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50122_ _78157_/Q _50114_/B VGND VGND VPWR VPWR _50122_/Y sky130_fd_sc_hd__nand2_2
+X_62108_ _61636_/A _62108_/B _62107_/Y VGND VGND VPWR VPWR _62117_/B sky130_fd_sc_hd__nor3_2
+XFILLER_289_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_571_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_454_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39933_ _39790_/X _39921_/X VGND VGND VPWR VPWR _39935_/A sky130_fd_sc_hd__or2_2
+XFILLER_118_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_713_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_235_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_710_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67965_ _81316_/Q _67628_/X VGND VGND VPWR VPWR _67966_/C sky130_fd_sc_hd__nor2_2
+X_63088_ _62920_/X _63080_/Y _63088_/C VGND VGND VPWR VPWR _63104_/A sky130_fd_sc_hd__nor3_2
+XFILLER_83_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_3055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_196_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_289_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_435_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69704_ _69704_/A _69703_/Y VGND VGND VPWR VPWR _69704_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_413_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50053_ _49997_/A _50044_/B VGND VGND VPWR VPWR _50054_/B sky130_fd_sc_hd__or2_2
+X_54930_ _54958_/A _54930_/B VGND VGND VPWR VPWR _54931_/B sky130_fd_sc_hd__or2_2
+XFILLER_511_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66916_ _73571_/C _66253_/X VGND VGND VPWR VPWR _66917_/C sky130_fd_sc_hd__nor2_2
+XFILLER_487_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62039_ _61708_/X _62030_/Y _62038_/Y VGND VGND VPWR VPWR _62039_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_530_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39864_ _39864_/A _39857_/B _39864_/C VGND VGND VPWR VPWR _39865_/B sky130_fd_sc_hd__nand3_2
+Xclkbuf_9_102_0_u_core.clock clkbuf_8_51_0_u_core.clock/X VGND VGND VPWR VPWR _77410_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_44_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67896_ _68061_/A _67896_/B VGND VGND VPWR VPWR _67939_/B sky130_fd_sc_hd__nor2_2
+XFILLER_413_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_467_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_465_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38815_ _38558_/A _38812_/B VGND VGND VPWR VPWR _38815_/X sky130_fd_sc_hd__or2_2
+XFILLER_79_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69635_ _69621_/D VGND VGND VPWR VPWR _69639_/B sky130_fd_sc_hd__inv_8
+XPHY_7318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66847_ _80285_/Q _67184_/B VGND VGND VPWR VPWR _66849_/B sky130_fd_sc_hd__nor2_2
+X_54861_ _54861_/A VGND VGND VPWR VPWR _54874_/B sky130_fd_sc_hd__buf_1
+XFILLER_350_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39795_ _76131_/A VGND VGND VPWR VPWR _40295_/A sky130_fd_sc_hd__buf_1
+XPHY_7329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_433_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_681_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_211_0_u_core.clock clkbuf_8_211_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_423_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_418_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_69_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56600_ _56600_/A VGND VGND VPWR VPWR _57409_/A sky130_fd_sc_hd__buf_1
+XFILLER_268_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53812_ _59871_/A _53808_/B VGND VGND VPWR VPWR _53814_/A sky130_fd_sc_hd__nand2_2
+XFILLER_418_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_271_0_u_core.clock clkbuf_9_271_0_u_core.clock/A VGND VGND VPWR VPWR _81398_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_6617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_665_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38746_ _38746_/A _38746_/B VGND VGND VPWR VPWR _80302_/D sky130_fd_sc_hd__nand2_2
+XFILLER_492_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57580_ _57580_/A _57580_/B VGND VGND VPWR VPWR _57581_/B sky130_fd_sc_hd__nor2_2
+XFILLER_628_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69566_ _69861_/X _69566_/B _69507_/A VGND VGND VPWR VPWR _69566_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_69_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54792_ _54792_/A _54791_/X VGND VGND VPWR VPWR _54792_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_99_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66778_ _66293_/A _66778_/B _66777_/Y VGND VGND VPWR VPWR _66859_/B sky130_fd_sc_hd__nor3_2
+XFILLER_257_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_44_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_60_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56531_ _56531_/A _56862_/B VGND VGND VPWR VPWR _56532_/C sky130_fd_sc_hd__nor2_2
+XPHY_5916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68517_ _68517_/A _68680_/B VGND VGND VPWR VPWR _68517_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_131_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53743_ _53743_/A _53743_/B VGND VGND VPWR VPWR _77203_/D sky130_fd_sc_hd__nand2_2
+XFILLER_448_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65729_ _66395_/A _65726_/Y _65728_/Y VGND VGND VPWR VPWR _65729_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_418_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_563_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50955_ _62007_/A _50955_/B VGND VGND VPWR VPWR _50955_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_704_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38677_ _38361_/A VGND VGND VPWR VPWR _38678_/A sky130_fd_sc_hd__buf_1
+XFILLER_77_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_606_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69497_ _64447_/A _69493_/Y _69496_/Y VGND VGND VPWR VPWR _69497_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_363_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_218_0_u_core.clock clkbuf_9_219_0_u_core.clock/A VGND VGND VPWR VPWR _76664_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_99_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_426_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_645_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59250_ _59920_/A VGND VGND VPWR VPWR _59767_/A sky130_fd_sc_hd__buf_1
+XFILLER_244_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80726_ _80867_/CLK _75276_/Y VGND VGND VPWR VPWR _75275_/C sky130_fd_sc_hd__dfxtp_4
+X_56462_ _64638_/A _56540_/B VGND VGND VPWR VPWR _56462_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_309_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68448_ _68448_/A _68946_/B VGND VGND VPWR VPWR _68448_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_246_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53674_ _53672_/Y _53673_/X VGND VGND VPWR VPWR _53674_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_443_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50886_ _62617_/A _50881_/X VGND VGND VPWR VPWR _50888_/A sky130_fd_sc_hd__nand2_2
+XFILLER_246_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58201_ _80134_/Q _58201_/B VGND VGND VPWR VPWR _58202_/C sky130_fd_sc_hd__nor2_2
+XFILLER_404_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_560_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55413_ _55369_/A _55413_/B VGND VGND VPWR VPWR _55414_/B sky130_fd_sc_hd__nor2_2
+XFILLER_721_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52625_ _52622_/Y _52625_/B VGND VGND VPWR VPWR _77497_/D sky130_fd_sc_hd__nand2_2
+XFILLER_639_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59181_ _59181_/A VGND VGND VPWR VPWR _59273_/A sky130_fd_sc_hd__buf_1
+XFILLER_90_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56393_ _56347_/A VGND VGND VPWR VPWR _57721_/A sky130_fd_sc_hd__buf_1
+XFILLER_623_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68379_ _80423_/Q _67731_/B VGND VGND VPWR VPWR _68379_/Y sky130_fd_sc_hd__nor2_2
+X_80657_ _80659_/CLK _80657_/D VGND VGND VPWR VPWR _64704_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_387_0_u_core.clock clkbuf_9_387_0_u_core.clock/A VGND VGND VPWR VPWR _81263_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_246_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_481_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_442_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_541_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_598_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58132_ _58132_/A _58366_/B VGND VGND VPWR VPWR _58132_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_55_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70410_ _70410_/A _70410_/B VGND VGND VPWR VPWR _70410_/Y sky130_fd_sc_hd__nand2_2
+XPHY_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_693_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55344_ _55432_/A _55344_/B VGND VGND VPWR VPWR _55344_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_227_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40570_ _40568_/X _40570_/B VGND VGND VPWR VPWR _40570_/Y sky130_fd_sc_hd__nand2_2
+XPHY_336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52556_ _52556_/A _52574_/B VGND VGND VPWR VPWR _52556_/X sky130_fd_sc_hd__or2_2
+XFILLER_326_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71390_ _71389_/A _71388_/X VGND VGND VPWR VPWR _71390_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_51_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80588_ _80525_/CLK _80588_/D VGND VGND VPWR VPWR _75839_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39229_ _39226_/X _39228_/Y VGND VGND VPWR VPWR _80180_/D sky130_fd_sc_hd__nand2_2
+X_51507_ _51479_/A _51519_/B VGND VGND VPWR VPWR _51507_/X sky130_fd_sc_hd__or2_2
+XPHY_15304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_224_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58063_ _80324_/Q _58063_/B VGND VGND VPWR VPWR _58066_/B sky130_fd_sc_hd__nor2_2
+XFILLER_535_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70341_ _70342_/A _70295_/X _70341_/C VGND VGND VPWR VPWR _70341_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_558_2870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55275_ _43502_/A _45292_/B VGND VGND VPWR VPWR _55280_/B sky130_fd_sc_hd__nor2_2
+XFILLER_240_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_385_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52487_ _52601_/A _52487_/B VGND VGND VPWR VPWR _52487_/X sky130_fd_sc_hd__or2_2
+XPHY_25849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_386_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_590_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57014_ _57014_/A VGND VGND VPWR VPWR _57345_/A sky130_fd_sc_hd__buf_1
+XFILLER_322_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42240_ wbs_dat_i[26] VGND VGND VPWR VPWR _42240_/Y sky130_fd_sc_hd__inv_8
+XFILLER_222_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54226_ _60171_/A _54239_/B VGND VGND VPWR VPWR _54226_/Y sky130_fd_sc_hd__nand2_2
+X_73060_ _73078_/A VGND VGND VPWR VPWR _73074_/A sky130_fd_sc_hd__buf_1
+XFILLER_574_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51438_ _51522_/A _51438_/B VGND VGND VPWR VPWR _51438_/X sky130_fd_sc_hd__or2_2
+XPHY_14614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70272_ _70271_/Y _70108_/X _70260_/A _70360_/A VGND VGND VPWR VPWR _70272_/X sky130_fd_sc_hd__o22a_4
+XFILLER_574_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_303_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_437_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_137_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_126_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_355_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72011_ _72011_/A VGND VGND VPWR VPWR _39238_/A sky130_fd_sc_hd__buf_1
+XPHY_13902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_197_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81209_ _81206_/CLK _81209_/D VGND VGND VPWR VPWR _66110_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_68_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_327_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42171_ _71042_/Y _42176_/B VGND VGND VPWR VPWR _42177_/A sky130_fd_sc_hd__and2_2
+XPHY_14658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54157_ _54155_/Y _54156_/X VGND VGND VPWR VPWR _77094_/D sky130_fd_sc_hd__nand2_2
+XFILLER_84_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51369_ _51394_/B VGND VGND VPWR VPWR _51369_/X sky130_fd_sc_hd__buf_1
+XPHY_13924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41122_ _41028_/X VGND VGND VPWR VPWR _41136_/B sky130_fd_sc_hd__buf_1
+XFILLER_49_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53108_ _55019_/A _53052_/B VGND VGND VPWR VPWR _53134_/B sky130_fd_sc_hd__or2_2
+XFILLER_630_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_193_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54088_ _54088_/A _54083_/B VGND VGND VPWR VPWR _54088_/X sky130_fd_sc_hd__or2_2
+X_58965_ _77165_/Q _59486_/B VGND VGND VPWR VPWR _58966_/C sky130_fd_sc_hd__nor2_2
+XFILLER_238_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_669_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_136_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_3_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_415_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_489_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_333_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45930_ _79066_/Q _45930_/B VGND VGND VPWR VPWR _45932_/B sky130_fd_sc_hd__nor2_2
+X_41053_ _41028_/X VGND VGND VPWR VPWR _41054_/B sky130_fd_sc_hd__buf_1
+XPHY_30624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57916_ _67632_/A _57916_/B VGND VGND VPWR VPWR _57916_/Y sky130_fd_sc_hd__nor2_2
+X_53039_ _53037_/Y _53038_/X VGND VGND VPWR VPWR _53039_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_156_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_685_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76750_ _78586_/CLK _76750_/D VGND VGND VPWR VPWR _76750_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_20101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73962_ _73962_/A _73973_/B VGND VGND VPWR VPWR _73962_/X sky130_fd_sc_hd__or2_2
+XFILLER_84_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_106_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58896_ _58896_/A _63870_/B VGND VGND VPWR VPWR _58896_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_292_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_251_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40004_ _40004_/A _39997_/B VGND VGND VPWR VPWR _40004_/X sky130_fd_sc_hd__or2_2
+XPHY_9276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75701_ _75699_/X _75701_/B VGND VGND VPWR VPWR _75701_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_511_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72913_ _72913_/A VGND VGND VPWR VPWR _73814_/A sky130_fd_sc_hd__buf_1
+XPHY_8542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_270_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45861_ _45738_/B _45861_/B _45847_/C VGND VGND VPWR VPWR _45862_/C sky130_fd_sc_hd__nor3_2
+XFILLER_310_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57847_ _57847_/A _58165_/B VGND VGND VPWR VPWR _57848_/C sky130_fd_sc_hd__nor2_2
+XPHY_30679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76681_ _76842_/CLK _76681_/D VGND VGND VPWR VPWR _76681_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_20156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_485_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_86_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73893_ _73892_/X VGND VGND VPWR VPWR _73894_/B sky130_fd_sc_hd__buf_1
+XFILLER_468_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_27_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47600_ _47857_/A _47600_/B VGND VGND VPWR VPWR _53407_/A sky130_fd_sc_hd__or2_2
+XPHY_20178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78420_ _78428_/CLK _49115_/Y VGND VGND VPWR VPWR _63960_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_23_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44812_ _44773_/X _44798_/Y VGND VGND VPWR VPWR _44813_/B sky130_fd_sc_hd__and2_2
+XPHY_8586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75632_ _75992_/A _75635_/B VGND VGND VPWR VPWR _75632_/X sky130_fd_sc_hd__or2_2
+XPHY_20189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48580_ _48580_/A VGND VGND VPWR VPWR _48581_/B sky130_fd_sc_hd__buf_1
+XFILLER_565_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72844_ _72844_/A _72843_/Y VGND VGND VPWR VPWR _81324_/D sky130_fd_sc_hd__nand2_2
+XFILLER_114_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45792_ _45791_/X VGND VGND VPWR VPWR _45792_/X sky130_fd_sc_hd__buf_1
+XPHY_39600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57778_ _57937_/A _57774_/Y _57778_/C VGND VGND VPWR VPWR _57779_/B sky130_fd_sc_hd__nor3_2
+XFILLER_581_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_483_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_310_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_726_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_672_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_349_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_263_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47531_ _78821_/Q _47498_/B VGND VGND VPWR VPWR _47531_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_483_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59517_ _59517_/A _59843_/B VGND VGND VPWR VPWR _59517_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_147_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_59_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78351_ _79164_/CLK _78351_/D VGND VGND VPWR VPWR _78351_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_208_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_212_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56729_ _56729_/A _56727_/Y _56729_/C VGND VGND VPWR VPWR _56729_/Y sky130_fd_sc_hd__nor3_2
+X_44743_ _44382_/A _44746_/C VGND VGND VPWR VPWR _44743_/X sky130_fd_sc_hd__and2_2
+XPHY_7896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75563_ _76050_/A _75548_/B VGND VGND VPWR VPWR _75565_/A sky130_fd_sc_hd__or2_2
+XFILLER_452_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_19_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41955_ _41947_/A _41955_/B _41955_/C VGND VGND VPWR VPWR _41955_/X sky130_fd_sc_hd__or3_2
+XPHY_39644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72775_ _72772_/X _72774_/Y VGND VGND VPWR VPWR _72775_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_385_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_90_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77302_ _76882_/CLK _53375_/Y VGND VGND VPWR VPWR _77302_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74514_ _80917_/Q VGND VGND VPWR VPWR _74514_/Y sky130_fd_sc_hd__inv_8
+XPHY_39677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40906_ _40901_/A _40906_/B _58498_/A VGND VGND VPWR VPWR _40907_/B sky130_fd_sc_hd__nand3_2
+XPHY_29154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_95_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47462_ _47430_/A _47480_/B VGND VGND VPWR VPWR _47463_/B sky130_fd_sc_hd__or2_2
+X_59448_ _59607_/A _59444_/Y _59448_/C VGND VGND VPWR VPWR _59448_/Y sky130_fd_sc_hd__nor3_2
+X_71726_ _71725_/X VGND VGND VPWR VPWR _71727_/B sky130_fd_sc_hd__buf_1
+XFILLER_108_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78282_ _78281_/CLK _78282_/D VGND VGND VPWR VPWR _49654_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_34_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44674_ _44815_/A VGND VGND VPWR VPWR _44676_/C sky130_fd_sc_hd__inv_8
+XFILLER_142_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_700_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75494_ _75494_/A _75493_/Y VGND VGND VPWR VPWR _80673_/D sky130_fd_sc_hd__nand2_2
+XPHY_29165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41886_ _41876_/A VGND VGND VPWR VPWR _41886_/X sky130_fd_sc_hd__buf_1
+XPHY_38954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_21_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49201_ _49114_/A _49201_/B VGND VGND VPWR VPWR _49201_/X sky130_fd_sc_hd__or2_2
+XFILLER_264_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_263_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_424_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_499_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46413_ _43138_/Y _46424_/A VGND VGND VPWR VPWR _46415_/A sky130_fd_sc_hd__or2_2
+XPHY_38976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77233_ _77223_/CLK _53633_/Y VGND VGND VPWR VPWR _77233_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_460_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43625_ _79313_/Q _43617_/X VGND VGND VPWR VPWR _43627_/B sky130_fd_sc_hd__nor2_2
+XFILLER_569_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74445_ _74445_/A _74445_/B VGND VGND VPWR VPWR _74445_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_327_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40837_ _40837_/A VGND VGND VPWR VPWR _40873_/A sky130_fd_sc_hd__buf_1
+XFILLER_676_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59379_ _77222_/Q _59540_/B VGND VGND VPWR VPWR _59383_/B sky130_fd_sc_hd__nor2_2
+XFILLER_15_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71657_ _71083_/A _71656_/Y _71205_/A _70524_/Y VGND VGND VPWR VPWR _71657_/X sky130_fd_sc_hd__o22a_4
+XFILLER_147_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47393_ _47430_/A _47414_/B VGND VGND VPWR VPWR _47393_/X sky130_fd_sc_hd__or2_2
+XFILLER_305_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61410_ _59831_/X VGND VGND VPWR VPWR _61553_/B sky130_fd_sc_hd__buf_1
+XFILLER_679_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49132_ _63099_/A _49114_/B VGND VGND VPWR VPWR _49134_/A sky130_fd_sc_hd__nand2_2
+XPHY_28497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_187_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46344_ _46332_/Y _46343_/X VGND VGND VPWR VPWR _46345_/B sky130_fd_sc_hd__nand2_2
+X_70608_ _70591_/A _70607_/X VGND VGND VPWR VPWR _70610_/A sky130_fd_sc_hd__nor2_2
+XFILLER_657_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77164_ _77163_/CLK _77164_/D VGND VGND VPWR VPWR _53886_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43556_ _71060_/A _43552_/B VGND VGND VPWR VPWR _43556_/Y sky130_fd_sc_hd__nor2_2
+X_62390_ _62083_/A _62390_/B _62390_/C VGND VGND VPWR VPWR _62394_/B sky130_fd_sc_hd__nor3_2
+XFILLER_422_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74376_ _74388_/A _74388_/B _80949_/Q VGND VGND VPWR VPWR _74376_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_344_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_520_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40768_ _40766_/X _40767_/Y VGND VGND VPWR VPWR _79789_/D sky130_fd_sc_hd__nand2_2
+XFILLER_305_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_231_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_700_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71588_ _71717_/A _71586_/Y _71588_/C VGND VGND VPWR VPWR _71588_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_106_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_499_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_538_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_163_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76115_ _76115_/A _76114_/Y VGND VGND VPWR VPWR _80518_/D sky130_fd_sc_hd__nand2_2
+XFILLER_559_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42507_ _42451_/A VGND VGND VPWR VPWR _42552_/B sky130_fd_sc_hd__buf_1
+XFILLER_526_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49063_ _49063_/A _49053_/X VGND VGND VPWR VPWR _49063_/X sky130_fd_sc_hd__or2_2
+X_61341_ _77858_/Q _61182_/B VGND VGND VPWR VPWR _61341_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_223_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73327_ _73327_/A _73326_/X VGND VGND VPWR VPWR _81215_/D sky130_fd_sc_hd__nand2_2
+XFILLER_160_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46275_ _45250_/A _46265_/B VGND VGND VPWR VPWR _46279_/B sky130_fd_sc_hd__nor2_2
+XPHY_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70539_ _70535_/Y _70104_/A _70105_/A _70538_/X VGND VGND VPWR VPWR _70539_/X sky130_fd_sc_hd__o22a_4
+XFILLER_717_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77095_ _77596_/CLK _77095_/D VGND VGND VPWR VPWR _59517_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_538_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43487_ _43486_/X VGND VGND VPWR VPWR _55256_/A sky130_fd_sc_hd__buf_1
+XPHY_16550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40699_ _40681_/A VGND VGND VPWR VPWR _40700_/B sky130_fd_sc_hd__buf_1
+XFILLER_692_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_379_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_198_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_3065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_318_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_635_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48014_ _48014_/A _53799_/A VGND VGND VPWR VPWR _48040_/B sky130_fd_sc_hd__or2_2
+XFILLER_297_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_321_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45226_ _45225_/Y _45425_/B VGND VGND VPWR VPWR _45230_/B sky130_fd_sc_hd__nor2_2
+XFILLER_180_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64060_ _59082_/A _64056_/Y _64059_/Y VGND VGND VPWR VPWR _64060_/Y sky130_fd_sc_hd__nor3_2
+X_76046_ _76043_/X _76045_/Y VGND VGND VPWR VPWR _80533_/D sky130_fd_sc_hd__nand2_2
+XFILLER_141_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42438_ _71953_/A _42461_/A _42438_/C VGND VGND VPWR VPWR _42439_/D sky130_fd_sc_hd__and3_2
+XFILLER_506_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61272_ _58968_/X VGND VGND VPWR VPWR _61277_/A sky130_fd_sc_hd__buf_1
+X_73258_ _75058_/A _73258_/B VGND VGND VPWR VPWR _73259_/B sky130_fd_sc_hd__or2_2
+XFILLER_375_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_223_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_533_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_176_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_723_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_635_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_334_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63011_ _63011_/A _62544_/B VGND VGND VPWR VPWR _63012_/C sky130_fd_sc_hd__nor2_2
+XFILLER_531_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_651_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60223_ _59900_/A _60223_/B _60223_/C VGND VGND VPWR VPWR _60228_/B sky130_fd_sc_hd__nor3_2
+X_72209_ _74038_/A VGND VGND VPWR VPWR _72875_/A sky130_fd_sc_hd__buf_1
+XPHY_15882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_507_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_436_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_391_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45157_ _44981_/A VGND VGND VPWR VPWR _45278_/B sky130_fd_sc_hd__buf_1
+XPHY_33250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42369_ _42335_/A _42365_/Y _42369_/C VGND VGND VPWR VPWR _42370_/B sky130_fd_sc_hd__nor3_2
+X_73189_ _73189_/A _73203_/B _73189_/C VGND VGND VPWR VPWR _73192_/A sky130_fd_sc_hd__nand3_2
+XFILLER_128_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_239_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_471_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_345_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_289_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44108_ _44179_/A _44108_/B VGND VGND VPWR VPWR _44108_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_176_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79805_ _79805_/CLK _79805_/D VGND VGND VPWR VPWR _66831_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_193_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60154_ _60154_/A _59669_/B VGND VGND VPWR VPWR _60154_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_588_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49965_ _78199_/Q _49973_/B VGND VGND VPWR VPWR _49968_/A sky130_fd_sc_hd__nand2_2
+XFILLER_455_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45088_ _45176_/A _45087_/Y VGND VGND VPWR VPWR _55306_/B sky130_fd_sc_hd__nor2_2
+XPHY_32560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_667_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77997_ _78494_/CLK _50730_/Y VGND VGND VPWR VPWR _77997_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_32571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48916_ _48916_/A _48915_/X VGND VGND VPWR VPWR _48916_/Y sky130_fd_sc_hd__nand2_2
+X_67750_ _80995_/Q _67750_/B VGND VGND VPWR VPWR _67752_/B sky130_fd_sc_hd__nor2_2
+XPHY_22070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44039_ _44032_/Y _44287_/A _44039_/C _44287_/B VGND VGND VPWR VPWR _44272_/B sky130_fd_sc_hd__or4_4
+XFILLER_291_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79736_ _79703_/CLK _40968_/Y VGND VGND VPWR VPWR _79736_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_154_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64962_ _64962_/A _69465_/B VGND VGND VPWR VPWR _64962_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_373_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76948_ _76787_/CLK _76948_/D VGND VGND VPWR VPWR _60270_/A sky130_fd_sc_hd__dfxtp_4
+X_60085_ _59252_/A VGND VGND VPWR VPWR _60406_/B sky130_fd_sc_hd__buf_1
+XFILLER_648_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_48_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49896_ _49893_/Y _49895_/X VGND VGND VPWR VPWR _78218_/D sky130_fd_sc_hd__nand2_2
+XFILLER_124_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_341_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66701_ _80637_/Q _66875_/B VGND VGND VPWR VPWR _66704_/B sky130_fd_sc_hd__nor2_2
+XFILLER_569_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_301_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63913_ _59408_/A _63913_/B _63912_/Y VGND VGND VPWR VPWR _63913_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_115_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48847_ _63394_/A _48844_/B VGND VGND VPWR VPWR _48849_/A sky130_fd_sc_hd__nand2_2
+XPHY_21380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67681_ _67026_/A _67643_/Y _67681_/C VGND VGND VPWR VPWR _67682_/C sky130_fd_sc_hd__nor3_2
+XFILLER_252_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79667_ _79635_/CLK _41258_/Y VGND VGND VPWR VPWR _56727_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_80_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64893_ _64893_/A _65064_/B VGND VGND VPWR VPWR _64893_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_566_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_474_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76879_ _77252_/CLK _54956_/Y VGND VGND VPWR VPWR _59576_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_636_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_663_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_312_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38600_ _38600_/A _38468_/X VGND VGND VPWR VPWR _38600_/X sky130_fd_sc_hd__or2_2
+XFILLER_583_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69420_ _69420_/A _69420_/B VGND VGND VPWR VPWR _69420_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_57_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66632_ _66632_/A _66145_/B VGND VGND VPWR VPWR _66634_/B sky130_fd_sc_hd__nor2_2
+XFILLER_257_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_647_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_3025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78618_ _78619_/CLK _78618_/D VGND VGND VPWR VPWR _48345_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_239_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_312_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_310_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_446_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39580_ _39572_/X _39573_/X _39580_/C VGND VGND VPWR VPWR _39580_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_367_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63844_ _63844_/A _59313_/B VGND VGND VPWR VPWR _63844_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_61_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_607_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_586_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48778_ _48778_/A _48777_/X VGND VGND VPWR VPWR _48778_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_642_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79598_ _79568_/CLK _41518_/Y VGND VGND VPWR VPWR _79598_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_215_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_61_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_709_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_681_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_582_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_238_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38531_ _38531_/A _38539_/B VGND VGND VPWR VPWR _38531_/X sky130_fd_sc_hd__or2_2
+XFILLER_26_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69351_ _58768_/A _64527_/B VGND VGND VPWR VPWR _69353_/B sky130_fd_sc_hd__nor2_2
+XFILLER_247_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_705_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47729_ _48228_/A _47570_/A VGND VGND VPWR VPWR _53529_/A sky130_fd_sc_hd__or2_2
+X_66563_ _66563_/A VGND VGND VPWR VPWR _66735_/A sky130_fd_sc_hd__buf_1
+XFILLER_607_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78549_ _78545_/CLK _78549_/D VGND VGND VPWR VPWR _48604_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_550_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63775_ _63906_/A _63775_/B _63774_/Y VGND VGND VPWR VPWR _63775_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_22_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60987_ _58991_/X VGND VGND VPWR VPWR _61302_/A sky130_fd_sc_hd__buf_1
+XFILLER_93_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_599_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_39_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_624_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_113_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_525_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68302_ _79942_/Q _68302_/B VGND VGND VPWR VPWR _68305_/B sky130_fd_sc_hd__nor2_2
+XFILLER_525_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_53_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65514_ _65514_/A _64987_/X VGND VGND VPWR VPWR _65514_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_39_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_345_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38462_ _38221_/A _38451_/B VGND VGND VPWR VPWR _38464_/A sky130_fd_sc_hd__or2_2
+XFILLER_384_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50740_ _62496_/A _50732_/X VGND VGND VPWR VPWR _50740_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_697_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62726_ _62726_/A _62105_/X VGND VGND VPWR VPWR _62726_/Y sky130_fd_sc_hd__nor2_2
+X_69282_ _44389_/A _65205_/A VGND VGND VPWR VPWR _69285_/A sky130_fd_sc_hd__nor2_2
+XFILLER_148_1507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66494_ _66162_/A _66493_/Y VGND VGND VPWR VPWR _66494_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_263_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_675_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_414_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80511_ _80508_/CLK _80511_/D VGND VGND VPWR VPWR _67058_/A sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_7_85_0_u_core.clock clkbuf_7_85_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_85_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_68233_ _67410_/A VGND VGND VPWR VPWR _68574_/B sky130_fd_sc_hd__buf_1
+XFILLER_460_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65445_ _65445_/A _65445_/B _65445_/C VGND VGND VPWR VPWR _65445_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_365_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38393_ _38391_/X _38392_/Y VGND VGND VPWR VPWR _80387_/D sky130_fd_sc_hd__nand2_2
+X_62657_ _62974_/A _62655_/Y _62656_/Y VGND VGND VPWR VPWR _62657_/Y sky130_fd_sc_hd__nor3_2
+X_50671_ _50642_/A _50692_/B VGND VGND VPWR VPWR _50672_/B sky130_fd_sc_hd__or2_2
+XFILLER_560_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81491_ _81509_/CLK _71949_/Y VGND VGND VPWR VPWR _65139_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_267_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_52_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_460_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52410_ _52400_/X VGND VGND VPWR VPWR _52420_/B sky130_fd_sc_hd__buf_1
+XFILLER_345_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61608_ _52067_/A _62235_/B VGND VGND VPWR VPWR _61609_/C sky130_fd_sc_hd__nor2_2
+XFILLER_59_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80442_ _80420_/CLK _80442_/D VGND VGND VPWR VPWR _66245_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_143_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68164_ _66518_/A VGND VGND VPWR VPWR _68165_/B sky130_fd_sc_hd__buf_1
+XFILLER_380_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53390_ _53448_/A _53402_/B VGND VGND VPWR VPWR _53390_/X sky130_fd_sc_hd__or2_2
+XFILLER_679_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65376_ _65376_/A _65376_/B _65376_/C VGND VGND VPWR VPWR _65376_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_39_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_198_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62588_ _62588_/A _62119_/B VGND VGND VPWR VPWR _62588_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_523_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67115_ _67434_/A _67115_/B _67114_/Y VGND VGND VPWR VPWR _67195_/B sky130_fd_sc_hd__nor3_2
+XFILLER_278_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52341_ _52788_/A VGND VGND VPWR VPWR _52341_/X sky130_fd_sc_hd__buf_1
+XFILLER_529_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64327_ _64326_/X VGND VGND VPWR VPWR _64328_/B sky130_fd_sc_hd__buf_1
+XFILLER_300_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_383_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61539_ _61539_/A _61537_/Y _61539_/C VGND VGND VPWR VPWR _61539_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_11_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80373_ _80375_/CLK _80373_/D VGND VGND VPWR VPWR _65413_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_554_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68095_ _72748_/C _68588_/B VGND VGND VPWR VPWR _68097_/B sky130_fd_sc_hd__nor2_2
+XFILLER_395_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_367_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_572_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_590_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39014_ _39050_/A VGND VGND VPWR VPWR _39029_/B sky130_fd_sc_hd__buf_1
+XFILLER_495_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_705_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_519_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55060_ _55053_/Y _55060_/B VGND VGND VPWR VPWR _76851_/D sky130_fd_sc_hd__or2_2
+X_67046_ _80863_/Q _67213_/B VGND VGND VPWR VPWR _67047_/C sky130_fd_sc_hd__nor2_2
+XFILLER_556_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52272_ _77590_/Q _52275_/B VGND VGND VPWR VPWR _52272_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_355_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64258_ _64461_/A VGND VGND VPWR VPWR _64259_/A sky130_fd_sc_hd__buf_1
+XFILLER_304_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_593_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54011_ _53921_/A _54008_/B VGND VGND VPWR VPWR _54012_/B sky130_fd_sc_hd__or2_2
+Xclkbuf_7_110_0_u_core.clock clkbuf_6_55_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_221_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_698_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_194_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51223_ _51167_/A _51197_/X VGND VGND VPWR VPWR _51223_/X sky130_fd_sc_hd__or2_2
+XFILLER_198_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63209_ _60866_/A VGND VGND VPWR VPWR _63229_/A sky130_fd_sc_hd__buf_1
+XFILLER_33_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_170_0_u_core.clock clkbuf_7_85_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_170_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_374_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_673_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64189_ _65828_/A VGND VGND VPWR VPWR _64190_/B sky130_fd_sc_hd__buf_1
+XFILLER_524_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_351_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_257_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_257_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_686_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51154_ _62402_/A _51157_/B VGND VGND VPWR VPWR _51156_/A sky130_fd_sc_hd__nand2_2
+XFILLER_89_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_254_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68997_ _68980_/Y _68997_/B VGND VGND VPWR VPWR _68998_/B sky130_fd_sc_hd__nor2_2
+XFILLER_350_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_117_0_u_core.clock clkbuf_7_58_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_235_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_669_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50105_ _50105_/A _50105_/B VGND VGND VPWR VPWR _78162_/D sky130_fd_sc_hd__nand2_2
+XFILLER_391_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_177_0_u_core.clock clkbuf_8_88_0_u_core.clock/X VGND VGND VPWR VPWR _79164_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_667_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39916_ _39907_/X _39912_/X _80008_/Q VGND VGND VPWR VPWR _39916_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_67_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58750_ _58616_/A _58750_/B _58750_/C VGND VGND VPWR VPWR _58754_/B sky130_fd_sc_hd__nor3_2
+XFILLER_235_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_647_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51085_ _54907_/A _50965_/B VGND VGND VPWR VPWR _51111_/B sky130_fd_sc_hd__or2_2
+XFILLER_88_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55962_ _41934_/A VGND VGND VPWR VPWR _55991_/A sky130_fd_sc_hd__buf_1
+XFILLER_372_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67948_ _67948_/A _68603_/B VGND VGND VPWR VPWR _67948_/Y sky130_fd_sc_hd__nor2_2
+XPHY_11829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_63_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_65_0_u_core.clock clkbuf_8_64_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_65_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_638_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57701_ _57701_/A _57935_/B VGND VGND VPWR VPWR _57701_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_66_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50036_ _50059_/B VGND VGND VPWR VPWR _50055_/B sky130_fd_sc_hd__buf_1
+X_54913_ _60250_/A _54920_/B VGND VGND VPWR VPWR _54915_/A sky130_fd_sc_hd__nand2_2
+XFILLER_682_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39847_ _39977_/A _39847_/B VGND VGND VPWR VPWR _39847_/X sky130_fd_sc_hd__or2_2
+XFILLER_582_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58681_ _81324_/Q _58681_/B VGND VGND VPWR VPWR _58683_/B sky130_fd_sc_hd__nor2_2
+XFILLER_28_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55893_ _55908_/B _42154_/B VGND VGND VPWR VPWR _55904_/B sky130_fd_sc_hd__nor2_2
+XFILLER_213_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_647_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67879_ _75871_/C _67545_/B VGND VGND VPWR VPWR _67880_/C sky130_fd_sc_hd__nor2_2
+XFILLER_569_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_135_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_213_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57632_ _57629_/X _57630_/Y _57632_/C VGND VGND VPWR VPWR _57636_/B sky130_fd_sc_hd__nor3_2
+XPHY_6403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69618_ _70616_/A VGND VGND VPWR VPWR _69638_/A sky130_fd_sc_hd__inv_8
+XPHY_7148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54844_ _53182_/A VGND VGND VPWR VPWR _54958_/A sky130_fd_sc_hd__buf_1
+XFILLER_5_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39778_ _40281_/A _39777_/X VGND VGND VPWR VPWR _39780_/A sky130_fd_sc_hd__or2_2
+X_70890_ _70889_/X VGND VGND VPWR VPWR _71085_/A sky130_fd_sc_hd__buf_1
+XPHY_7159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_654_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_641_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_483_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38729_ _38727_/X _38729_/B VGND VGND VPWR VPWR _38729_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_487_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57563_ _57563_/A _57562_/Y VGND VGND VPWR VPWR _57564_/B sky130_fd_sc_hd__nor2_2
+X_69549_ _80238_/Q _69409_/B VGND VGND VPWR VPWR _69550_/C sky130_fd_sc_hd__nor2_2
+XPHY_5713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_623_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54775_ _54804_/A _54775_/B VGND VGND VPWR VPWR _54775_/X sky130_fd_sc_hd__or2_2
+XFILLER_96_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51987_ _51930_/A _51993_/B VGND VGND VPWR VPWR _51987_/X sky130_fd_sc_hd__or2_2
+XFILLER_328_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_452_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_622_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59302_ _64014_/A _59302_/B _59302_/C VGND VGND VPWR VPWR _59330_/A sky130_fd_sc_hd__nor3_2
+XFILLER_92_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_426_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56514_ _56514_/A _56513_/Y VGND VGND VPWR VPWR _56514_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_56_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_3070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41740_ _79565_/Q _41754_/B VGND VGND VPWR VPWR _41740_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_183_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53726_ _53698_/A _53729_/B VGND VGND VPWR VPWR _53726_/X sky130_fd_sc_hd__or2_2
+XPHY_38239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72560_ _72550_/A _72550_/B _81398_/Q VGND VGND VPWR VPWR _72561_/B sky130_fd_sc_hd__nand3_2
+XFILLER_16_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50938_ _77940_/Q _50949_/B VGND VGND VPWR VPWR _50938_/Y sky130_fd_sc_hd__nand2_2
+X_57494_ _80317_/Q _57264_/X VGND VGND VPWR VPWR _57494_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_480_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_37505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_77_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59233_ _59233_/A _63887_/B VGND VGND VPWR VPWR _59237_/B sky130_fd_sc_hd__nor2_2
+X_71511_ _71225_/A VGND VGND VPWR VPWR _71512_/B sky130_fd_sc_hd__buf_1
+XFILLER_610_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56445_ _56290_/A _56445_/B _56444_/Y VGND VGND VPWR VPWR _56446_/C sky130_fd_sc_hd__nor3_2
+X_80709_ _80736_/CLK _80709_/D VGND VGND VPWR VPWR _68031_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41671_ _55196_/A _41671_/B VGND VGND VPWR VPWR _41671_/X sky130_fd_sc_hd__or2_2
+XPHY_36804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53657_ _53657_/A _53672_/B VGND VGND VPWR VPWR _53658_/B sky130_fd_sc_hd__or2_2
+XPHY_37549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72491_ _72564_/A VGND VGND VPWR VPWR _72491_/X sky130_fd_sc_hd__buf_1
+XFILLER_363_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50869_ _50869_/A VGND VGND VPWR VPWR _50985_/A sky130_fd_sc_hd__buf_1
+XFILLER_96_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_520_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43410_ _43410_/A _43409_/Y VGND VGND VPWR VPWR _79357_/D sky130_fd_sc_hd__nand2_2
+XFILLER_402_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74230_ _74230_/A _74230_/B VGND VGND VPWR VPWR _74230_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_25_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40622_ _40497_/X _40615_/B VGND VGND VPWR VPWR _40622_/X sky130_fd_sc_hd__or2_2
+XPHY_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_566_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52608_ _52608_/A _52607_/X VGND VGND VPWR VPWR _52608_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_524_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59164_ _60836_/A VGND VGND VPWR VPWR _59404_/B sky130_fd_sc_hd__buf_1
+XFILLER_90_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71442_ _71442_/A _71383_/B VGND VGND VPWR VPWR _71442_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_207_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44390_ _44389_/A _44513_/C _44390_/C VGND VGND VPWR VPWR _44390_/X sky130_fd_sc_hd__and3_2
+XPHY_122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56376_ _56251_/X VGND VGND VPWR VPWR _57993_/A sky130_fd_sc_hd__buf_1
+XPHY_26325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_70_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_241_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53588_ _77244_/Q _53595_/B VGND VGND VPWR VPWR _53591_/A sky130_fd_sc_hd__nand2_2
+XFILLER_709_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_396_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58115_ _68152_/A _58038_/B VGND VGND VPWR VPWR _58117_/B sky130_fd_sc_hd__nor2_2
+XFILLER_322_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_188_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43341_ _43350_/A _43354_/B _56896_/A VGND VGND VPWR VPWR _43342_/B sky130_fd_sc_hd__nand3_2
+XFILLER_548_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55327_ _55312_/A VGND VGND VPWR VPWR _55465_/B sky130_fd_sc_hd__buf_1
+XFILLER_205_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74161_ _74161_/A VGND VGND VPWR VPWR _74165_/B sky130_fd_sc_hd__buf_1
+XFILLER_13_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40553_ _40408_/X _40565_/B VGND VGND VPWR VPWR _40553_/X sky130_fd_sc_hd__or2_2
+XPHY_25624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52539_ _52537_/Y _52539_/B VGND VGND VPWR VPWR _77520_/D sky130_fd_sc_hd__nand2_2
+X_59095_ _53731_/A _59374_/B VGND VGND VPWR VPWR _59099_/B sky130_fd_sc_hd__nor2_2
+XPHY_26369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71373_ _74038_/A VGND VGND VPWR VPWR _39146_/A sky130_fd_sc_hd__buf_1
+XFILLER_51_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_358_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_224_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_45_0_u_core.clock clkbuf_9_45_0_u_core.clock/A VGND VGND VPWR VPWR _78080_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_717_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_358_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73112_ _73102_/A _73112_/B _69476_/A VGND VGND VPWR VPWR _73112_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_40_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_297_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70324_ _70323_/X VGND VGND VPWR VPWR _70324_/X sky130_fd_sc_hd__buf_1
+XFILLER_142_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46060_ _46844_/A _46060_/B VGND VGND VPWR VPWR _79042_/D sky130_fd_sc_hd__nor2_2
+XFILLER_554_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58046_ _58036_/X _58041_/Y _58045_/Y VGND VGND VPWR VPWR _58046_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_562_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43272_ _43270_/X _43271_/Y VGND VGND VPWR VPWR _79394_/D sky130_fd_sc_hd__nand2_2
+XFILLER_8_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_617_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55258_ _55325_/A _55257_/Y VGND VGND VPWR VPWR _55259_/B sky130_fd_sc_hd__nor2_2
+XPHY_14400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74092_ _74014_/A VGND VGND VPWR VPWR _74092_/X sky130_fd_sc_hd__buf_1
+XFILLER_550_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40484_ _40611_/A _40493_/B VGND VGND VPWR VPWR _40486_/A sky130_fd_sc_hd__or2_2
+XFILLER_670_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_617_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_491_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45011_ _45020_/A _45010_/X VGND VGND VPWR VPWR _45011_/X sky130_fd_sc_hd__or2_2
+XFILLER_590_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_357_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42223_ wbs_dat_i[28] VGND VGND VPWR VPWR _42223_/Y sky130_fd_sc_hd__inv_8
+X_54209_ _54207_/Y _54209_/B VGND VGND VPWR VPWR _54209_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_355_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_327_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73043_ _73056_/A _73028_/X _67265_/A VGND VGND VPWR VPWR _73045_/A sky130_fd_sc_hd__nand3_2
+X_77920_ _77920_/CLK _51016_/Y VGND VGND VPWR VPWR _62173_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_456_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70255_ _71256_/A _71276_/A VGND VGND VPWR VPWR _70255_/X sky130_fd_sc_hd__or2_2
+XPHY_24978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55189_ _79466_/Q _55188_/Y VGND VGND VPWR VPWR _55189_/X sky130_fd_sc_hd__xor2_2
+XPHY_13710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42154_ _69674_/A _42154_/B VGND VGND VPWR VPWR _42156_/A sky130_fd_sc_hd__nor2_2
+XPHY_14488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77851_ _77840_/CLK _77851_/D VGND VGND VPWR VPWR _61491_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_158_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_121 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70186_ _70323_/A _70185_/Y VGND VGND VPWR VPWR _70187_/A sky130_fd_sc_hd__or2_2
+XPHY_13754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_67_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_711_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59997_ _59687_/A _59995_/Y _59997_/C VGND VGND VPWR VPWR _59997_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_316_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_411_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41105_ _41123_/A _41118_/B _66137_/A VGND VGND VPWR VPWR _41105_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_587_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76802_ _76798_/CLK _55547_/Y VGND VGND VPWR VPWR _76802_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_630_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49750_ _49750_/A _49749_/X VGND VGND VPWR VPWR _49750_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_49_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_273_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46962_ _46962_/A VGND VGND VPWR VPWR _46962_/X sky130_fd_sc_hd__buf_1
+X_42085_ _42085_/A _42085_/B _42082_/C VGND VGND VPWR VPWR _42085_/Y sky130_fd_sc_hd__nor3_2
+XPHY_13798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_687_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58948_ _59479_/A VGND VGND VPWR VPWR _59312_/B sky130_fd_sc_hd__buf_1
+XFILLER_253_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77782_ _77799_/CLK _51550_/Y VGND VGND VPWR VPWR _77782_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_31166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74994_ _74988_/A _74988_/B _67374_/A VGND VGND VPWR VPWR _74997_/A sky130_fd_sc_hd__nand3_2
+XFILLER_313_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_253_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48701_ _48730_/A _48675_/X VGND VGND VPWR VPWR _48702_/B sky130_fd_sc_hd__or2_2
+X_79521_ _79122_/CLK _79521_/D VGND VGND VPWR VPWR _79521_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_468_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41036_ _41036_/A _41030_/B _58418_/A VGND VGND VPWR VPWR _41036_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_270_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45913_ _42537_/B _45908_/X VGND VGND VPWR VPWR _45914_/C sky130_fd_sc_hd__nor2_2
+XPHY_30454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76733_ _78080_/CLK _60417_/Y VGND VGND VPWR VPWR _45281_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_611_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_484_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_428_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49681_ _78276_/Q _49680_/X VGND VGND VPWR VPWR _49681_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_658_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73945_ _73949_/A _73930_/X _81051_/Q VGND VGND VPWR VPWR _73946_/B sky130_fd_sc_hd__nand3_2
+XPHY_30465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58879_ _58879_/A VGND VGND VPWR VPWR _59534_/A sky130_fd_sc_hd__buf_1
+X_46893_ _46875_/X _71307_/B _71237_/Y _46892_/X VGND VGND VPWR VPWR _46893_/X sky130_fd_sc_hd__o22a_4
+XFILLER_234_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_110_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60910_ _60910_/A _60910_/B _60910_/C VGND VGND VPWR VPWR _60914_/B sky130_fd_sc_hd__nor3_2
+XFILLER_368_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48632_ _48632_/A _48631_/X VGND VGND VPWR VPWR _78544_/D sky130_fd_sc_hd__nand2_2
+X_79452_ _78951_/CLK _42837_/Y VGND VGND VPWR VPWR _79452_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_682_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45844_ _70426_/X VGND VGND VPWR VPWR _45845_/A sky130_fd_sc_hd__inv_8
+XFILLER_171_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_483_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76664_ _76664_/CLK _76664_/D VGND VGND VPWR VPWR _70330_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_114_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61890_ _61890_/A _61890_/B _61890_/C VGND VGND VPWR VPWR _61901_/B sky130_fd_sc_hd__nor3_2
+XFILLER_231_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73876_ _73635_/A _73987_/B VGND VGND VPWR VPWR _73879_/A sky130_fd_sc_hd__or2_2
+XFILLER_329_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_633_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_607_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78403_ _78403_/CLK _49176_/Y VGND VGND VPWR VPWR _78403_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_568_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_644_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75615_ _75615_/A _75599_/X VGND VGND VPWR VPWR _75615_/X sky130_fd_sc_hd__or2_2
+XFILLER_130_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60841_ _60841_/A _60841_/B _60840_/Y VGND VGND VPWR VPWR _60841_/Y sky130_fd_sc_hd__nor3_2
+XPHY_7682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48563_ _48563_/A _48562_/X VGND VGND VPWR VPWR _48563_/Y sky130_fd_sc_hd__nand2_2
+X_72827_ _72792_/X VGND VGND VPWR VPWR _72843_/A sky130_fd_sc_hd__buf_1
+XFILLER_1_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79383_ _79384_/CLK _79383_/D VGND VGND VPWR VPWR _66779_/A sky130_fd_sc_hd__dfxtp_4
+X_45775_ _45775_/A _45773_/Y _45775_/C VGND VGND VPWR VPWR _45775_/Y sky130_fd_sc_hd__nor3_2
+XPHY_39430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_21_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76595_ VGND VGND VPWR VPWR _76595_/HI la_data_out[91] sky130_fd_sc_hd__conb_1
+XPHY_7693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42987_ _42985_/X _42986_/Y VGND VGND VPWR VPWR _79416_/D sky130_fd_sc_hd__nand2_2
+XFILLER_349_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_329_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_507_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47514_ _47644_/A _47508_/X VGND VGND VPWR VPWR _47514_/X sky130_fd_sc_hd__or2_2
+XFILLER_520_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_349_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78334_ _77888_/CLK _78334_/D VGND VGND VPWR VPWR _61857_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44726_ _44715_/A _44725_/X VGND VGND VPWR VPWR _44726_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63560_ _63560_/A _63412_/X VGND VGND VPWR VPWR _63560_/Y sky130_fd_sc_hd__nor2_2
+X_75546_ _75546_/A VGND VGND VPWR VPWR _76187_/A sky130_fd_sc_hd__buf_1
+XFILLER_723_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41938_ _41924_/X VGND VGND VPWR VPWR _41947_/A sky130_fd_sc_hd__inv_8
+XPHY_39474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_624_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60772_ _60772_/A _60630_/B VGND VGND VPWR VPWR _60773_/C sky130_fd_sc_hd__nor2_2
+X_72758_ _72228_/A _72744_/B VGND VGND VPWR VPWR _72758_/X sky130_fd_sc_hd__or2_2
+XPHY_6992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48494_ _48456_/X _48494_/B VGND VGND VPWR VPWR _48494_/X sky130_fd_sc_hd__or2_2
+XFILLER_97_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_526_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_165_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_440_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62511_ _62044_/A _62511_/B _62510_/Y VGND VGND VPWR VPWR _62517_/B sky130_fd_sc_hd__nor3_2
+XPHY_38762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47445_ _63261_/A _47451_/B VGND VGND VPWR VPWR _47447_/A sky130_fd_sc_hd__nand2_2
+XFILLER_75_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71709_ _70939_/C _71579_/B VGND VGND VPWR VPWR _71710_/B sky130_fd_sc_hd__nor2_2
+XFILLER_108_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_381_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78265_ _78276_/CLK _49722_/Y VGND VGND VPWR VPWR _62411_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_38773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44657_ _44657_/A VGND VGND VPWR VPWR _44667_/A sky130_fd_sc_hd__inv_8
+XFILLER_91_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_700_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63491_ _78656_/Q _63178_/B VGND VGND VPWR VPWR _63491_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_1_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75477_ _75502_/A VGND VGND VPWR VPWR _75498_/B sky130_fd_sc_hd__buf_1
+XFILLER_362_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41869_ _45255_/A VGND VGND VPWR VPWR _41887_/A sky130_fd_sc_hd__buf_1
+XPHY_38784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72689_ _72682_/A _72686_/B _81364_/Q VGND VGND VPWR VPWR _72689_/Y sky130_fd_sc_hd__nand3_2
+XPHY_28261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_250_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_594_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_3065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65230_ _65230_/A _65887_/B VGND VGND VPWR VPWR _65230_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_542_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77216_ _77230_/CLK _77216_/D VGND VGND VPWR VPWR _53694_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_349_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43608_ _43591_/X _43608_/B _43607_/Y VGND VGND VPWR VPWR _43608_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_204_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62442_ _60091_/A VGND VGND VPWR VPWR _62443_/B sky130_fd_sc_hd__buf_1
+X_74428_ _74426_/Y _74428_/B VGND VGND VPWR VPWR _74428_/X sky130_fd_sc_hd__or2_2
+XFILLER_62_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47376_ _47443_/A _47382_/B VGND VGND VPWR VPWR _47377_/B sky130_fd_sc_hd__or2_2
+XFILLER_600_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78196_ _78191_/CLK _78196_/D VGND VGND VPWR VPWR _49979_/A sky130_fd_sc_hd__dfxtp_4
+X_44588_ _44477_/X VGND VGND VPWR VPWR _44589_/B sky130_fd_sc_hd__buf_1
+XFILLER_301_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_280_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_1535 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49115_ _49115_/A _49115_/B VGND VGND VPWR VPWR _49115_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_679_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46327_ _45142_/A _46327_/B VGND VGND VPWR VPWR _46327_/Y sky130_fd_sc_hd__nor2_2
+X_65161_ _64182_/A VGND VGND VPWR VPWR _65335_/A sky130_fd_sc_hd__buf_1
+X_77147_ _77719_/CLK _53956_/Y VGND VGND VPWR VPWR _77147_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_27593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43539_ _43657_/A _43538_/Y VGND VGND VPWR VPWR _43539_/X sky130_fd_sc_hd__and2_2
+XFILLER_182_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62373_ _63003_/A _62373_/B _62372_/Y VGND VGND VPWR VPWR _62374_/C sky130_fd_sc_hd__nor3_2
+XPHY_17070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74359_ _74355_/X _74359_/B VGND VGND VPWR VPWR _74359_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_438_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_392_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_379_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_176_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64112_ _64164_/A _64078_/X VGND VGND VPWR VPWR _64112_/X sky130_fd_sc_hd__or2_2
+XFILLER_160_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_635_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_477_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49046_ _49044_/A VGND VGND VPWR VPWR _49065_/B sky130_fd_sc_hd__buf_1
+X_61324_ _59743_/A VGND VGND VPWR VPWR _61325_/B sky130_fd_sc_hd__buf_1
+XFILLER_148_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46258_ _46258_/A _46258_/B _46242_/C VGND VGND VPWR VPWR _46259_/C sky130_fd_sc_hd__nor3_2
+XFILLER_717_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65092_ _64569_/X _65090_/Y _65092_/C VGND VGND VPWR VPWR _65096_/B sky130_fd_sc_hd__nor3_2
+XFILLER_164_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77078_ _77596_/CLK _77078_/D VGND VGND VPWR VPWR _59352_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_353_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_54_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45209_ _45053_/A VGND VGND VPWR VPWR _45323_/A sky130_fd_sc_hd__buf_1
+X_68920_ _81354_/Q _69343_/B VGND VGND VPWR VPWR _68922_/B sky130_fd_sc_hd__nor2_2
+X_64043_ _64043_/A _59407_/B VGND VGND VPWR VPWR _64044_/C sky130_fd_sc_hd__nor2_2
+X_76029_ _76029_/A _76018_/B VGND VGND VPWR VPWR _76031_/A sky130_fd_sc_hd__or2_2
+XFILLER_575_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_190_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61255_ _77546_/Q _60943_/B VGND VGND VPWR VPWR _61256_/C sky130_fd_sc_hd__nor2_2
+XFILLER_553_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_258_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46189_ _46189_/A _46189_/B _46189_/C VGND VGND VPWR VPWR _46190_/B sky130_fd_sc_hd__nor3_2
+XFILLER_103_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_395_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_138_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_306_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60206_ _60206_/A _59882_/X VGND VGND VPWR VPWR _60206_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_392_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_668_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_271_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_695_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68851_ _68851_/A _68851_/B _68850_/Y VGND VGND VPWR VPWR _68851_/X sky130_fd_sc_hd__or3_2
+XFILLER_171_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61186_ _60563_/A _61180_/Y _61185_/Y VGND VGND VPWR VPWR _61194_/B sky130_fd_sc_hd__nor3_2
+XPHY_33091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_455_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_137_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67802_ _38908_/C _67310_/B VGND VGND VPWR VPWR _67804_/B sky130_fd_sc_hd__nor2_2
+XFILLER_451_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_649_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60137_ _60137_/A _60137_/B VGND VGND VPWR VPWR _60138_/B sky130_fd_sc_hd__nor2_2
+XFILLER_305_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_510_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_320_305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49948_ _78203_/Q _49961_/B VGND VGND VPWR VPWR _49948_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_173_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68782_ _68433_/X _68776_/Y _68782_/C VGND VGND VPWR VPWR _68782_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_217_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65994_ _65497_/X _65988_/Y _65994_/C VGND VGND VPWR VPWR _65995_/C sky130_fd_sc_hd__nor3_2
+XFILLER_412_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_316_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39701_ _39665_/A VGND VGND VPWR VPWR _39701_/X sky130_fd_sc_hd__buf_1
+XFILLER_63_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_259_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67733_ _80451_/Q _67733_/B VGND VGND VPWR VPWR _67734_/C sky130_fd_sc_hd__nor2_2
+XFILLER_710_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79719_ _79635_/CLK _41041_/Y VGND VGND VPWR VPWR _68435_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_139_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60068_ _77282_/Q _60068_/B VGND VGND VPWR VPWR _60069_/C sky130_fd_sc_hd__nor2_2
+XFILLER_351_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64945_ _64613_/X _64942_/Y _64944_/Y VGND VGND VPWR VPWR _64945_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_313_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80991_ _81025_/CLK _74219_/Y VGND VGND VPWR VPWR _67087_/A sky130_fd_sc_hd__dfxtp_4
+X_49879_ _49936_/A _49876_/B VGND VGND VPWR VPWR _49879_/X sky130_fd_sc_hd__or2_2
+XFILLER_119_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_681_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_189_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_668_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51910_ _60668_/A _51910_/B VGND VGND VPWR VPWR _51910_/Y sky130_fd_sc_hd__nand2_2
+X_39632_ _39123_/A _39643_/B VGND VGND VPWR VPWR _39634_/A sky130_fd_sc_hd__or2_2
+XFILLER_154_1588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_86_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_427_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67664_ _67664_/A _67662_/Y _67663_/Y VGND VGND VPWR VPWR _67664_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_410_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52890_ _52833_/A _52899_/B VGND VGND VPWR VPWR _52890_/X sky130_fd_sc_hd__or2_2
+XFILLER_269_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_140_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64876_ _64876_/A _65043_/B VGND VGND VPWR VPWR _64876_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_490_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_711_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_189_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69403_ _64407_/A _69401_/Y _69403_/C VGND VGND VPWR VPWR _69404_/C sky130_fd_sc_hd__nor3_2
+XFILLER_230_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_39_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66615_ _64176_/X VGND VGND VPWR VPWR _66615_/X sky130_fd_sc_hd__buf_1
+XFILLER_583_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51841_ _51812_/A _51847_/B VGND VGND VPWR VPWR _51842_/B sky130_fd_sc_hd__or2_2
+X_39563_ _39561_/X _39563_/B VGND VGND VPWR VPWR _39563_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_367_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_724_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63827_ _63827_/A _59107_/B VGND VGND VPWR VPWR _63828_/C sky130_fd_sc_hd__nor2_2
+XFILLER_280_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_462_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67595_ _67595_/A _67108_/X VGND VGND VPWR VPWR _67595_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_364_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_61_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_305_0_u_core.clock clkbuf_9_305_0_u_core.clock/A VGND VGND VPWR VPWR _81148_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_368_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_711_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_3_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_113_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38514_ _38512_/X _38514_/B VGND VGND VPWR VPWR _38514_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_364_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_38_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69334_ _69334_/A _69474_/B VGND VGND VPWR VPWR _69335_/C sky130_fd_sc_hd__nor2_2
+XFILLER_58_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54560_ _54645_/A _54572_/B VGND VGND VPWR VPWR _54560_/X sky130_fd_sc_hd__or2_2
+XFILLER_347_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66546_ _66225_/A _66546_/B _66546_/C VGND VGND VPWR VPWR _66553_/B sky130_fd_sc_hd__nor3_2
+XFILLER_560_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_110_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51772_ _51772_/A _51771_/X VGND VGND VPWR VPWR _77724_/D sky130_fd_sc_hd__nand2_2
+X_39494_ _39507_/A VGND VGND VPWR VPWR _39501_/B sky130_fd_sc_hd__buf_1
+X_63758_ _63758_/A _63756_/Y _63758_/C VGND VGND VPWR VPWR _63758_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_427_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_542_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_599_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_642_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53511_ _53527_/B VGND VGND VPWR VPWR _53521_/B sky130_fd_sc_hd__buf_1
+XFILLER_577_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_42_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_718_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38445_ _38205_/A _38434_/B VGND VGND VPWR VPWR _38445_/X sky130_fd_sc_hd__or2_2
+X_50723_ _61870_/A _50727_/B VGND VGND VPWR VPWR _50726_/A sky130_fd_sc_hd__nand2_2
+X_62709_ _62709_/A _62709_/B _62708_/Y VGND VGND VPWR VPWR _62717_/B sky130_fd_sc_hd__nor3_2
+XFILLER_247_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69265_ _64407_/A _69265_/B _69265_/C VGND VGND VPWR VPWR _69266_/C sky130_fd_sc_hd__nor3_2
+XFILLER_470_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54491_ _60301_/A _54505_/B VGND VGND VPWR VPWR _54494_/A sky130_fd_sc_hd__nand2_2
+Xclkbuf_9_474_0_u_core.clock clkbuf_9_475_0_u_core.clock/A VGND VGND VPWR VPWR _80659_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_66477_ _68948_/A VGND VGND VPWR VPWR _66480_/A sky130_fd_sc_hd__buf_1
+XFILLER_208_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_659_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63689_ _78682_/Q _63408_/X VGND VGND VPWR VPWR _63690_/C sky130_fd_sc_hd__nor2_2
+XFILLER_341_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_588_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1512 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_198_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_503_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56230_ _56526_/A VGND VGND VPWR VPWR _58535_/A sky130_fd_sc_hd__buf_1
+X_68216_ _68216_/A _68212_/Y _68216_/C VGND VGND VPWR VPWR _68216_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_538_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53442_ _53442_/A _53441_/X VGND VGND VPWR VPWR _53442_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_41_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65428_ _65428_/A _65428_/B VGND VGND VPWR VPWR _65429_/C sky130_fd_sc_hd__nor2_2
+XFILLER_430_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_341_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38376_ _76254_/A _38373_/B VGND VGND VPWR VPWR _38376_/X sky130_fd_sc_hd__or2_2
+XFILLER_497_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50654_ _62163_/A _50651_/B VGND VGND VPWR VPWR _50656_/A sky130_fd_sc_hd__nand2_2
+XFILLER_718_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81474_ _81468_/CLK _72230_/Y VGND VGND VPWR VPWR _67622_/A sky130_fd_sc_hd__dfxtp_4
+X_69196_ _69196_/A _69474_/B VGND VGND VPWR VPWR _69197_/C sky130_fd_sc_hd__nor2_2
+XPHY_2928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_206_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_478_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_42_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80425_ _80384_/CLK _80425_/D VGND VGND VPWR VPWR _38250_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_529_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68147_ _68475_/A _68143_/Y _68147_/C VGND VGND VPWR VPWR _68155_/B sky130_fd_sc_hd__nor3_2
+X_56161_ _56179_/A _56161_/B VGND VGND VPWR VPWR _56162_/C sky130_fd_sc_hd__nor2_2
+XFILLER_719_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65359_ _65693_/A _65359_/B _65358_/Y VGND VGND VPWR VPWR _65372_/B sky130_fd_sc_hd__nor3_2
+X_53373_ _77302_/Q _53370_/B VGND VGND VPWR VPWR _53373_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_692_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_298_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_126_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_143_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50585_ _50582_/X VGND VGND VPWR VPWR _50607_/B sky130_fd_sc_hd__buf_1
+XFILLER_50_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_194_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_573_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55112_ _70182_/A _55121_/A VGND VGND VPWR VPWR _55112_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_653_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_295_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52324_ _77577_/Q _52328_/B VGND VGND VPWR VPWR _52324_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_544_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_241_1561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_571_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56092_ _44944_/A _56086_/B VGND VGND VPWR VPWR _56093_/B sky130_fd_sc_hd__nor2_2
+X_80356_ _80354_/CLK _38519_/Y VGND VGND VPWR VPWR _67886_/A sky130_fd_sc_hd__dfxtp_4
+X_68078_ _80997_/Q _67750_/B VGND VGND VPWR VPWR _68080_/B sky130_fd_sc_hd__nor2_2
+XFILLER_104_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_194_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_653_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_3061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55043_ _55041_/Y _55043_/B VGND VGND VPWR VPWR _55043_/Y sky130_fd_sc_hd__nand2_2
+X_59920_ _59920_/A VGND VGND VPWR VPWR _59923_/A sky130_fd_sc_hd__buf_1
+XFILLER_309_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67029_ _44651_/Y _66861_/X VGND VGND VPWR VPWR _67196_/A sky130_fd_sc_hd__nor2_2
+XFILLER_378_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_705_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52255_ _52255_/A _52255_/B VGND VGND VPWR VPWR _77596_/D sky130_fd_sc_hd__nand2_2
+XFILLER_52_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_257_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80287_ _80289_/CLK _38803_/Y VGND VGND VPWR VPWR _80287_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_356_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_336_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_219_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51206_ _62493_/A _51198_/X VGND VGND VPWR VPWR _51206_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_374_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70040_ _69577_/A VGND VGND VPWR VPWR _70041_/A sky130_fd_sc_hd__buf_1
+XFILLER_323_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59851_ _77057_/Q _59851_/B VGND VGND VPWR VPWR _59852_/C sky130_fd_sc_hd__nor2_2
+XFILLER_65_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52186_ _52784_/A VGND VGND VPWR VPWR _52187_/A sky130_fd_sc_hd__buf_1
+XFILLER_532_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_172_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_276_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_323_143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_688_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_1512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58802_ _58802_/A _56349_/B VGND VGND VPWR VPWR _58802_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_274_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51137_ _51137_/A _51136_/X VGND VGND VPWR VPWR _77886_/D sky130_fd_sc_hd__nand2_2
+XFILLER_46_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59782_ _59782_/A _60108_/B VGND VGND VPWR VPWR _59782_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_137_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56994_ _65842_/A _57076_/B VGND VGND VPWR VPWR _56994_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_268_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_235_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_510_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_3023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_137_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58733_ _58451_/A _58733_/B _58733_/C VGND VGND VPWR VPWR _58737_/B sky130_fd_sc_hd__nor3_2
+XPHY_11648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55945_ _73023_/A _42098_/A _55945_/C VGND VGND VPWR VPWR _55945_/X sky130_fd_sc_hd__and3_2
+XFILLER_157_1190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51068_ _51007_/A _51080_/B VGND VGND VPWR VPWR _51069_/B sky130_fd_sc_hd__or2_2
+XFILLER_667_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_369_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71991_ _71991_/A _69875_/X VGND VGND VPWR VPWR _71991_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_710_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_254_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_428_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42910_ _42920_/A _42920_/B _58394_/A VGND VGND VPWR VPWR _42911_/B sky130_fd_sc_hd__nand3_2
+XFILLER_98_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50019_ _49991_/A _50028_/B VGND VGND VPWR VPWR _50019_/X sky130_fd_sc_hd__or2_2
+X_73730_ _73728_/X _73729_/Y VGND VGND VPWR VPWR _81108_/D sky130_fd_sc_hd__nand2_2
+XFILLER_276_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_172_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58664_ _80172_/Q _58526_/B VGND VGND VPWR VPWR _58666_/B sky130_fd_sc_hd__nor2_2
+XFILLER_265_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70942_ _70664_/X _71839_/B VGND VGND VPWR VPWR _70974_/A sky130_fd_sc_hd__nor2_2
+XFILLER_237_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_682_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43890_ _43890_/A _43889_/Y _43897_/C VGND VGND VPWR VPWR _43890_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_276_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_213_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55876_ _46074_/A _55919_/B VGND VGND VPWR VPWR _55877_/B sky130_fd_sc_hd__nor2_2
+XFILLER_172_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_24_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_3053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_365_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_289_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57615_ _57531_/X _57615_/B _57614_/Y VGND VGND VPWR VPWR _57615_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_236_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42841_ _42830_/Y VGND VGND VPWR VPWR _42843_/A sky130_fd_sc_hd__buf_1
+XFILLER_131_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54827_ _53158_/A VGND VGND VPWR VPWR _54946_/A sky130_fd_sc_hd__buf_1
+X_73661_ _73642_/A VGND VGND VPWR VPWR _73662_/B sky130_fd_sc_hd__buf_1
+XFILLER_59_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58595_ _58595_/A _58594_/Y VGND VGND VPWR VPWR _58596_/B sky130_fd_sc_hd__nor2_2
+X_70873_ _70873_/A _70873_/B _70873_/C VGND VGND VPWR VPWR _71073_/A sky130_fd_sc_hd__or3_2
+XFILLER_18_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_57_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_291_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_123_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75400_ _75363_/A VGND VGND VPWR VPWR _75401_/B sky130_fd_sc_hd__buf_1
+XFILLER_245_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_17_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72612_ _72591_/A VGND VGND VPWR VPWR _72667_/A sky130_fd_sc_hd__buf_1
+XFILLER_385_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_607_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45560_ _45560_/A _45559_/X VGND VGND VPWR VPWR _45563_/B sky130_fd_sc_hd__nor2_2
+XPHY_38025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76380_ _76378_/X _76379_/Y VGND VGND VPWR VPWR _76380_/Y sky130_fd_sc_hd__nand2_2
+X_57546_ _40072_/C _57708_/B VGND VGND VPWR VPWR _57549_/B sky130_fd_sc_hd__nor2_2
+XFILLER_363_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42772_ _42736_/X _42771_/X _70729_/X _42750_/X VGND VGND VPWR VPWR _42772_/X sky130_fd_sc_hd__o22a_4
+X_54758_ _54758_/A _54702_/B VGND VGND VPWR VPWR _54768_/A sky130_fd_sc_hd__or2_2
+X_73592_ _73538_/A VGND VGND VPWR VPWR _73607_/A sky130_fd_sc_hd__buf_1
+XFILLER_422_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_226_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_18_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44511_ _44505_/A _44511_/B _44511_/C VGND VGND VPWR VPWR _79223_/D sky130_fd_sc_hd__nor3_2
+XPHY_5576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75331_ _75334_/A _75339_/B _68526_/A VGND VGND VPWR VPWR _75331_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_543_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_480_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41723_ _45166_/B VGND VGND VPWR VPWR _45107_/B sky130_fd_sc_hd__buf_1
+XFILLER_92_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53709_ _53716_/A VGND VGND VPWR VPWR _53728_/B sky130_fd_sc_hd__buf_1
+XFILLER_426_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72543_ _72543_/A _72542_/Y VGND VGND VPWR VPWR _72543_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_421_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45491_ _44978_/B VGND VGND VPWR VPWR _45492_/A sky130_fd_sc_hd__buf_1
+X_57477_ _66831_/A _57320_/X VGND VGND VPWR VPWR _57479_/B sky130_fd_sc_hd__nor2_2
+XFILLER_183_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54689_ _54686_/Y _54688_/X VGND VGND VPWR VPWR _76952_/D sky130_fd_sc_hd__nand2_2
+XFILLER_205_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_699_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47230_ _46692_/A VGND VGND VPWR VPWR _47230_/X sky130_fd_sc_hd__buf_1
+XFILLER_72_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59216_ _59240_/A VGND VGND VPWR VPWR _59426_/A sky130_fd_sc_hd__buf_1
+XFILLER_57_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_148_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78050_ _78062_/CLK _78050_/D VGND VGND VPWR VPWR _62505_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44442_ _44442_/A _44371_/X VGND VGND VPWR VPWR _44442_/X sky130_fd_sc_hd__and2_2
+XPHY_26100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56428_ _40633_/C _56752_/B VGND VGND VPWR VPWR _56429_/C sky130_fd_sc_hd__nor2_2
+XFILLER_281_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_44_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75262_ _75265_/A _75265_/B _66219_/A VGND VGND VPWR VPWR _75263_/B sky130_fd_sc_hd__nand3_2
+XFILLER_306_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41654_ wbs_adr_i[24] VGND VGND VPWR VPWR _41654_/Y sky130_fd_sc_hd__inv_8
+XPHY_36634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72474_ _72165_/A _72584_/B VGND VGND VPWR VPWR _72476_/A sky130_fd_sc_hd__or2_2
+XPHY_4897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_18_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77001_ _76941_/CLK _54504_/Y VGND VGND VPWR VPWR _54502_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_344_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_16_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_658_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74213_ _74600_/A _74210_/B VGND VGND VPWR VPWR _74215_/A sky130_fd_sc_hd__or2_2
+XPHY_36667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40605_ _40594_/X _40598_/X _66010_/A VGND VGND VPWR VPWR _40606_/B sky130_fd_sc_hd__nand3_2
+XPHY_26144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71425_ _70905_/Y _71425_/B VGND VGND VPWR VPWR _71427_/B sky130_fd_sc_hd__nor2_2
+X_47161_ _46364_/B _47160_/Y VGND VGND VPWR VPWR _47162_/C sky130_fd_sc_hd__nor2_2
+XFILLER_207_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59147_ _59160_/A VGND VGND VPWR VPWR _60676_/A sky130_fd_sc_hd__buf_1
+XFILLER_53_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_725_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44373_ _44373_/A _44372_/X VGND VGND VPWR VPWR _44373_/X sky130_fd_sc_hd__or2_2
+XPHY_26155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56359_ _56359_/A _56355_/Y _56358_/Y VGND VGND VPWR VPWR _56359_/Y sky130_fd_sc_hd__nor3_2
+X_75193_ _75184_/X _75201_/B _69152_/A VGND VGND VPWR VPWR _75193_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_576_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_158_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_6_23_0_u_core.clock clkbuf_6_22_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_47_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_220_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41585_ _41598_/A _41573_/X _66618_/A VGND VGND VPWR VPWR _41585_/Y sky130_fd_sc_hd__nand3_2
+XPHY_35944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_718_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_41_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46112_ _41996_/A _43083_/B VGND VGND VPWR VPWR _46112_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_404_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43324_ _43321_/X _43323_/Y VGND VGND VPWR VPWR _79380_/D sky130_fd_sc_hd__nand2_2
+XFILLER_619_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74144_ _74165_/A _74137_/B _74144_/C VGND VGND VPWR VPWR _74145_/B sky130_fd_sc_hd__nand3_2
+XFILLER_157_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40536_ _40536_/A _40536_/B VGND VGND VPWR VPWR _40536_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_300_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47092_ _70829_/X _46038_/B VGND VGND VPWR VPWR _47093_/C sky130_fd_sc_hd__and2_2
+XFILLER_196_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59078_ _59243_/A VGND VGND VPWR VPWR _59078_/X sky130_fd_sc_hd__buf_1
+X_71356_ _71356_/A VGND VGND VPWR VPWR _71357_/B sky130_fd_sc_hd__inv_8
+XPHY_35988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_337_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_240_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_717_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46043_ _46043_/A _46043_/B VGND VGND VPWR VPWR _46043_/Y sky130_fd_sc_hd__nor2_2
+X_58029_ _58029_/A _57944_/X VGND VGND VPWR VPWR _58031_/B sky130_fd_sc_hd__nor2_2
+X_70307_ _42097_/A VGND VGND VPWR VPWR _70307_/Y sky130_fd_sc_hd__inv_8
+XFILLER_199_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_172_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43255_ _43255_/A _43254_/Y VGND VGND VPWR VPWR _79398_/D sky130_fd_sc_hd__nand2_2
+XPHY_14230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74075_ _74746_/A VGND VGND VPWR VPWR _74220_/A sky130_fd_sc_hd__buf_1
+X_78952_ _78890_/CLK _78952_/D VGND VGND VPWR VPWR _46148_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_142_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_535_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40467_ _40449_/A _40466_/X _57240_/A VGND VGND VPWR VPWR _40467_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_177_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71287_ _71423_/A _71286_/X VGND VGND VPWR VPWR _71288_/B sky130_fd_sc_hd__nor2_2
+XFILLER_157_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_5_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42206_ _42205_/Y _42034_/B VGND VGND VPWR VPWR _42209_/A sky130_fd_sc_hd__nor2_2
+XFILLER_515_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61040_ _61040_/A _61040_/B _61039_/Y VGND VGND VPWR VPWR _61041_/C sky130_fd_sc_hd__nor3_2
+X_73026_ _42919_/A _73020_/X VGND VGND VPWR VPWR _73027_/B sky130_fd_sc_hd__or2_2
+XFILLER_29_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77903_ _77903_/CLK _77903_/D VGND VGND VPWR VPWR _51076_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_677_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70238_ _70235_/Y _70193_/X _70194_/X _70237_/X VGND VGND VPWR VPWR _70238_/X sky130_fd_sc_hd__o22a_4
+XFILLER_550_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43186_ _42853_/A _46173_/B VGND VGND VPWR VPWR _43186_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_417_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_318_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78883_ _78890_/CLK _78883_/D VGND VGND VPWR VPWR _46438_/B sky130_fd_sc_hd__dfxtp_4
+XPHY_14285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40398_ _40394_/X _40398_/B VGND VGND VPWR VPWR _40398_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_669_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_314_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_665_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_116_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_613_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49802_ _49802_/A _49802_/B VGND VGND VPWR VPWR _78243_/D sky130_fd_sc_hd__nand2_2
+XFILLER_190_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42137_ _42137_/A _42126_/B VGND VGND VPWR VPWR _42137_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_488_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_272_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77834_ _77840_/CLK _77834_/D VGND VGND VPWR VPWR _51347_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_370_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70169_ _70169_/A VGND VGND VPWR VPWR _70170_/A sky130_fd_sc_hd__buf_1
+XFILLER_550_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47994_ _48028_/A _48006_/B VGND VGND VPWR VPWR _47995_/B sky130_fd_sc_hd__or2_2
+XFILLER_351_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_684_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49733_ _49676_/X _49710_/A VGND VGND VPWR VPWR _49733_/X sky130_fd_sc_hd__or2_2
+XFILLER_302_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46945_ _46920_/X _71517_/B _71445_/B _46937_/X VGND VGND VPWR VPWR _46946_/B sky130_fd_sc_hd__o22a_4
+X_42068_ _70616_/A _42077_/B VGND VGND VPWR VPWR _42068_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_708_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77765_ _76882_/CLK _51617_/Y VGND VGND VPWR VPWR _60539_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62991_ _62991_/A _62837_/X VGND VGND VPWR VPWR _62991_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74977_ _75031_/A VGND VGND VPWR VPWR _74985_/B sky130_fd_sc_hd__buf_1
+XFILLER_253_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_446_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79504_ _79500_/CLK _79504_/D VGND VGND VPWR VPWR _45048_/A sky130_fd_sc_hd__dfxtp_4
+X_41019_ _41019_/A _41019_/B VGND VGND VPWR VPWR _41019_/X sky130_fd_sc_hd__or2_2
+XFILLER_23_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64730_ _38335_/C _65063_/B VGND VGND VPWR VPWR _64732_/B sky130_fd_sc_hd__nor2_2
+XPHY_30284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_68_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76716_ _76714_/CLK _76716_/D VGND VGND VPWR VPWR _72054_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_485_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61942_ _78270_/Q _61941_/X VGND VGND VPWR VPWR _61943_/C sky130_fd_sc_hd__nor2_2
+X_49664_ _49813_/A VGND VGND VPWR VPWR _49696_/A sky130_fd_sc_hd__buf_1
+XFILLER_665_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73928_ _73926_/X _73928_/B VGND VGND VPWR VPWR _73928_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_151_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46876_ _46875_/X _71237_/Y _71149_/Y _46846_/X VGND VGND VPWR VPWR _46876_/X sky130_fd_sc_hd__o22a_4
+XFILLER_484_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77696_ _77193_/CLK _77696_/D VGND VGND VPWR VPWR _51872_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_228_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_190_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_531_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_646_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_121_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48615_ _48611_/Y _48614_/X VGND VGND VPWR VPWR _48615_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_255_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79435_ _79435_/CLK _42918_/Y VGND VGND VPWR VPWR _68285_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45827_ _45806_/X _45822_/Y _45826_/Y VGND VGND VPWR VPWR _45827_/Y sky130_fd_sc_hd__nor3_2
+X_64661_ _40874_/C _64660_/X VGND VGND VPWR VPWR _64663_/B sky130_fd_sc_hd__nor2_2
+XFILLER_522_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76647_ _79353_/CLK _56660_/Y VGND VGND VPWR VPWR _70756_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_463_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61873_ _59502_/X VGND VGND VPWR VPWR _61873_/X sky130_fd_sc_hd__buf_1
+XFILLER_212_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73859_ _73979_/A _73865_/B VGND VGND VPWR VPWR _73861_/A sky130_fd_sc_hd__or2_2
+XFILLER_364_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49595_ _49585_/X VGND VGND VPWR VPWR _49596_/B sky130_fd_sc_hd__buf_1
+XFILLER_608_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_583_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_97_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_95_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66400_ _66557_/A _66398_/Y _66400_/C VGND VGND VPWR VPWR _66405_/B sky130_fd_sc_hd__nor3_2
+XFILLER_607_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63612_ _63154_/A _63610_/Y _63612_/C VGND VGND VPWR VPWR _63613_/C sky130_fd_sc_hd__nor3_2
+XFILLER_409_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_702_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_444_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_364_1405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60824_ _60824_/A VGND VGND VPWR VPWR _60825_/B sky130_fd_sc_hd__buf_1
+X_48546_ _48546_/A _48546_/B VGND VGND VPWR VPWR _48546_/Y sky130_fd_sc_hd__nand2_2
+X_67380_ _67056_/A _67380_/B _67379_/Y VGND VGND VPWR VPWR _67385_/B sky130_fd_sc_hd__nor3_2
+XFILLER_561_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79366_ _79368_/CLK _79366_/D VGND VGND VPWR VPWR _58700_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_282_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45758_ _45757_/Y _45717_/X VGND VGND VPWR VPWR _45759_/C sky130_fd_sc_hd__nor2_2
+XFILLER_236_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64592_ _66906_/A VGND VGND VPWR VPWR _69311_/B sky130_fd_sc_hd__buf_1
+XFILLER_471_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76578_ VGND VGND VPWR VPWR _76578_/HI la_data_out[74] sky130_fd_sc_hd__conb_1
+XFILLER_162_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66331_ _66314_/Y _66331_/B VGND VGND VPWR VPWR _66331_/Y sky130_fd_sc_hd__nor2_2
+XPHY_39293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78317_ _78317_/CLK _49528_/Y VGND VGND VPWR VPWR _61819_/A sky130_fd_sc_hd__dfxtp_4
+X_44709_ _72985_/A _44709_/B VGND VGND VPWR VPWR _44709_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_266_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_264_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_244_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63543_ _63543_/A _59267_/A VGND VGND VPWR VPWR _63543_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_97_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75529_ _75513_/A _75528_/X _66215_/A VGND VGND VPWR VPWR _75529_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_596_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_452_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_405_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60755_ _60131_/A _60755_/B _60754_/Y VGND VGND VPWR VPWR _60755_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_286_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48477_ _78583_/Q _48485_/B VGND VGND VPWR VPWR _48480_/A sky130_fd_sc_hd__nand2_2
+XFILLER_526_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79297_ _79290_/CLK _79297_/D VGND VGND VPWR VPWR _43769_/A sky130_fd_sc_hd__dfxtp_4
+X_45689_ _45682_/A _45689_/B VGND VGND VPWR VPWR _45690_/C sky130_fd_sc_hd__nor2_2
+XFILLER_224_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_184_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_282_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_90_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38230_ _38227_/X _38241_/B _38230_/C VGND VGND VPWR VPWR _38231_/B sky130_fd_sc_hd__nand3_2
+XFILLER_147_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69050_ _81003_/Q _69328_/B VGND VGND VPWR VPWR _69052_/B sky130_fd_sc_hd__nor2_2
+XFILLER_264_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_405_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47428_ _63958_/A _47435_/B VGND VGND VPWR VPWR _47428_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_23_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66262_ _68731_/A VGND VGND VPWR VPWR _66262_/X sky130_fd_sc_hd__buf_1
+XFILLER_63_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78248_ _78276_/CLK _49782_/Y VGND VGND VPWR VPWR _78248_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63474_ _63613_/A _63470_/Y _63474_/C VGND VGND VPWR VPWR _63474_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_330_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_602_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60686_ _60686_/A _60999_/B VGND VGND VPWR VPWR _60689_/B sky130_fd_sc_hd__nor2_2
+XPHY_28091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_203_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_500_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_68001_ _65186_/A VGND VGND VPWR VPWR _68001_/X sky130_fd_sc_hd__buf_1
+XFILLER_594_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65213_ _66051_/A VGND VGND VPWR VPWR _65893_/A sky130_fd_sc_hd__buf_1
+XFILLER_384_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_719_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62425_ _63049_/A _62423_/Y _62425_/C VGND VGND VPWR VPWR _62425_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_189_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_36_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47359_ _47426_/A _53193_/A VGND VGND VPWR VPWR _47359_/X sky130_fd_sc_hd__or2_2
+X_66193_ _80313_/Q _65695_/X VGND VGND VPWR VPWR _66194_/C sky130_fd_sc_hd__nor2_2
+XFILLER_18_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78179_ _78173_/CLK _78179_/D VGND VGND VPWR VPWR _78179_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_340_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_323_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_320_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80210_ _80304_/CLK _39090_/Y VGND VGND VPWR VPWR _80210_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_359_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65144_ _64312_/X VGND VGND VPWR VPWR _65145_/A sky130_fd_sc_hd__buf_1
+XFILLER_553_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50370_ _50372_/A VGND VGND VPWR VPWR _50371_/B sky130_fd_sc_hd__buf_1
+XFILLER_258_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_393_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62356_ _62356_/A _62056_/X VGND VGND VPWR VPWR _62356_/Y sky130_fd_sc_hd__nor2_2
+X_81190_ _81190_/CLK _73421_/Y VGND VGND VPWR VPWR _81190_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_69_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_554_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_653_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_556_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61307_ _77650_/Q _60835_/B VGND VGND VPWR VPWR _61309_/B sky130_fd_sc_hd__nor2_2
+X_49029_ _49029_/A _49029_/B VGND VGND VPWR VPWR _78441_/D sky130_fd_sc_hd__nand2_2
+X_80141_ _80109_/CLK _80141_/D VGND VGND VPWR VPWR _58732_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_325_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69952_ _69952_/A _70771_/B VGND VGND VPWR VPWR _69952_/X sky130_fd_sc_hd__or2_2
+XFILLER_717_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65075_ _73853_/C _65428_/B VGND VGND VPWR VPWR _65075_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_375_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_590_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62287_ _62287_/A _62286_/Y VGND VGND VPWR VPWR _62287_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_236_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_301_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52040_ _52047_/A VGND VGND VPWR VPWR _52059_/B sky130_fd_sc_hd__buf_1
+XFILLER_30_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68903_ _81002_/Q _68903_/B VGND VGND VPWR VPWR _68905_/B sky130_fd_sc_hd__nor2_2
+X_64026_ _64026_/A _64026_/B VGND VGND VPWR VPWR _64026_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_305_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61238_ _60923_/A _61238_/B _61238_/C VGND VGND VPWR VPWR _61238_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_156_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80072_ _80104_/CLK _80072_/D VGND VGND VPWR VPWR _58367_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_318_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69883_ _69868_/X _69883_/B _69877_/Y _69882_/Y VGND VGND VPWR VPWR _69883_/X sky130_fd_sc_hd__or4_2
+XFILLER_306_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_273_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_204_0_u_core.clock clkbuf_7_102_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_409_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_9809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_533_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_451_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_138_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_264_0_u_core.clock clkbuf_9_265_0_u_core.clock/A VGND VGND VPWR VPWR _79245_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_258_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_251_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_568_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68834_ _68834_/A _68665_/B VGND VGND VPWR VPWR _68834_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_333_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_695_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61169_ _60550_/A _61167_/Y _61168_/Y VGND VGND VPWR VPWR _61173_/B sky130_fd_sc_hd__nor3_2
+XFILLER_495_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_353_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38994_ _38994_/A VGND VGND VPWR VPWR _39050_/A sky130_fd_sc_hd__buf_1
+XFILLER_514_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_711_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_696_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68765_ _68765_/A _68259_/X VGND VGND VPWR VPWR _68765_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_514_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53991_ _53991_/A _53990_/X VGND VGND VPWR VPWR _77137_/D sky130_fd_sc_hd__nand2_2
+XFILLER_60_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65977_ _65137_/X VGND VGND VPWR VPWR _66316_/B sky130_fd_sc_hd__buf_1
+XFILLER_609_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_3121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_302_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_47_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55730_ _55730_/A _55722_/B VGND VGND VPWR VPWR _55730_/X sky130_fd_sc_hd__and2_2
+X_67716_ _67716_/A _67716_/B _67715_/Y VGND VGND VPWR VPWR _67717_/C sky130_fd_sc_hd__nor3_2
+XFILLER_63_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52942_ _52942_/A _52941_/X VGND VGND VPWR VPWR _52945_/A sky130_fd_sc_hd__nand2_2
+XFILLER_98_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64928_ _64769_/A _64926_/Y _64927_/Y VGND VGND VPWR VPWR _64928_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_259_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_683_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_566_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68696_ _68696_/A _68360_/B VGND VGND VPWR VPWR _68696_/Y sky130_fd_sc_hd__nor2_2
+X_80974_ _80969_/CLK _80974_/D VGND VGND VPWR VPWR _80974_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_408_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_683_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_707_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39615_ _39254_/A _39623_/B VGND VGND VPWR VPWR _39615_/X sky130_fd_sc_hd__or2_2
+XFILLER_429_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_217 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67647_ _67644_/X _67647_/B _67647_/C VGND VGND VPWR VPWR _67647_/Y sky130_fd_sc_hd__nor3_2
+X_55661_ _55434_/C _55703_/B _55346_/B _55734_/A VGND VGND VPWR VPWR _55661_/X sky130_fd_sc_hd__o22a_4
+XFILLER_210_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_366_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52873_ _52817_/A _52848_/A VGND VGND VPWR VPWR _52873_/X sky130_fd_sc_hd__or2_2
+X_64859_ _64859_/A _64859_/B VGND VGND VPWR VPWR _64861_/B sky130_fd_sc_hd__nor2_2
+XPHY_29709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57400_ _57400_/A _57400_/B VGND VGND VPWR VPWR _57420_/B sky130_fd_sc_hd__nor2_2
+XFILLER_189_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_262_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54612_ _54619_/A VGND VGND VPWR VPWR _54616_/B sky130_fd_sc_hd__buf_1
+XFILLER_37_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_442_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51824_ _49913_/A _51916_/B VGND VGND VPWR VPWR _51850_/B sky130_fd_sc_hd__or2_2
+X_39546_ _39167_/A _39558_/B VGND VGND VPWR VPWR _39546_/X sky130_fd_sc_hd__or2_2
+XFILLER_623_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58380_ _58342_/X _58362_/Y _58380_/C VGND VGND VPWR VPWR _58380_/X sky130_fd_sc_hd__or3_2
+XPHY_19219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55592_ _55562_/A _55591_/Y VGND VGND VPWR VPWR _55593_/B sky130_fd_sc_hd__or2_2
+X_67578_ _67915_/A _67578_/B _67578_/C VGND VGND VPWR VPWR _67599_/A sky130_fd_sc_hd__nor3_2
+XFILLER_367_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_230_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_423_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_93_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_544_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57331_ _57331_/A _57566_/B VGND VGND VPWR VPWR _57331_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_208_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_247_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69317_ _64118_/X _69317_/B VGND VGND VPWR VPWR _69350_/B sky130_fd_sc_hd__nor2_2
+XFILLER_214_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54543_ _54658_/A _54535_/B VGND VGND VPWR VPWR _54544_/B sky130_fd_sc_hd__or2_2
+XFILLER_306_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66529_ _66050_/X _66529_/B _66528_/Y VGND VGND VPWR VPWR _66530_/D sky130_fd_sc_hd__nor3_2
+XFILLER_215_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51755_ _60973_/A _51752_/B VGND VGND VPWR VPWR _51755_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_423_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_58_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39477_ _39231_/A _39463_/X VGND VGND VPWR VPWR _39480_/A sky130_fd_sc_hd__or2_2
+XFILLER_364_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_497_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_54_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_93_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_388_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50706_ _78002_/Q _50696_/X VGND VGND VPWR VPWR _50710_/A sky130_fd_sc_hd__nand2_2
+X_38428_ _38421_/A _38425_/B _38428_/C VGND VGND VPWR VPWR _38429_/B sky130_fd_sc_hd__nand3_2
+XFILLER_26_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57262_ _66365_/A _57012_/B VGND VGND VPWR VPWR _57262_/Y sky130_fd_sc_hd__nor2_2
+X_69248_ _79948_/Q _69108_/B VGND VGND VPWR VPWR _69248_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_262_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_408_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54474_ _54474_/A _54477_/B VGND VGND VPWR VPWR _54474_/X sky130_fd_sc_hd__or2_2
+XFILLER_240_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51686_ _51568_/A _51685_/X VGND VGND VPWR VPWR _51687_/B sky130_fd_sc_hd__or2_2
+XPHY_3459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_35_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59001_ _59000_/X VGND VGND VPWR VPWR _59002_/A sky130_fd_sc_hd__buf_1
+XFILLER_655_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56213_ _56416_/A VGND VGND VPWR VPWR _58616_/A sky130_fd_sc_hd__buf_1
+XFILLER_228_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53425_ _53423_/Y _53425_/B VGND VGND VPWR VPWR _53425_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_122_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38359_ _76359_/A _38373_/B VGND VGND VPWR VPWR _38364_/A sky130_fd_sc_hd__or2_2
+X_50637_ _50637_/A _50637_/B VGND VGND VPWR VPWR _50637_/Y sky130_fd_sc_hd__nand2_2
+X_57193_ _80249_/Q _57283_/B VGND VGND VPWR VPWR _57194_/C sky130_fd_sc_hd__nor2_2
+XFILLER_243_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_558_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81457_ _81457_/CLK _72327_/Y VGND VGND VPWR VPWR _64795_/A sky130_fd_sc_hd__dfxtp_4
+X_69179_ _64118_/X _69179_/B VGND VGND VPWR VPWR _69212_/B sky130_fd_sc_hd__nor2_2
+XFILLER_41_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_208_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_518_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_368_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_694_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_495_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71210_ _71461_/A _71210_/B VGND VGND VPWR VPWR _71211_/B sky130_fd_sc_hd__nor2_2
+XFILLER_179_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_577_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56144_ _56144_/A _56143_/Y VGND VGND VPWR VPWR _56145_/B sky130_fd_sc_hd__nor2_2
+X_80408_ _80408_/CLK _38312_/Y VGND VGND VPWR VPWR _80408_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_529_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53356_ _53353_/Y _53355_/X VGND VGND VPWR VPWR _53356_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_356_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41370_ _41295_/X VGND VGND VPWR VPWR _41384_/B sky130_fd_sc_hd__buf_1
+XFILLER_672_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72190_ _72274_/A VGND VGND VPWR VPWR _72190_/X sky130_fd_sc_hd__buf_1
+XFILLER_573_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_376_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50568_ _50655_/A _50572_/B VGND VGND VPWR VPWR _50568_/X sky130_fd_sc_hd__or2_2
+XPHY_33805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81388_ _81454_/CLK _81388_/D VGND VGND VPWR VPWR _72599_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_573_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40321_ _39827_/X _40331_/B VGND VGND VPWR VPWR _40321_/X sky130_fd_sc_hd__or2_2
+X_52307_ _52305_/Y _52307_/B VGND VGND VPWR VPWR _52307_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_555_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71141_ _71141_/A VGND VGND VPWR VPWR _71510_/A sky130_fd_sc_hd__buf_1
+XFILLER_544_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80339_ _80367_/CLK _80339_/D VGND VGND VPWR VPWR _65060_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_517_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56075_ _56091_/A _45028_/B VGND VGND VPWR VPWR _56075_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_538_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_671_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53287_ _53285_/Y _53286_/X VGND VGND VPWR VPWR _77323_/D sky130_fd_sc_hd__nand2_2
+XFILLER_393_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50499_ _50489_/X VGND VGND VPWR VPWR _50499_/X sky130_fd_sc_hd__buf_1
+XFILLER_453_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_100_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_436_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_434_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43040_ _43037_/X _43040_/B VGND VGND VPWR VPWR _43040_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_688_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55026_ _54970_/A _55022_/X VGND VGND VPWR VPWR _55027_/B sky130_fd_sc_hd__or2_2
+X_59903_ _59577_/A _59903_/B _59902_/Y VGND VGND VPWR VPWR _59904_/C sky130_fd_sc_hd__nor3_2
+XFILLER_139_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40252_ _40258_/A _40251_/X _58825_/A VGND VGND VPWR VPWR _40253_/B sky130_fd_sc_hd__nand3_2
+XPHY_22614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52238_ _77600_/Q _52223_/X VGND VGND VPWR VPWR _52240_/A sky130_fd_sc_hd__nand2_2
+XFILLER_135_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71072_ _70147_/Y VGND VGND VPWR VPWR _71073_/B sky130_fd_sc_hd__buf_1
+XFILLER_532_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_430 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_713_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_352_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_159_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74900_ _74863_/A VGND VGND VPWR VPWR _74901_/B sky130_fd_sc_hd__buf_1
+XFILLER_371_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70023_ _70181_/A _70022_/X VGND VGND VPWR VPWR _70023_/X sky130_fd_sc_hd__or2_4
+XFILLER_371_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59834_ _59506_/A _59830_/Y _59834_/C VGND VGND VPWR VPWR _59834_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_335_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_65_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40183_ _39804_/X _40186_/B VGND VGND VPWR VPWR _40185_/A sky130_fd_sc_hd__or2_2
+XFILLER_332_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75880_ _75999_/A _75891_/B VGND VGND VPWR VPWR _75880_/X sky130_fd_sc_hd__or2_2
+X_52169_ _77617_/Q _52163_/B VGND VGND VPWR VPWR _52173_/A sky130_fd_sc_hd__nand2_2
+XPHY_22669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_551_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_500_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_513_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_485_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_313_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_709_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74831_ _75192_/A _74831_/B VGND VGND VPWR VPWR _74831_/X sky130_fd_sc_hd__or2_2
+XFILLER_1_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59765_ _59765_/A VGND VGND VPWR VPWR _59766_/B sky130_fd_sc_hd__buf_1
+XPHY_10700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44991_ _43498_/A _44940_/Y VGND VGND VPWR VPWR _44992_/A sky130_fd_sc_hd__or2_2
+X_56977_ _56977_/A _56816_/B VGND VGND VPWR VPWR _56979_/B sky130_fd_sc_hd__nor2_2
+XFILLER_510_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_133_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_549_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46730_ _46732_/B VGND VGND VPWR VPWR _46730_/Y sky130_fd_sc_hd__inv_8
+XPHY_10733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58716_ _79949_/Q _56404_/X VGND VGND VPWR VPWR _58717_/C sky130_fd_sc_hd__nor2_2
+XFILLER_313_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77550_ _77571_/CLK _77550_/D VGND VGND VPWR VPWR _77550_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_466_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43942_ _43942_/A VGND VGND VPWR VPWR _43959_/A sky130_fd_sc_hd__buf_1
+X_55928_ _42439_/B _46031_/X VGND VGND VPWR VPWR _55929_/B sky130_fd_sc_hd__nor2_2
+XPHY_10744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74762_ _74749_/A _74749_/B _80859_/Q VGND VGND VPWR VPWR _74763_/B sky130_fd_sc_hd__nand3_2
+XFILLER_412_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59696_ _59696_/A _59696_/B VGND VGND VPWR VPWR _59696_/Y sky130_fd_sc_hd__nor2_2
+X_71974_ _71839_/A _70754_/X VGND VGND VPWR VPWR _71975_/B sky130_fd_sc_hd__nor2_2
+XFILLER_647_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_81_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_103_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76501_ VGND VGND VPWR VPWR _76501_/HI io_out[31] sky130_fd_sc_hd__conb_1
+XFILLER_683_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73713_ _73720_/A _73720_/B _65917_/A VGND VGND VPWR VPWR _73714_/B sky130_fd_sc_hd__nand3_2
+XFILLER_467_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46661_ _42417_/A _45233_/B VGND VGND VPWR VPWR _46662_/B sky130_fd_sc_hd__nor2_2
+X_70925_ _71785_/A _70925_/B VGND VGND VPWR VPWR _70925_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_150_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58647_ _58341_/X _58613_/X _58646_/X _74418_/A _58424_/X VGND VGND VPWR VPWR _76672_/D
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_725_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77481_ _77444_/CLK _77481_/D VGND VGND VPWR VPWR _61051_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_608_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43873_ _43699_/Y _43881_/A VGND VGND VPWR VPWR _43873_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_625_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55859_ _46616_/A _46622_/B VGND VGND VPWR VPWR _55859_/X sky130_fd_sc_hd__or2_2
+X_74693_ _74693_/A _74692_/Y VGND VGND VPWR VPWR _80874_/D sky130_fd_sc_hd__nand2_2
+XFILLER_562_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_311_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_189_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_712_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48400_ _78603_/Q _48400_/B VGND VGND VPWR VPWR _48400_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79220_ _81212_/CLK _44537_/Y VGND VGND VPWR VPWR _44531_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_480_3064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45612_ _71696_/A _45609_/B VGND VGND VPWR VPWR _45612_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_385_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76432_ _76395_/A VGND VGND VPWR VPWR _76433_/B sky130_fd_sc_hd__buf_1
+XFILLER_369_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42824_ _45341_/B VGND VGND VPWR VPWR _45102_/B sky130_fd_sc_hd__buf_1
+X_49380_ _62589_/A _49372_/X VGND VGND VPWR VPWR _49380_/Y sky130_fd_sc_hd__nand2_2
+X_73644_ _73644_/A _73644_/B _81131_/Q VGND VGND VPWR VPWR _73644_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_428_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46592_ _46586_/A _46592_/B VGND VGND VPWR VPWR _46593_/C sky130_fd_sc_hd__nor2_2
+XFILLER_699_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58578_ _58645_/A _58577_/Y VGND VGND VPWR VPWR _58578_/Y sky130_fd_sc_hd__nor2_2
+X_70856_ _70853_/Y _70642_/X VGND VGND VPWR VPWR _70857_/B sky130_fd_sc_hd__nor2_2
+XFILLER_670_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_699_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_441_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_406_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48331_ _48420_/A _48294_/A VGND VGND VPWR VPWR _48331_/X sky130_fd_sc_hd__or2_2
+XFILLER_406_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_261_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79151_ _79518_/CLK _45483_/Y VGND VGND VPWR VPWR _69617_/A sky130_fd_sc_hd__dfxtp_4
+X_45543_ _45542_/X VGND VGND VPWR VPWR _45554_/B sky130_fd_sc_hd__buf_1
+XPHY_37110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57529_ _57450_/X _57526_/Y _57529_/C VGND VGND VPWR VPWR _57529_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_720_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76363_ _76371_/A _76360_/B _80458_/Q VGND VGND VPWR VPWR _76363_/Y sky130_fd_sc_hd__nand3_2
+XPHY_5373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42755_ _42755_/A VGND VGND VPWR VPWR _42756_/A sky130_fd_sc_hd__buf_1
+X_73575_ _73589_/A _73568_/B _73575_/C VGND VGND VPWR VPWR _73575_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_480_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_98_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70787_ _70786_/X VGND VGND VPWR VPWR _70788_/B sky130_fd_sc_hd__inv_8
+XANTENNA_530 _66859_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_480_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_72_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_541 _68175_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_78102_ _78102_/CLK _78102_/D VGND VGND VPWR VPWR _61887_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_76_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75314_ _75296_/X _75323_/B _69151_/A VGND VGND VPWR VPWR _75314_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_308_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_2_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_72_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_552 _47276_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_226_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41706_ _79048_/Q _41958_/C _41706_/C VGND VGND VPWR VPWR _41709_/B sky130_fd_sc_hd__nor3_2
+XPHY_37154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48262_ _48137_/X _48283_/B VGND VGND VPWR VPWR _48263_/B sky130_fd_sc_hd__or2_2
+X_72526_ _72245_/A _72531_/B VGND VGND VPWR VPWR _72526_/X sky130_fd_sc_hd__or2_2
+X_60540_ _60540_/A _60540_/B _60540_/C VGND VGND VPWR VPWR _60546_/B sky130_fd_sc_hd__nor3_2
+XPHY_4672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79082_ _79051_/CLK _79082_/D VGND VGND VPWR VPWR _79082_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_205_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45474_ _45442_/A VGND VGND VPWR VPWR _45475_/A sky130_fd_sc_hd__buf_1
+XFILLER_701_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76294_ _76161_/A _76294_/B VGND VGND VPWR VPWR _76294_/X sky130_fd_sc_hd__or2_2
+XPHY_4683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42686_ _42628_/X _42684_/X _70540_/X _42685_/X VGND VGND VPWR VPWR _42686_/X sky130_fd_sc_hd__o22a_4
+XFILLER_222_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_261_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_220_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_695_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47213_ _47103_/B VGND VGND VPWR VPWR _47214_/B sky130_fd_sc_hd__inv_8
+XFILLER_57_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78033_ _78048_/CLK _78033_/D VGND VGND VPWR VPWR _62334_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44425_ _74961_/A _44395_/B VGND VGND VPWR VPWR _44426_/C sky130_fd_sc_hd__nor2_2
+XFILLER_60_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75245_ _75241_/X _75245_/B VGND VGND VPWR VPWR _75245_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_243_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41637_ _47232_/A VGND VGND VPWR VPWR _41753_/A sky130_fd_sc_hd__buf_1
+XFILLER_220_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_36464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48193_ _78654_/Q _48196_/B VGND VGND VPWR VPWR _48193_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_406_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_60471_ _60311_/A _60471_/B _60470_/Y VGND VGND VPWR VPWR _60471_/Y sky130_fd_sc_hd__nor3_2
+XPHY_3982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72457_ _72457_/A _72457_/B _64768_/A VGND VGND VPWR VPWR _72457_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_421_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_676_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62210_ _62210_/A _62056_/X VGND VGND VPWR VPWR _62210_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_508_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47144_ _47125_/X VGND VGND VPWR VPWR _47149_/A sky130_fd_sc_hd__inv_8
+X_71408_ _71408_/A _71408_/B VGND VGND VPWR VPWR _81508_/D sky130_fd_sc_hd__nand2_2
+XFILLER_725_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_41_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_109_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44356_ _44606_/A VGND VGND VPWR VPWR _44359_/A sky130_fd_sc_hd__inv_8
+X_75176_ _75166_/X _75070_/B _75176_/C VGND VGND VPWR VPWR _75176_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_493_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_374_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63190_ _60847_/A VGND VGND VPWR VPWR _63190_/X sky130_fd_sc_hd__buf_1
+XFILLER_201_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41568_ _41565_/X _41567_/Y VGND VGND VPWR VPWR _79585_/D sky130_fd_sc_hd__nand2_2
+XPHY_35774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72388_ _72443_/A VGND VGND VPWR VPWR _72400_/B sky130_fd_sc_hd__buf_1
+XPHY_25251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_200_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_259_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43307_ _42944_/A _43294_/X VGND VGND VPWR VPWR _43309_/A sky130_fd_sc_hd__or2_2
+XFILLER_619_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74127_ _74127_/A _74127_/B VGND VGND VPWR VPWR _74127_/X sky130_fd_sc_hd__or2_2
+X_62141_ _62141_/A _61983_/X VGND VGND VPWR VPWR _62141_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_534_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40519_ _75303_/A _39733_/A VGND VGND VPWR VPWR _40519_/X sky130_fd_sc_hd__or2_2
+XFILLER_714_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47075_ _47045_/A _47075_/B VGND VGND VPWR VPWR _47075_/Y sky130_fd_sc_hd__nor2_2
+X_71339_ _71399_/A _70982_/Y VGND VGND VPWR VPWR _71339_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_713_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44287_ _44287_/A _44287_/B VGND VGND VPWR VPWR _44303_/A sky130_fd_sc_hd__or2_2
+XFILLER_127_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79984_ _79902_/CLK _79984_/D VGND VGND VPWR VPWR _56421_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_374_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_632_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_615_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41499_ _41618_/A _41509_/B VGND VGND VPWR VPWR _41501_/A sky130_fd_sc_hd__or2_2
+XFILLER_51_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_302_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_526_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_13_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_196_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_717_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46026_ _46026_/A VGND VGND VPWR VPWR _46834_/A sky130_fd_sc_hd__buf_1
+XFILLER_48_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43238_ _46310_/A _43217_/A VGND VGND VPWR VPWR _43238_/Y sky130_fd_sc_hd__nor2_2
+X_62072_ _78199_/Q _61759_/B VGND VGND VPWR VPWR _62074_/B sky130_fd_sc_hd__nor2_2
+XFILLER_489_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_138_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74058_ _74058_/A VGND VGND VPWR VPWR _74732_/A sky130_fd_sc_hd__buf_1
+XFILLER_103_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78935_ _78935_/CLK _78935_/D VGND VGND VPWR VPWR _78935_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_100_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_589_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_142_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65900_ _66557_/A _65897_/Y _65899_/Y VGND VGND VPWR VPWR _65900_/Y sky130_fd_sc_hd__nor3_2
+X_61023_ _60860_/X _61020_/Y _61023_/C VGND VGND VPWR VPWR _61024_/C sky130_fd_sc_hd__nor3_2
+XPHY_23882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73009_ _73082_/A VGND VGND VPWR VPWR _73010_/B sky130_fd_sc_hd__buf_1
+XFILLER_9_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_477_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43169_ _43169_/A _43168_/X VGND VGND VPWR VPWR _43169_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_126_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66880_ _80734_/Q _66880_/B VGND VGND VPWR VPWR _66881_/C sky130_fd_sc_hd__nor2_2
+X_78866_ _78867_/CLK _78866_/D VGND VGND VPWR VPWR _63788_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_569_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_720_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65831_ _66654_/A VGND VGND VPWR VPWR _66500_/A sky130_fd_sc_hd__buf_1
+XFILLER_452_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77817_ _77814_/CLK _77817_/D VGND VGND VPWR VPWR _77817_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_170_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47977_ _47976_/X VGND VGND VPWR VPWR _47977_/X sky130_fd_sc_hd__buf_1
+XFILLER_330_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_287_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78797_ _78284_/CLK _47628_/Y VGND VGND VPWR VPWR _63047_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_550_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_430_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_492_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49716_ _78266_/Q _49708_/X VGND VGND VPWR VPWR _49719_/A sky130_fd_sc_hd__nand2_2
+XFILLER_250_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68550_ _80392_/Q _67888_/B VGND VGND VPWR VPWR _68551_/C sky130_fd_sc_hd__nor2_2
+XFILLER_585_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46928_ _46919_/A _46928_/B VGND VGND VPWR VPWR _78931_/D sky130_fd_sc_hd__nor2_2
+XFILLER_708_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65762_ _64911_/A VGND VGND VPWR VPWR _65763_/B sky130_fd_sc_hd__buf_1
+XFILLER_313_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_680_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77748_ _77762_/CLK _77748_/D VGND VGND VPWR VPWR _51677_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62974_ _62974_/A _62971_/Y _62974_/C VGND VGND VPWR VPWR _62974_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_610_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_708_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67501_ _67667_/A _67501_/B _67501_/C VGND VGND VPWR VPWR _67502_/C sky130_fd_sc_hd__nor3_2
+XFILLER_465_2837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_78_0_u_core.clock clkbuf_7_79_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_78_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_665_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64713_ _65231_/A _64713_/B _64712_/Y VGND VGND VPWR VPWR _64717_/B sky130_fd_sc_hd__nor3_2
+XFILLER_446_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61925_ _61925_/A _61458_/B VGND VGND VPWR VPWR _61925_/Y sky130_fd_sc_hd__nor2_2
+X_49647_ _49677_/B VGND VGND VPWR VPWR _49675_/B sky130_fd_sc_hd__buf_1
+XFILLER_211_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68481_ _68481_/A _68312_/X VGND VGND VPWR VPWR _68482_/C sky130_fd_sc_hd__nor2_2
+XFILLER_310_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46859_ _46859_/A _46859_/B VGND VGND VPWR VPWR _46860_/B sky130_fd_sc_hd__and2_2
+X_65693_ _65693_/A _65693_/B _65692_/Y VGND VGND VPWR VPWR _65693_/Y sky130_fd_sc_hd__nor3_2
+X_77679_ _77679_/CLK _51937_/Y VGND VGND VPWR VPWR _77679_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_643_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_55_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_622_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39400_ _39396_/X _39399_/Y VGND VGND VPWR VPWR _80137_/D sky130_fd_sc_hd__nand2_2
+XFILLER_149_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67432_ _67432_/A _67431_/Y VGND VGND VPWR VPWR _67432_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_444_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79418_ _79435_/CLK _42980_/Y VGND VGND VPWR VPWR _65498_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_49_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64644_ _64321_/X _64642_/Y _64644_/C VGND VGND VPWR VPWR _64644_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_348_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61856_ _78254_/Q _61231_/B VGND VGND VPWR VPWR _61858_/B sky130_fd_sc_hd__nor2_2
+X_49578_ _49576_/Y _49577_/X VGND VGND VPWR VPWR _78303_/D sky130_fd_sc_hd__nand2_2
+X_80690_ _80714_/CLK _75412_/Y VGND VGND VPWR VPWR _75411_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_444_378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_509_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_702_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39331_ _39295_/A VGND VGND VPWR VPWR _39331_/X sky130_fd_sc_hd__buf_1
+XFILLER_209_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60807_ _60185_/A _60807_/B _60806_/Y VGND VGND VPWR VPWR _60807_/Y sky130_fd_sc_hd__nor3_2
+X_48529_ _48529_/A VGND VGND VPWR VPWR _48533_/B sky130_fd_sc_hd__buf_1
+X_67363_ _67363_/A _67040_/B VGND VGND VPWR VPWR _67365_/B sky130_fd_sc_hd__nor2_2
+XFILLER_620_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79349_ _79347_/CLK _79349_/D VGND VGND VPWR VPWR _57369_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_702_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64575_ _64575_/A _69027_/B VGND VGND VPWR VPWR _64576_/C sky130_fd_sc_hd__nor2_2
+XFILLER_283_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61787_ _61787_/A _61159_/B VGND VGND VPWR VPWR _61789_/B sky130_fd_sc_hd__nor2_2
+XFILLER_643_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69102_ _64563_/A _69094_/Y _69102_/C VGND VGND VPWR VPWR _69103_/B sky130_fd_sc_hd__nor3_2
+XFILLER_307_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66314_ _66294_/X _66304_/Y _66314_/C VGND VGND VPWR VPWR _66314_/Y sky130_fd_sc_hd__nor3_2
+X_39262_ _39113_/A _39262_/B VGND VGND VPWR VPWR _39262_/X sky130_fd_sc_hd__or2_2
+X_51540_ _51482_/A _51549_/B VGND VGND VPWR VPWR _51541_/B sky130_fd_sc_hd__or2_2
+XFILLER_244_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_678_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_36_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63526_ _59029_/A VGND VGND VPWR VPWR _64051_/B sky130_fd_sc_hd__buf_1
+XFILLER_402_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60738_ _77439_/Q _60738_/B VGND VGND VPWR VPWR _60738_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_656_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67294_ _67790_/A _67294_/B _67294_/C VGND VGND VPWR VPWR _67294_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_405_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_244_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_500_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_225_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_508_0_u_core.clock clkbuf_9_509_0_u_core.clock/A VGND VGND VPWR VPWR _80408_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_440_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_103_0_u_core.clock clkbuf_6_51_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_206_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_123_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38213_ _38210_/A _38206_/B _64893_/A VGND VGND VPWR VPWR _38214_/B sky130_fd_sc_hd__nand3_2
+XFILLER_342_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69033_ _80427_/Q _68554_/B VGND VGND VPWR VPWR _69033_/Y sky130_fd_sc_hd__nor2_2
+X_81311_ _81112_/CLK _81311_/D VGND VGND VPWR VPWR _81311_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_659_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66245_ _66245_/A _66568_/B VGND VGND VPWR VPWR _66246_/C sky130_fd_sc_hd__nor2_2
+XFILLER_476_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_163_0_u_core.clock clkbuf_7_81_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_327_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_384_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51471_ _51478_/A VGND VGND VPWR VPWR _51490_/B sky130_fd_sc_hd__buf_1
+XPHY_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39193_ _39193_/A VGND VGND VPWR VPWR _39194_/A sky130_fd_sc_hd__buf_1
+XFILLER_23_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63457_ _63457_/A _63990_/B VGND VGND VPWR VPWR _63458_/C sky130_fd_sc_hd__nor2_2
+XFILLER_225_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_574_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60669_ _60027_/A _60667_/Y _60669_/C VGND VGND VPWR VPWR _60670_/C sky130_fd_sc_hd__nor3_2
+XFILLER_377_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_637_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_617_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53210_ _53210_/A _53210_/B VGND VGND VPWR VPWR _53210_/X sky130_fd_sc_hd__or2_2
+XFILLER_719_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62408_ _60055_/A VGND VGND VPWR VPWR _62450_/A sky130_fd_sc_hd__buf_1
+X_50422_ _50869_/A VGND VGND VPWR VPWR _50422_/X sky130_fd_sc_hd__buf_1
+XFILLER_498_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81242_ _81211_/CLK _81242_/D VGND VGND VPWR VPWR _66275_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_32_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54190_ _54488_/A VGND VGND VPWR VPWR _54190_/X sky130_fd_sc_hd__buf_1
+XFILLER_140_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66176_ _66832_/A _66176_/B _66175_/Y VGND VGND VPWR VPWR _66176_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_221_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63388_ _63388_/A _63388_/B VGND VGND VPWR VPWR _76752_/D sky130_fd_sc_hd__nor2_2
+XFILLER_555_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_358_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_633_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_139_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_394_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_375_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_165_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53141_ _41798_/Y _51256_/X _41799_/Y _51257_/X VGND VGND VPWR VPWR _53310_/A sky130_fd_sc_hd__o22a_4
+XFILLER_136_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65127_ _64788_/A _65123_/Y _65126_/Y VGND VGND VPWR VPWR _65127_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_650_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50353_ _50261_/A _50350_/B VGND VGND VPWR VPWR _50353_/X sky130_fd_sc_hd__or2_2
+XFILLER_359_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_556_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62339_ _61877_/X _62336_/Y _62339_/C VGND VGND VPWR VPWR _62340_/C sky130_fd_sc_hd__nor3_2
+XFILLER_496_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_457_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81173_ _81421_/CLK _73483_/Y VGND VGND VPWR VPWR _81173_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_716_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_654_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_101_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_570_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_637_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_58_0_u_core.clock clkbuf_8_59_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_58_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_325_238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_688_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80124_ _80123_/CLK _80124_/D VGND VGND VPWR VPWR _66676_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_581_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_117_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53072_ _53072_/A _53072_/B VGND VGND VPWR VPWR _53072_/X sky130_fd_sc_hd__or2_2
+X_65058_ _65582_/A _65054_/Y _65058_/C VGND VGND VPWR VPWR _65067_/B sky130_fd_sc_hd__nor3_2
+XFILLER_514_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69935_ _69935_/A VGND VGND VPWR VPWR _69935_/Y sky130_fd_sc_hd__inv_8
+XFILLER_541_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50284_ _50283_/X VGND VGND VPWR VPWR _50284_/X sky130_fd_sc_hd__buf_1
+XFILLER_277_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_416_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_390_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_195_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56900_ _41371_/C _56812_/B VGND VGND VPWR VPWR _56901_/C sky130_fd_sc_hd__nor2_2
+XPHY_9606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64009_ _63478_/A _64009_/B _64009_/C VGND VGND VPWR VPWR _64013_/B sky130_fd_sc_hd__nor3_2
+X_52023_ _52054_/A _52027_/B VGND VGND VPWR VPWR _52023_/X sky130_fd_sc_hd__or2_2
+XPHY_21209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80055_ _80101_/CLK _39705_/Y VGND VGND VPWR VPWR _65849_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_468_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_133_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57880_ _57872_/Y _57879_/Y VGND VGND VPWR VPWR _57880_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_69_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69866_ _76725_/Q VGND VGND VPWR VPWR _71225_/A sky130_fd_sc_hd__buf_1
+XFILLER_173_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_689_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_533_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_195_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_495_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_685_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56831_ _39857_/C _56745_/X VGND VGND VPWR VPWR _56831_/Y sky130_fd_sc_hd__nor2_2
+XPHY_20519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68817_ _68641_/X _68813_/Y _68816_/Y VGND VGND VPWR VPWR _68817_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_278_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_451_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38977_ _38977_/A _38977_/B VGND VGND VPWR VPWR _80240_/D sky130_fd_sc_hd__nand2_2
+XFILLER_28_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69797_ _70182_/B _69797_/B VGND VGND VPWR VPWR _69798_/A sky130_fd_sc_hd__or2_2
+XPHY_8938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_628_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_314_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_666_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_648_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_388_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59550_ _59390_/A _59548_/Y _59550_/C VGND VGND VPWR VPWR _59551_/C sky130_fd_sc_hd__nor3_2
+XFILLER_644_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_236_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_115_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56762_ _56440_/A _56760_/Y _56761_/Y VGND VGND VPWR VPWR _56762_/Y sky130_fd_sc_hd__nor3_2
+X_68748_ _68916_/A _68748_/B _68747_/Y VGND VGND VPWR VPWR _68748_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_314_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53974_ _53946_/A _53958_/A VGND VGND VPWR VPWR _53974_/X sky130_fd_sc_hd__or2_2
+XFILLER_134_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_59_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58501_ _58710_/A _58497_/Y _58500_/Y VGND VGND VPWR VPWR _58502_/B sky130_fd_sc_hd__nor3_2
+XFILLER_151_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_483_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_349_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55713_ _55710_/Y _55711_/Y _55713_/C VGND VGND VPWR VPWR _55713_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_609_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_566_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52925_ _51421_/A VGND VGND VPWR VPWR _52985_/A sky130_fd_sc_hd__buf_1
+XFILLER_189_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59481_ _59481_/A VGND VGND VPWR VPWR _59801_/B sky130_fd_sc_hd__buf_1
+XFILLER_274_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_5_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56693_ _56685_/Y _56693_/B VGND VGND VPWR VPWR _56694_/B sky130_fd_sc_hd__nor2_2
+X_80957_ _80891_/CLK _80957_/D VGND VGND VPWR VPWR _74346_/C sky130_fd_sc_hd__dfxtp_4
+X_68679_ _43725_/A _68514_/X VGND VGND VPWR VPWR _68679_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_171_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_683_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_386_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58432_ _68803_/A _58585_/B VGND VGND VPWR VPWR _58433_/C sky130_fd_sc_hd__nor2_2
+X_70710_ _70710_/A _70709_/X VGND VGND VPWR VPWR _71904_/A sky130_fd_sc_hd__nor2_2
+XFILLER_284_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_407_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_91_0_u_core.clock clkbuf_9_91_0_u_core.clock/A VGND VGND VPWR VPWR _77082_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_55644_ _55274_/A _55644_/B VGND VGND VPWR VPWR _55734_/A sky130_fd_sc_hd__or2_2
+XFILLER_234_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_382_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52856_ _77434_/Q _52863_/B VGND VGND VPWR VPWR _52856_/Y sky130_fd_sc_hd__nand2_2
+X_40870_ _40867_/A _40867_/B _64824_/A VGND VGND VPWR VPWR _40870_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_110_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71690_ _71684_/A _71691_/B VGND VGND VPWR VPWR _71690_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_167_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80888_ _81013_/CLK _74630_/Y VGND VGND VPWR VPWR _65925_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_579_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_39_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_612_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_73_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51807_ _51807_/A _51807_/B VGND VGND VPWR VPWR _77714_/D sky130_fd_sc_hd__nand2_2
+XFILLER_481_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39529_ _39529_/A _39529_/B _58203_/A VGND VGND VPWR VPWR _39529_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_362_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70641_ _70641_/A _70639_/X _70641_/C VGND VGND VPWR VPWR _70641_/Y sky130_fd_sc_hd__nor3_2
+X_58363_ _80168_/Q _58127_/B VGND VGND VPWR VPWR _58363_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_364_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_2809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_427_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_128_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55575_ _55607_/A _55574_/X VGND VGND VPWR VPWR _55576_/B sky130_fd_sc_hd__nor2_2
+XFILLER_321_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52787_ _60420_/A _52787_/B VGND VGND VPWR VPWR _52787_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_93_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_38_0_u_core.clock clkbuf_9_39_0_u_core.clock/A VGND VGND VPWR VPWR _78899_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_54_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_70_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_82_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57314_ _79995_/Q _57471_/B VGND VGND VPWR VPWR _57315_/C sky130_fd_sc_hd__nor2_2
+XFILLER_180_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42540_ _42596_/A VGND VGND VPWR VPWR _42572_/B sky130_fd_sc_hd__buf_1
+X_54526_ _54645_/A _54541_/B VGND VGND VPWR VPWR _54527_/B sky130_fd_sc_hd__or2_2
+XFILLER_347_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73360_ _73377_/A VGND VGND VPWR VPWR _73371_/A sky130_fd_sc_hd__buf_1
+XFILLER_208_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51738_ _51738_/A _51737_/X VGND VGND VPWR VPWR _51738_/Y sky130_fd_sc_hd__nand2_2
+XPHY_17614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58294_ _58294_/A _58294_/B _58294_/C VGND VGND VPWR VPWR _58295_/C sky130_fd_sc_hd__nor3_2
+XFILLER_364_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70572_ _70572_/A _70463_/B _70463_/C VGND VGND VPWR VPWR _70572_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_620_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_579_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_54_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_208_1540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72311_ _72309_/X _72310_/Y VGND VGND VPWR VPWR _72311_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_403_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57245_ _57245_/A _57160_/B VGND VGND VPWR VPWR _57245_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_260_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81509_ _81509_/CLK _71377_/Y VGND VGND VPWR VPWR _68121_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_2533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54457_ _59017_/A _54454_/B VGND VGND VPWR VPWR _54457_/Y sky130_fd_sc_hd__nand2_2
+XPHY_17658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42471_ _42562_/A VGND VGND VPWR VPWR _42471_/X sky130_fd_sc_hd__buf_1
+XFILLER_718_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73291_ _73289_/Y _73291_/B VGND VGND VPWR VPWR _73291_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_180_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_35026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51669_ _51584_/A _51656_/X VGND VGND VPWR VPWR _51670_/B sky130_fd_sc_hd__or2_2
+XFILLER_329_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44210_ _66535_/A _44258_/B _44220_/B VGND VGND VPWR VPWR _44210_/X sky130_fd_sc_hd__and3_2
+XPHY_16946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75030_ _75030_/A _75030_/B _65728_/A VGND VGND VPWR VPWR _75033_/A sky130_fd_sc_hd__nand3_2
+XFILLER_557_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_420_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41422_ _41420_/X _41421_/Y VGND VGND VPWR VPWR _79624_/D sky130_fd_sc_hd__nand2_2
+XFILLER_70_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72242_ _72239_/X _72242_/B VGND VGND VPWR VPWR _81472_/D sky130_fd_sc_hd__nand2_2
+X_53408_ _53417_/A VGND VGND VPWR VPWR _53413_/B sky130_fd_sc_hd__buf_1
+XPHY_1832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_475_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45190_ _45264_/A _45190_/B VGND VGND VPWR VPWR _45190_/X sky130_fd_sc_hd__or2_2
+X_57176_ _57412_/A _57176_/B _57176_/C VGND VGND VPWR VPWR _57176_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_168_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_221_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54388_ _77032_/Q _54388_/B VGND VGND VPWR VPWR _54388_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_204_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_211_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_655_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_545_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_128_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44141_ _44141_/A VGND VGND VPWR VPWR _44146_/B sky130_fd_sc_hd__inv_8
+XPHY_1876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56127_ _56111_/A _45319_/B VGND VGND VPWR VPWR _56132_/B sky130_fd_sc_hd__nor2_2
+XFILLER_183_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_372_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41353_ _41341_/X _41367_/B _57299_/A VGND VGND VPWR VPWR _41353_/Y sky130_fd_sc_hd__nand3_2
+XPHY_33624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53339_ _53457_/A _53344_/B VGND VGND VPWR VPWR _53339_/X sky130_fd_sc_hd__or2_2
+XFILLER_371_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72173_ _75439_/A VGND VGND VPWR VPWR _74683_/A sky130_fd_sc_hd__buf_1
+XPHY_1887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_356_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_344_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_560_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40304_ _40314_/A _40301_/B _57759_/A VGND VGND VPWR VPWR _40304_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_100_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71124_ _69935_/A _70129_/X VGND VGND VPWR VPWR _71127_/B sky130_fd_sc_hd__nor2_2
+XFILLER_109_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_678_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44072_ _44072_/A _44072_/B VGND VGND VPWR VPWR _44072_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_13_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56058_ _56118_/A VGND VGND VPWR VPWR _56081_/A sky130_fd_sc_hd__buf_1
+XFILLER_551_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_174_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41284_ _41284_/A _41277_/B _69223_/A VGND VGND VPWR VPWR _41284_/Y sky130_fd_sc_hd__nand3_2
+XPHY_32934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76981_ _76993_/CLK _76981_/D VGND VGND VPWR VPWR _54578_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_33679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47900_ _63608_/A _47896_/B VGND VGND VPWR VPWR _47900_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43023_ _46089_/B VGND VGND VPWR VPWR _43024_/B sky130_fd_sc_hd__inv_8
+X_78720_ _78737_/CLK _47934_/Y VGND VGND VPWR VPWR _78720_/Q sky130_fd_sc_hd__dfxtp_4
+X_55009_ _55007_/Y _55009_/B VGND VGND VPWR VPWR _55009_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_182_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40235_ _40233_/X _40235_/B VGND VGND VPWR VPWR _79922_/D sky130_fd_sc_hd__nand2_2
+XFILLER_371_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75932_ _75926_/A _75926_/B _65053_/A VGND VGND VPWR VPWR _75933_/B sky130_fd_sc_hd__nand3_2
+XPHY_23189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71055_ _75109_/A VGND VGND VPWR VPWR _71056_/A sky130_fd_sc_hd__buf_1
+XFILLER_26_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_659_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48880_ _48880_/A _48877_/B VGND VGND VPWR VPWR _48881_/B sky130_fd_sc_hd__or2_2
+XFILLER_108_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_610_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_532_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_159_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_89_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70006_ _79473_/Q VGND VGND VPWR VPWR _70229_/A sky130_fd_sc_hd__inv_8
+XFILLER_296_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47831_ _47828_/Y _47830_/X VGND VGND VPWR VPWR _78747_/D sky130_fd_sc_hd__nand2_2
+XFILLER_486_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59817_ _62548_/A VGND VGND VPWR VPWR _59817_/X sky130_fd_sc_hd__buf_1
+XPHY_11220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78651_ _76917_/CLK _78651_/D VGND VGND VPWR VPWR _63885_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_269_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40166_ _40150_/X _40152_/X _79941_/Q VGND VGND VPWR VPWR _40166_/Y sky130_fd_sc_hd__nand3_2
+XPHY_21754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75863_ _75866_/A _75866_/B _68202_/A VGND VGND VPWR VPWR _75863_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_551_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_485_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77602_ _77065_/CLK _77602_/D VGND VGND VPWR VPWR _77602_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_709_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74814_ _76217_/A VGND VGND VPWR VPWR _74815_/A sky130_fd_sc_hd__buf_1
+XFILLER_340_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47762_ _47759_/X VGND VGND VPWR VPWR _47763_/B sky130_fd_sc_hd__buf_1
+X_59748_ _77272_/Q _59905_/B VGND VGND VPWR VPWR _59750_/B sky130_fd_sc_hd__nor2_2
+XFILLER_26_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_4_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78582_ _78580_/CLK _78582_/D VGND VGND VPWR VPWR _63134_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40097_ _39977_/A _40107_/B VGND VGND VPWR VPWR _40097_/X sky130_fd_sc_hd__or2_2
+X_44974_ _44922_/B _44973_/Y _44967_/Y VGND VGND VPWR VPWR _44975_/B sky130_fd_sc_hd__nor3_2
+XFILLER_707_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75794_ _75794_/A VGND VGND VPWR VPWR _75808_/B sky130_fd_sc_hd__buf_1
+XFILLER_278_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_24_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_152_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49501_ _49485_/X VGND VGND VPWR VPWR _49522_/B sky130_fd_sc_hd__buf_1
+XPHY_10563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46713_ _43111_/Y _46713_/B VGND VGND VPWR VPWR _46714_/B sky130_fd_sc_hd__or2_2
+XFILLER_237_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77533_ _77525_/CLK _77533_/D VGND VGND VPWR VPWR _60473_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43925_ _43940_/A _43923_/Y _43925_/C VGND VGND VPWR VPWR _43926_/C sky130_fd_sc_hd__nor3_2
+XFILLER_111_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74745_ _74742_/X _74744_/Y VGND VGND VPWR VPWR _74745_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_525_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_59_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47693_ _47693_/A _47666_/B VGND VGND VPWR VPWR _47696_/A sky130_fd_sc_hd__nand2_2
+XFILLER_77_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59679_ _59679_/A _59679_/B _59679_/C VGND VGND VPWR VPWR _59679_/Y sky130_fd_sc_hd__nor3_2
+X_71957_ _69879_/A _71957_/B VGND VGND VPWR VPWR _71957_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_238_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_289_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_152_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_289_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_482_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_443_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49432_ _49432_/A _49431_/X VGND VGND VPWR VPWR _49432_/Y sky130_fd_sc_hd__nand2_2
+X_61710_ _61710_/A _61709_/X VGND VGND VPWR VPWR _61710_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_486_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_584_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46644_ _46644_/A _78983_/Q VGND VGND VPWR VPWR _46648_/B sky130_fd_sc_hd__nor2_2
+XFILLER_523_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70908_ _70454_/X VGND VGND VPWR VPWR _70908_/Y sky130_fd_sc_hd__inv_8
+XFILLER_237_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77464_ _77444_/CLK _52745_/Y VGND VGND VPWR VPWR _60893_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_98_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43856_ _43856_/A VGND VGND VPWR VPWR _43942_/A sky130_fd_sc_hd__buf_1
+XFILLER_92_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74676_ _74698_/A _74692_/B _74676_/C VGND VGND VPWR VPWR _74677_/B sky130_fd_sc_hd__nand3_2
+X_62690_ _78235_/Q _63001_/B VGND VGND VPWR VPWR _62692_/B sky130_fd_sc_hd__nor2_2
+XFILLER_349_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_725_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71888_ _39220_/A VGND VGND VPWR VPWR _71889_/B sky130_fd_sc_hd__buf_1
+XFILLER_608_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_703_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79203_ _81255_/CLK _79203_/D VGND VGND VPWR VPWR _44716_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_463_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76415_ _76161_/A _76418_/B VGND VGND VPWR VPWR _76415_/X sky130_fd_sc_hd__or2_2
+XFILLER_601_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42807_ _42788_/A _42807_/B _42807_/C VGND VGND VPWR VPWR _42807_/Y sky130_fd_sc_hd__nor3_2
+X_73627_ _73627_/A VGND VGND VPWR VPWR _73635_/B sky130_fd_sc_hd__buf_1
+XFILLER_441_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61641_ _59727_/A VGND VGND VPWR VPWR _61641_/X sky130_fd_sc_hd__buf_1
+X_49363_ _49363_/A VGND VGND VPWR VPWR _49363_/X sky130_fd_sc_hd__buf_1
+XFILLER_252_237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46575_ _42849_/X _46585_/B VGND VGND VPWR VPWR _46575_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_545_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70839_ _70952_/A _71881_/A _70839_/C VGND VGND VPWR VPWR _70839_/X sky130_fd_sc_hd__and3_2
+XFILLER_98_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77395_ _77755_/CLK _77395_/D VGND VGND VPWR VPWR _53002_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_447_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43787_ _43979_/B VGND VGND VPWR VPWR _43787_/X sky130_fd_sc_hd__buf_1
+XPHY_5170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40999_ _40997_/X _40998_/Y VGND VGND VPWR VPWR _40999_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_33_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_128_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48314_ _47517_/A VGND VGND VPWR VPWR _48940_/A sky130_fd_sc_hd__buf_1
+XPHY_19572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_209_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79134_ _76651_/CLK _45569_/Y VGND VGND VPWR VPWR _45567_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_146_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45526_ _45496_/A VGND VGND VPWR VPWR _45545_/A sky130_fd_sc_hd__buf_1
+XFILLER_668_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64360_ _66655_/A VGND VGND VPWR VPWR _69108_/B sky130_fd_sc_hd__buf_1
+XFILLER_640_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76346_ _76366_/A VGND VGND VPWR VPWR _38212_/B sky130_fd_sc_hd__buf_1
+XFILLER_365_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_568_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42738_ _42736_/X _42737_/X _70655_/X _42685_/X VGND VGND VPWR VPWR _42738_/X sky130_fd_sc_hd__o22a_4
+XFILLER_673_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49294_ _49294_/A VGND VGND VPWR VPWR _49295_/B sky130_fd_sc_hd__buf_1
+X_61572_ _52310_/A _61113_/X VGND VGND VPWR VPWR _61573_/C sky130_fd_sc_hd__nor2_2
+XFILLER_638_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_595_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73558_ _73558_/A _73557_/Y VGND VGND VPWR VPWR _81154_/D sky130_fd_sc_hd__nand2_2
+XFILLER_450_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_621_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_343_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_360 _49974_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_4480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_371 _50965_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_33_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63311_ _61749_/A VGND VGND VPWR VPWR _63314_/A sky130_fd_sc_hd__buf_1
+XFILLER_495_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_283_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_72_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_382 _51736_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_60523_ _52147_/A _59882_/X VGND VGND VPWR VPWR _60524_/C sky130_fd_sc_hd__nor2_2
+XFILLER_387_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48245_ _48245_/A _48230_/X VGND VGND VPWR VPWR _48247_/A sky130_fd_sc_hd__nand2_2
+X_72509_ _72563_/A VGND VGND VPWR VPWR _72509_/X sky130_fd_sc_hd__buf_1
+XPHY_18882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_92_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79065_ _79057_/CLK _79065_/D VGND VGND VPWR VPWR _45934_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_638_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_501_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_393 _54986_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_33_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45457_ _70639_/A _45457_/B VGND VGND VPWR VPWR _45463_/B sky130_fd_sc_hd__nor2_2
+X_76277_ _76277_/A _76281_/B _80481_/Q VGND VGND VPWR VPWR _76277_/Y sky130_fd_sc_hd__nand3_2
+X_64291_ _66164_/A VGND VGND VPWR VPWR _64814_/A sky130_fd_sc_hd__buf_1
+XFILLER_324_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_359_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42669_ _42669_/A _42667_/Y _42668_/X _42660_/D VGND VGND VPWR VPWR _42669_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_200_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73489_ _73489_/A _73488_/X VGND VGND VPWR VPWR _73489_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_163_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_343_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_321_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66030_ _66685_/A _66028_/Y _66029_/Y VGND VGND VPWR VPWR _66031_/C sky130_fd_sc_hd__nor3_2
+XFILLER_37_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78016_ _78530_/CLK _50656_/Y VGND VGND VPWR VPWR _62163_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44408_ _44324_/A VGND VGND VPWR VPWR _44716_/B sky130_fd_sc_hd__buf_1
+XFILLER_497_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63242_ _49004_/A _62929_/B VGND VGND VPWR VPWR _63244_/B sky130_fd_sc_hd__nor2_2
+XFILLER_695_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75228_ _75348_/A _75231_/B VGND VGND VPWR VPWR _75230_/A sky130_fd_sc_hd__or2_2
+XFILLER_376_3053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48176_ _48176_/A _48176_/B VGND VGND VPWR VPWR _78660_/D sky130_fd_sc_hd__nand2_2
+X_60454_ _60454_/A _59809_/B VGND VGND VPWR VPWR _60454_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_359_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_196_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45388_ _45388_/A _45387_/Y VGND VGND VPWR VPWR _45388_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_220_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_122_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_158_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_508_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47127_ _46327_/B _47126_/X VGND VGND VPWR VPWR _47127_/X sky130_fd_sc_hd__or2_2
+XFILLER_118_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_638_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44339_ _67356_/A _44493_/A _44337_/Y _44338_/Y VGND VGND VPWR VPWR _44339_/X sky130_fd_sc_hd__or4_2
+XFILLER_66_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63173_ _78630_/Q _63022_/B VGND VGND VPWR VPWR _63173_/Y sky130_fd_sc_hd__nor2_2
+XPHY_25070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75159_ _75102_/A VGND VGND VPWR VPWR _75159_/X sky130_fd_sc_hd__buf_1
+XFILLER_398_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_725_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60385_ _60385_/A _60385_/B VGND VGND VPWR VPWR _60386_/C sky130_fd_sc_hd__nor2_2
+XFILLER_296_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_509_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_278_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_697_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62124_ _61813_/A _62122_/Y _62124_/C VGND VGND VPWR VPWR _62124_/Y sky130_fd_sc_hd__nor3_2
+XPHY_34892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_439_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47058_ _70699_/X _46896_/X VGND VGND VPWR VPWR _47059_/C sky130_fd_sc_hd__nor2_2
+XFILLER_632_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67981_ _67981_/A _67475_/B VGND VGND VPWR VPWR _67982_/C sky130_fd_sc_hd__nor2_2
+XFILLER_196_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79967_ _80031_/CLK _79967_/D VGND VGND VPWR VPWR _79967_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_357_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_713_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_505_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_233_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_319_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_454_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_192_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38900_ _38900_/A _38900_/B _80261_/Q VGND VGND VPWR VPWR _38900_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_376_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46009_ _55626_/A _46009_/B VGND VGND VPWR VPWR _46009_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_161_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_351_0_u_core.clock clkbuf_9_351_0_u_core.clock/A VGND VGND VPWR VPWR _78708_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_470_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_127_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69720_ _69719_/A _69573_/X VGND VGND VPWR VPWR _69720_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_114_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66932_ _66932_/A _66932_/B VGND VGND VPWR VPWR _66932_/Y sky130_fd_sc_hd__nor2_2
+X_62055_ _62055_/A _62055_/B VGND VGND VPWR VPWR _62055_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_319_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78918_ _78919_/CLK _78918_/D VGND VGND VPWR VPWR _71808_/A sky130_fd_sc_hd__dfxtp_4
+X_39880_ _39864_/A _39880_/B _64654_/A VGND VGND VPWR VPWR _39881_/B sky130_fd_sc_hd__nand3_2
+XFILLER_177_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_64_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79898_ _80144_/CLK _40327_/Y VGND VGND VPWR VPWR _57285_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_304_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_413_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_354_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61006_ _61006_/A _60375_/X VGND VGND VPWR VPWR _61008_/B sky130_fd_sc_hd__nor2_2
+XFILLER_83_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38831_ _38827_/A _38820_/B _80279_/Q VGND VGND VPWR VPWR _38831_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_335_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69651_ _64066_/A _69651_/B VGND VGND VPWR VPWR _69652_/B sky130_fd_sc_hd__or2_2
+XFILLER_47_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_449_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66863_ _64091_/X VGND VGND VPWR VPWR _67517_/B sky130_fd_sc_hd__buf_1
+XFILLER_350_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78849_ _78849_/CLK _78849_/D VGND VGND VPWR VPWR _47402_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_389_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_331_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_170_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_389_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_511_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68602_ _58402_/A _68602_/B VGND VGND VPWR VPWR _68602_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_102_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65814_ _65657_/A _65814_/B _65814_/C VGND VGND VPWR VPWR _65815_/C sky130_fd_sc_hd__nor3_2
+XFILLER_387_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_170_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_61_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_586_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38762_ _38492_/A _38770_/B VGND VGND VPWR VPWR _38766_/A sky130_fd_sc_hd__or2_2
+XFILLER_468_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_492_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69582_ _69582_/A _70041_/B VGND VGND VPWR VPWR _69582_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_116_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_665_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_170_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66794_ _57532_/A _66469_/B VGND VGND VPWR VPWR _66795_/C sky130_fd_sc_hd__nor2_2
+XFILLER_464_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_141_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_3035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68533_ _66886_/A VGND VGND VPWR VPWR _68534_/B sky130_fd_sc_hd__buf_1
+X_80811_ _80813_/CLK _74959_/Y VGND VGND VPWR VPWR _69019_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_508_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65745_ _80439_/Q _65910_/B VGND VGND VPWR VPWR _65746_/C sky130_fd_sc_hd__nor2_2
+XFILLER_29_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_467_0_u_core.clock clkbuf_9_467_0_u_core.clock/A VGND VGND VPWR VPWR _80725_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_585_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50971_ _62619_/A _50967_/B VGND VGND VPWR VPWR _50973_/A sky130_fd_sc_hd__nand2_2
+XFILLER_22_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38693_ _38689_/A _38689_/B _80316_/Q VGND VGND VPWR VPWR _38694_/B sky130_fd_sc_hd__nand3_2
+XFILLER_446_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62957_ _63571_/A _62957_/B _62956_/Y VGND VGND VPWR VPWR _62958_/B sky130_fd_sc_hd__nor3_2
+XFILLER_9_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_708_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_77_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52710_ _52707_/Y _52710_/B VGND VGND VPWR VPWR _77474_/D sky130_fd_sc_hd__nand2_2
+XFILLER_285_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61908_ _61752_/A _61908_/B _61907_/Y VGND VGND VPWR VPWR _61908_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_211_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80742_ _80742_/CLK _75219_/Y VGND VGND VPWR VPWR _80742_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_623_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68464_ _67968_/X _68458_/Y _68464_/C VGND VGND VPWR VPWR _68465_/C sky130_fd_sc_hd__nor3_2
+XFILLER_309_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_506_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_0_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53690_ _53690_/A _53689_/X VGND VGND VPWR VPWR _77218_/D sky130_fd_sc_hd__nand2_2
+XFILLER_383_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65676_ _65676_/A _64987_/X VGND VGND VPWR VPWR _65676_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_284_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_249_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_506_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62888_ _62574_/A _62888_/B _62887_/Y VGND VGND VPWR VPWR _62888_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_407_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_288_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_541_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_246_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_55_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_3054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_623_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67415_ _64570_/X VGND VGND VPWR VPWR _68083_/B sky130_fd_sc_hd__buf_1
+XFILLER_129_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52641_ _52638_/Y _52640_/X VGND VGND VPWR VPWR _77493_/D sky130_fd_sc_hd__nand2_2
+XFILLER_348_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64627_ _64626_/X VGND VGND VPWR VPWR _64631_/A sky130_fd_sc_hd__buf_1
+XFILLER_526_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_721_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61839_ _76767_/Q _61218_/X VGND VGND VPWR VPWR _61840_/C sky130_fd_sc_hd__nor2_2
+X_80673_ _80637_/CLK _80673_/D VGND VGND VPWR VPWR _80673_/Q sky130_fd_sc_hd__dfxtp_4
+X_68395_ _80903_/Q _68395_/B VGND VGND VPWR VPWR _68397_/B sky130_fd_sc_hd__nor2_2
+XFILLER_506_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_721_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_325_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39314_ _39317_/A _39317_/B _39314_/C VGND VGND VPWR VPWR _39315_/B sky130_fd_sc_hd__nand3_2
+XFILLER_398_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_221_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55360_ _55399_/A _55359_/Y VGND VGND VPWR VPWR _55360_/X sky130_fd_sc_hd__or2_2
+X_67346_ _67013_/X _67346_/B _67345_/Y VGND VGND VPWR VPWR _67347_/C sky130_fd_sc_hd__nor3_2
+XFILLER_75_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52572_ _52601_/A _52559_/X VGND VGND VPWR VPWR _52572_/X sky130_fd_sc_hd__or2_2
+XFILLER_413_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64558_ _64312_/X VGND VGND VPWR VPWR _64913_/A sky130_fd_sc_hd__buf_1
+XFILLER_326_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_598_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_145_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_630_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_146_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_413_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_93_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_24_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54311_ _48547_/X _54190_/X VGND VGND VPWR VPWR _54314_/A sky130_fd_sc_hd__or2_2
+XFILLER_240_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_574_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_342_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39245_ _39243_/X _39245_/B VGND VGND VPWR VPWR _80176_/D sky130_fd_sc_hd__nand2_2
+XFILLER_440_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51523_ _51523_/A _51522_/X VGND VGND VPWR VPWR _77789_/D sky130_fd_sc_hd__nand2_2
+XPHY_16209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63509_ _63645_/A _63509_/B _63508_/Y VGND VGND VPWR VPWR _63517_/B sky130_fd_sc_hd__nor3_2
+XFILLER_36_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55291_ _55291_/A _46033_/A _55291_/C VGND VGND VPWR VPWR _55291_/X sky130_fd_sc_hd__or3_2
+X_67277_ _66777_/A _67276_/Y VGND VGND VPWR VPWR _67278_/C sky130_fd_sc_hd__nor2_2
+XFILLER_596_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64489_ _66559_/A VGND VGND VPWR VPWR _64724_/B sky130_fd_sc_hd__buf_1
+XFILLER_586_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_500_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_32_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_123_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_539_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57030_ _56623_/A VGND VGND VPWR VPWR _57031_/B sky130_fd_sc_hd__buf_1
+X_69016_ _69016_/A _68534_/B VGND VGND VPWR VPWR _69017_/C sky130_fd_sc_hd__nor2_2
+XPHY_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_617_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54242_ _54239_/Y _54241_/X VGND VGND VPWR VPWR _54242_/Y sky130_fd_sc_hd__nand2_2
+X_66228_ _66395_/A _66228_/B _66227_/Y VGND VGND VPWR VPWR _66228_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_342_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39176_ _39176_/A VGND VGND VPWR VPWR _39195_/B sky130_fd_sc_hd__buf_1
+XFILLER_355_3104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51454_ _51482_/A _51450_/X VGND VGND VPWR VPWR _51454_/X sky130_fd_sc_hd__or2_2
+XFILLER_656_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_165_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_137_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_535_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50405_ _50852_/A VGND VGND VPWR VPWR _50405_/X sky130_fd_sc_hd__buf_1
+XFILLER_704_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81225_ _81190_/CLK _73291_/Y VGND VGND VPWR VPWR _68741_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_719_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66159_ _65497_/X _66155_/Y _66159_/C VGND VGND VPWR VPWR _66160_/C sky130_fd_sc_hd__nor3_2
+X_54173_ _54173_/A _54173_/B VGND VGND VPWR VPWR _77090_/D sky130_fd_sc_hd__nand2_2
+XFILLER_279_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_140_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51385_ _51385_/A _51391_/B VGND VGND VPWR VPWR _51386_/B sky130_fd_sc_hd__or2_2
+XFILLER_617_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_570_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_353_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_492_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_179_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53124_ _77360_/Q _53109_/X VGND VGND VPWR VPWR _53126_/A sky130_fd_sc_hd__nand2_2
+XFILLER_652_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_10_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_634_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50336_ _78101_/Q _50336_/B VGND VGND VPWR VPWR _50336_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_125_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81156_ _81156_/CLK _73551_/Y VGND VGND VPWR VPWR _81156_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_192_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58981_ _58981_/A _58981_/B VGND VGND VPWR VPWR _58982_/B sky130_fd_sc_hd__nor2_2
+XFILLER_515_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_277_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_354_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_555_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_688_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_136_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_164_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80107_ _80104_/CLK _39511_/Y VGND VGND VPWR VPWR _69121_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_337_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_251_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53055_ _53053_/A VGND VGND VPWR VPWR _53077_/B sky130_fd_sc_hd__buf_1
+X_57932_ _67616_/A _57613_/X VGND VGND VPWR VPWR _57932_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_710_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69918_ _69826_/X _69911_/B VGND VGND VPWR VPWR _69918_/X sky130_fd_sc_hd__or2_2
+XPHY_9403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50267_ _50267_/A _50266_/X VGND VGND VPWR VPWR _78120_/D sky130_fd_sc_hd__nand2_2
+XPHY_21006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_81087_ _81060_/CLK _73810_/Y VGND VGND VPWR VPWR _81087_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_435_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_238_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_450_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40020_ _40017_/X _40019_/Y VGND VGND VPWR VPWR _79980_/D sky130_fd_sc_hd__nand2_2
+XFILLER_66_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52006_ _52041_/A _52025_/B VGND VGND VPWR VPWR _52006_/X sky130_fd_sc_hd__or2_2
+XPHY_9436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80038_ _80039_/CLK _80038_/D VGND VGND VPWR VPWR _39779_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_656_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_522_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57863_ _58180_/A _57863_/B _57862_/Y VGND VGND VPWR VPWR _57863_/X sky130_fd_sc_hd__or3_2
+XFILLER_132_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69849_ _69729_/A _55923_/C _69849_/C _69848_/Y VGND VGND VPWR VPWR _69849_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_173_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50198_ _78136_/Q _50195_/B VGND VGND VPWR VPWR _50198_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_60_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_278_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59602_ _59602_/A _59443_/B VGND VGND VPWR VPWR _59602_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_368_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_581_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56814_ _56397_/X VGND VGND VPWR VPWR _56819_/A sky130_fd_sc_hd__buf_1
+XFILLER_613_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72860_ _72873_/A _72873_/B _81321_/Q VGND VGND VPWR VPWR _72860_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_78_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_121_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57794_ _67485_/A _57794_/B VGND VGND VPWR VPWR _57794_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_510_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_130_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_251_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_169_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59533_ _59533_/A _59532_/Y VGND VGND VPWR VPWR _59533_/Y sky130_fd_sc_hd__nor2_2
+X_71811_ _69935_/A _70882_/X _70642_/X VGND VGND VPWR VPWR _71811_/Y sky130_fd_sc_hd__a21oi_4
+XFILLER_388_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_388_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56745_ _58535_/A VGND VGND VPWR VPWR _56745_/X sky130_fd_sc_hd__buf_1
+XFILLER_247_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53957_ _60043_/A _53964_/B VGND VGND VPWR VPWR _53957_/Y sky130_fd_sc_hd__nand2_2
+X_41971_ _41971_/A VGND VGND VPWR VPWR _42020_/B sky130_fd_sc_hd__inv_8
+XFILLER_609_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72791_ _74336_/A VGND VGND VPWR VPWR _73537_/A sky130_fd_sc_hd__buf_1
+XFILLER_268_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_726_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43710_ _43710_/A _43710_/B _43973_/A VGND VGND VPWR VPWR _43968_/B sky130_fd_sc_hd__and3_4
+X_74530_ _74141_/A _74526_/B VGND VGND VPWR VPWR _74533_/A sky130_fd_sc_hd__or2_2
+XFILLER_464_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40922_ _40417_/X _40915_/B VGND VGND VPWR VPWR _40925_/A sky130_fd_sc_hd__or2_2
+XFILLER_95_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52908_ _52938_/B VGND VGND VPWR VPWR _52936_/B sky130_fd_sc_hd__buf_1
+X_71742_ _75525_/A VGND VGND VPWR VPWR _44224_/A sky130_fd_sc_hd__buf_1
+XFILLER_5_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59464_ _59295_/A _59464_/B _59463_/Y VGND VGND VPWR VPWR _59464_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_327_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_613_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44690_ _79204_/Q _44708_/B VGND VGND VPWR VPWR _44690_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_25_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56676_ _56676_/A _56675_/Y VGND VGND VPWR VPWR _56677_/B sky130_fd_sc_hd__nor2_2
+XPHY_29325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53888_ _53831_/A _53903_/B VGND VGND VPWR VPWR _53889_/B sky130_fd_sc_hd__or2_2
+XFILLER_423_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_41_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_327_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_245_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58415_ _56354_/A VGND VGND VPWR VPWR _58707_/B sky130_fd_sc_hd__buf_1
+XFILLER_284_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43641_ _42756_/B _43640_/X VGND VGND VPWR VPWR _43642_/C sky130_fd_sc_hd__nor2_2
+XFILLER_598_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55627_ _55274_/A _55318_/B VGND VGND VPWR VPWR _55627_/Y sky130_fd_sc_hd__nor2_2
+X_74461_ _67405_/A VGND VGND VPWR VPWR _74462_/A sky130_fd_sc_hd__inv_8
+XFILLER_344_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_641_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_6_16_0_u_core.clock clkbuf_5_8_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_33_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_249_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40853_ _40843_/A _40843_/B _56924_/A VGND VGND VPWR VPWR _40854_/B sky130_fd_sc_hd__nand3_2
+XFILLER_696_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52839_ _52839_/A _52839_/B VGND VGND VPWR VPWR _52839_/X sky130_fd_sc_hd__or2_2
+XPHY_29369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59395_ _77126_/Q _59717_/B VGND VGND VPWR VPWR _59397_/B sky130_fd_sc_hd__nor2_2
+XFILLER_442_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71673_ _71510_/A VGND VGND VPWR VPWR _71699_/A sky130_fd_sc_hd__buf_1
+XPHY_18101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76200_ _76197_/X _76200_/B VGND VGND VPWR VPWR _76200_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_145_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73412_ _74971_/A _73404_/B VGND VGND VPWR VPWR _73413_/B sky130_fd_sc_hd__or2_2
+XFILLER_262_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_215_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58346_ _79944_/Q _58345_/X VGND VGND VPWR VPWR _58346_/Y sky130_fd_sc_hd__nor2_2
+X_46360_ _46366_/B VGND VGND VPWR VPWR _46361_/B sky130_fd_sc_hd__inv_8
+X_70624_ _70706_/A _70623_/X VGND VGND VPWR VPWR _70625_/B sky130_fd_sc_hd__or2_2
+XFILLER_216_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77180_ _77176_/CLK _53832_/Y VGND VGND VPWR VPWR _77180_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_309_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_505_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43572_ _43572_/A _43570_/Y _43571_/Y VGND VGND VPWR VPWR _79328_/D sky130_fd_sc_hd__nor3_2
+X_55558_ _55605_/A _55678_/B VGND VGND VPWR VPWR _55558_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_577_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74392_ _74554_/A _74284_/B _74392_/C VGND VGND VPWR VPWR _74393_/B sky130_fd_sc_hd__nand3_2
+XFILLER_442_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40784_ _40797_/A _40797_/B _58443_/A VGND VGND VPWR VPWR _40785_/B sky130_fd_sc_hd__nand3_2
+XPHY_27934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_657_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45311_ _45311_/A _45397_/B VGND VGND VPWR VPWR _45311_/X sky130_fd_sc_hd__or2_2
+X_76131_ _76131_/A VGND VGND VPWR VPWR _76272_/A sky130_fd_sc_hd__buf_1
+XFILLER_578_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_407_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42523_ _42493_/X _70175_/X _70173_/X _42494_/X VGND VGND VPWR VPWR _42523_/X sky130_fd_sc_hd__o22a_4
+X_54509_ _54509_/A _54506_/B VGND VGND VPWR VPWR _54509_/X sky130_fd_sc_hd__or2_2
+XFILLER_376_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73343_ _73361_/A VGND VGND VPWR VPWR _73353_/B sky130_fd_sc_hd__buf_1
+XFILLER_423_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46291_ _79024_/Q _46291_/B VGND VGND VPWR VPWR _46292_/C sky130_fd_sc_hd__nor2_2
+XFILLER_592_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58277_ _58045_/A _58275_/Y _58277_/C VGND VGND VPWR VPWR _58277_/Y sky130_fd_sc_hd__nor3_2
+X_70555_ _70555_/A VGND VGND VPWR VPWR _71684_/A sky130_fd_sc_hd__buf_1
+XPHY_27978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55489_ _55461_/A _55488_/Y VGND VGND VPWR VPWR _55489_/X sky130_fd_sc_hd__or2_2
+XFILLER_592_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_199_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_696_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_243_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48030_ _78696_/Q _48015_/X VGND VGND VPWR VPWR _48032_/A sky130_fd_sc_hd__nand2_2
+XPHY_2352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_303_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45242_ _45322_/A _45241_/Y VGND VGND VPWR VPWR _45242_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57228_ _57144_/X _57183_/X _57226_/X _74497_/Y _57227_/X VGND VGND VPWR VPWR _76654_/D
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_240_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76062_ _76062_/A _76061_/Y VGND VGND VPWR VPWR _76062_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_575_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42454_ _42755_/A VGND VGND VPWR VPWR _42553_/A sky130_fd_sc_hd__buf_1
+XFILLER_690_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73274_ _73274_/A _73273_/X VGND VGND VPWR VPWR _73274_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_703_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_497_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_360_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70486_ _69893_/A _70485_/X VGND VGND VPWR VPWR _70486_/X sky130_fd_sc_hd__or2_2
+XFILLER_600_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75013_ _75031_/A VGND VGND VPWR VPWR _75014_/B sky130_fd_sc_hd__buf_1
+XFILLER_357_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41405_ _41405_/A _41404_/Y VGND VGND VPWR VPWR _79628_/D sky130_fd_sc_hd__nand2_2
+XFILLER_655_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72225_ _72223_/X _72225_/B VGND VGND VPWR VPWR _81475_/D sky130_fd_sc_hd__nand2_2
+XFILLER_391_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_278_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45173_ _45171_/X _45172_/X VGND VGND VPWR VPWR _45467_/B sky130_fd_sc_hd__nand2_2
+XPHY_34155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57159_ _57243_/A _57157_/Y _57158_/Y VGND VGND VPWR VPWR _57159_/Y sky130_fd_sc_hd__nor3_2
+XPHY_1673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_493_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42385_ _41871_/X _42361_/Y VGND VGND VPWR VPWR _42386_/C sky130_fd_sc_hd__nor2_2
+XFILLER_651_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_256_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44124_ _43801_/A _44069_/X VGND VGND VPWR VPWR _44127_/A sky130_fd_sc_hd__nor2_2
+XFILLER_570_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79821_ _80013_/CLK _40647_/Y VGND VGND VPWR VPWR _69391_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_144_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41336_ _41321_/X _41332_/X _66962_/A VGND VGND VPWR VPWR _41337_/B sky130_fd_sc_hd__nand3_2
+XFILLER_715_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60170_ _60170_/A _59685_/B VGND VGND VPWR VPWR _60172_/B sky130_fd_sc_hd__nor2_2
+XFILLER_319_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72156_ _74336_/A VGND VGND VPWR VPWR _74968_/A sky130_fd_sc_hd__buf_1
+XFILLER_183_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49981_ _50009_/A _49996_/B VGND VGND VPWR VPWR _49982_/B sky130_fd_sc_hd__or2_2
+XFILLER_193_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_10_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_87_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_714_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71107_ _71225_/A VGND VGND VPWR VPWR _71108_/B sky130_fd_sc_hd__buf_1
+XFILLER_6_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_499_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48932_ _48920_/A VGND VGND VPWR VPWR _48937_/B sky130_fd_sc_hd__buf_1
+XFILLER_291_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44055_ _68517_/A _44054_/X VGND VGND VPWR VPWR _44055_/X sky130_fd_sc_hd__or2_4
+XFILLER_237_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_512_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_531_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79752_ _79824_/CLK _79752_/D VGND VGND VPWR VPWR _58416_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_174_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41267_ _41277_/A _41270_/B _41267_/C VGND VGND VPWR VPWR _41268_/B sky130_fd_sc_hd__nand3_2
+XPHY_32764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76964_ _76963_/CLK _76964_/D VGND VGND VPWR VPWR _60262_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_390_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72087_ _72087_/A _72105_/B VGND VGND VPWR VPWR _72088_/C sky130_fd_sc_hd__xnor2_2
+XPHY_22241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_360_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_668_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43006_ _78871_/Q _41680_/X _41666_/A _43005_/Y VGND VGND VPWR VPWR _43006_/X sky130_fd_sc_hd__o22a_4
+XFILLER_193_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78703_ _78186_/CLK _48003_/Y VGND VGND VPWR VPWR _78703_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_32797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40218_ _40216_/X _40217_/Y VGND VGND VPWR VPWR _79927_/D sky130_fd_sc_hd__nand2_2
+XFILLER_252_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75915_ _75915_/A _75915_/B VGND VGND VPWR VPWR _75915_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_512_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_2_2_0_u_core.clock clkbuf_2_3_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_2_2_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_22274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71038_ _71141_/A VGND VGND VPWR VPWR _71039_/A sky130_fd_sc_hd__buf_1
+XFILLER_26_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48863_ _48861_/A VGND VGND VPWR VPWR _48867_/B sky130_fd_sc_hd__buf_1
+XPHY_22285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79683_ _79713_/CLK _79683_/D VGND VGND VPWR VPWR _58011_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_453_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41198_ _41056_/X _41187_/B VGND VGND VPWR VPWR _41200_/A sky130_fd_sc_hd__or2_2
+XFILLER_459_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76895_ _77353_/CLK _76895_/D VGND VGND VPWR VPWR _59598_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_22296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_230_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47814_ _78749_/Q _47792_/X VGND VGND VPWR VPWR _47814_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_135_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_523_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78634_ _78671_/CLK _78634_/D VGND VGND VPWR VPWR _78634_/Q sky130_fd_sc_hd__dfxtp_4
+X_40149_ _40240_/A VGND VGND VPWR VPWR _40205_/A sky130_fd_sc_hd__buf_1
+XPHY_9981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_486_3070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63860_ _63991_/A _63858_/Y _63860_/C VGND VGND VPWR VPWR _63860_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_215_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75846_ _75966_/A _75842_/X VGND VGND VPWR VPWR _75848_/A sky130_fd_sc_hd__or2_2
+XFILLER_386_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48794_ _48791_/Y _48794_/B VGND VGND VPWR VPWR _78502_/D sky130_fd_sc_hd__nand2_2
+XPHY_9992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_670_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_627_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_568_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62811_ _62179_/X _62811_/B _62810_/Y VGND VGND VPWR VPWR _62820_/B sky130_fd_sc_hd__nor3_2
+XFILLER_564_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_439_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_78_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_709_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47745_ _47745_/A _47744_/X VGND VGND VPWR VPWR _78769_/D sky130_fd_sc_hd__nand2_2
+XPHY_10360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78565_ _78559_/CLK _48546_/Y VGND VGND VPWR VPWR _62991_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44957_ _45020_/A _44951_/Y _44956_/Y VGND VGND VPWR VPWR _44958_/B sky130_fd_sc_hd__or3_2
+X_75777_ _75898_/A _75780_/B VGND VGND VPWR VPWR _75779_/A sky130_fd_sc_hd__or2_2
+XPHY_20894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63791_ _59176_/A _63787_/Y _63790_/Y VGND VGND VPWR VPWR _63791_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_152_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72989_ _72989_/A _72988_/X _69477_/A VGND VGND VPWR VPWR _72989_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_284_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_646_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_113_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_529_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65530_ _80085_/Q _66185_/B VGND VGND VPWR VPWR _65531_/C sky130_fd_sc_hd__nor2_2
+X_77516_ _77515_/CLK _77516_/D VGND VGND VPWR VPWR _61531_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43908_ _43948_/A VGND VGND VPWR VPWR _43937_/C sky130_fd_sc_hd__buf_1
+XFILLER_560_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62742_ _63054_/A _62739_/Y _62741_/Y VGND VGND VPWR VPWR _62742_/Y sky130_fd_sc_hd__nor3_2
+X_74728_ _74728_/A VGND VGND VPWR VPWR _75351_/A sky130_fd_sc_hd__buf_1
+XFILLER_59_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47676_ _47676_/A _47676_/B VGND VGND VPWR VPWR _78786_/D sky130_fd_sc_hd__nand2_2
+XFILLER_643_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78496_ _78498_/CLK _78496_/D VGND VGND VPWR VPWR _48816_/A sky130_fd_sc_hd__dfxtp_4
+X_44888_ _44888_/A _44437_/B VGND VGND VPWR VPWR _44891_/B sky130_fd_sc_hd__nor2_2
+XFILLER_267_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_644_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_605_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_603_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_81_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49415_ _62271_/A _49401_/B VGND VGND VPWR VPWR _49417_/A sky130_fd_sc_hd__nand2_2
+XFILLER_623_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_380_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_345_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_506_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46627_ _58859_/A VGND VGND VPWR VPWR _58856_/A sky130_fd_sc_hd__inv_8
+X_65461_ _65629_/A _65455_/Y _65461_/C VGND VGND VPWR VPWR _65461_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_81_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77447_ _77438_/CLK _52812_/Y VGND VGND VPWR VPWR _77447_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_1_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_441_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43839_ _43691_/Y _43867_/B VGND VGND VPWR VPWR _43840_/A sky130_fd_sc_hd__or2_2
+X_62673_ _59122_/A VGND VGND VPWR VPWR _62987_/B sky130_fd_sc_hd__buf_1
+X_74659_ _74659_/A _74659_/B VGND VGND VPWR VPWR _74659_/X sky130_fd_sc_hd__or2_2
+XFILLER_503_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_94_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_141_0_u_core.clock clkbuf_8_70_0_u_core.clock/X VGND VGND VPWR VPWR _78287_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_4_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67200_ _43849_/A _66868_/B VGND VGND VPWR VPWR _67202_/B sky130_fd_sc_hd__nor2_2
+XFILLER_281_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_523_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64412_ _65015_/A _64412_/B _64412_/C VGND VGND VPWR VPWR _64413_/C sky130_fd_sc_hd__nor3_2
+XFILLER_326_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_499_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_542_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61624_ _61472_/A _61624_/B _61623_/Y VGND VGND VPWR VPWR _61624_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_398_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49346_ _49510_/A VGND VGND VPWR VPWR _51158_/A sky130_fd_sc_hd__buf_1
+XFILLER_304_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68180_ _43697_/A _68024_/B VGND VGND VPWR VPWR _68182_/B sky130_fd_sc_hd__nor2_2
+XFILLER_20_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46558_ _46558_/A _46520_/B VGND VGND VPWR VPWR _46558_/Y sky130_fd_sc_hd__nand2_2
+X_65392_ _65392_/A _65392_/B _65392_/C VGND VGND VPWR VPWR _65401_/B sky130_fd_sc_hd__nor3_2
+XFILLER_503_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77378_ _77112_/CLK _53064_/Y VGND VGND VPWR VPWR _77378_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_59_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_80_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_379_252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_209_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_679_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_206_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_584_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67131_ _67131_/A _66792_/X VGND VGND VPWR VPWR _67131_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_233_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79117_ _79116_/CLK _79117_/D VGND VGND VPWR VPWR _45629_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_368_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45509_ _45514_/A _45509_/B _45509_/C VGND VGND VPWR VPWR _45509_/Y sky130_fd_sc_hd__nor3_2
+X_64343_ _64814_/A _64317_/Y _64343_/C VGND VGND VPWR VPWR _64344_/B sky130_fd_sc_hd__nor3_2
+XFILLER_128_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_250_0_u_core.clock clkbuf_8_251_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_501_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_76329_ _76329_/A _76328_/Y VGND VGND VPWR VPWR _80467_/D sky130_fd_sc_hd__nand2_2
+XFILLER_495_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_179_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_261_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_33_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61555_ _61555_/A _61254_/B VGND VGND VPWR VPWR _61558_/B sky130_fd_sc_hd__nor2_2
+X_49277_ _49277_/A _49259_/B VGND VGND VPWR VPWR _49279_/A sky130_fd_sc_hd__nand2_2
+XFILLER_124_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46489_ _46467_/Y VGND VGND VPWR VPWR _46570_/A sky130_fd_sc_hd__buf_1
+XFILLER_568_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_190 _75705_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_595_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_124_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39030_ _39024_/A _39038_/B _80226_/Q VGND VGND VPWR VPWR _39030_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_495_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_21_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60506_ _77733_/Q _60189_/B VGND VGND VPWR VPWR _60507_/C sky130_fd_sc_hd__nor2_2
+X_48228_ _48228_/A _48199_/B VGND VGND VPWR VPWR _52093_/A sky130_fd_sc_hd__or2_2
+X_67062_ _67062_/A _67726_/B VGND VGND VPWR VPWR _67062_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_159_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_673_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79048_ _79095_/CLK _45997_/Y VGND VGND VPWR VPWR _79048_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_178_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64274_ _64464_/A VGND VGND VPWR VPWR _64275_/A sky130_fd_sc_hd__buf_1
+XFILLER_128_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_159_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61486_ _61486_/A _61171_/B VGND VGND VPWR VPWR _61487_/C sky130_fd_sc_hd__nor2_2
+XFILLER_280_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_198_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_716_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_543_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66013_ _66013_/A _66009_/Y _66012_/Y VGND VGND VPWR VPWR _66013_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_517_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_141_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_257_0_u_core.clock clkbuf_8_128_0_u_core.clock/X VGND VGND VPWR VPWR _77275_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_63225_ _60094_/A VGND VGND VPWR VPWR _63226_/B sky130_fd_sc_hd__buf_1
+XFILLER_716_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_140_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48159_ _48001_/A VGND VGND VPWR VPWR _48159_/X sky130_fd_sc_hd__buf_1
+X_60437_ _58931_/X VGND VGND VPWR VPWR _60592_/B sky130_fd_sc_hd__buf_1
+XFILLER_454_3058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_524_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_101_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_571_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_81010_ _80929_/CLK _81010_/D VGND VGND VPWR VPWR _81010_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_454_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_179_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_473_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_391_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_651_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51170_ _51172_/A VGND VGND VPWR VPWR _51170_/X sky130_fd_sc_hd__buf_1
+XFILLER_278_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63156_ _78702_/Q _63321_/B VGND VGND VPWR VPWR _63158_/B sky130_fd_sc_hd__nor2_2
+XFILLER_616_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60368_ _53886_/A _60214_/B VGND VGND VPWR VPWR _60368_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_274_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_634_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_517_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50121_ _50121_/A _50120_/X VGND VGND VPWR VPWR _50121_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_146_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62107_ _61793_/A _62107_/B _62106_/Y VGND VGND VPWR VPWR _62107_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_689_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39932_ _39932_/A _39932_/B VGND VGND VPWR VPWR _80004_/D sky130_fd_sc_hd__nand2_2
+XFILLER_350_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_337_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67964_ _80964_/Q _68281_/B VGND VGND VPWR VPWR _67966_/B sky130_fd_sc_hd__nor2_2
+XFILLER_714_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63087_ _62773_/X _63083_/Y _63087_/C VGND VGND VPWR VPWR _63088_/C sky130_fd_sc_hd__nor3_2
+XFILLER_352_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_200_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_667_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60299_ _60299_/A _60298_/Y VGND VGND VPWR VPWR _60299_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_192_1411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_569_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_417_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_176_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_3067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69703_ _69664_/A _69640_/B VGND VGND VPWR VPWR _69703_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_157_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_569_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50052_ _50052_/A _50055_/B VGND VGND VPWR VPWR _50052_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_333_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66915_ _66915_/A _66574_/B VGND VGND VPWR VPWR _66915_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_303_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62038_ _61416_/A _62033_/Y _62037_/Y VGND VGND VPWR VPWR _62038_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_534_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39863_ _39944_/A VGND VGND VPWR VPWR _39864_/A sky130_fd_sc_hd__buf_1
+XFILLER_350_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67895_ _67895_/A _67895_/B VGND VGND VPWR VPWR _67896_/B sky130_fd_sc_hd__nor2_2
+XFILLER_435_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_315_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38814_ _38812_/X _38813_/Y VGND VGND VPWR VPWR _80284_/D sky130_fd_sc_hd__nand2_2
+XFILLER_467_2718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_433_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69634_ _69621_/C VGND VGND VPWR VPWR _69639_/A sky130_fd_sc_hd__buf_1
+XFILLER_83_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54860_ _59960_/A _54867_/B VGND VGND VPWR VPWR _54860_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_9_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66846_ _66846_/A VGND VGND VPWR VPWR _67184_/B sky130_fd_sc_hd__buf_1
+XFILLER_448_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_311_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_9_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39794_ _39794_/A _39794_/B VGND VGND VPWR VPWR _80035_/D sky130_fd_sc_hd__nand2_2
+XPHY_7319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_60_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_233_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_654_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53811_ _53808_/Y _53811_/B VGND VGND VPWR VPWR _77186_/D sky130_fd_sc_hd__nand2_2
+XFILLER_663_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38745_ _38731_/X _38760_/B _38745_/C VGND VGND VPWR VPWR _38746_/B sky130_fd_sc_hd__nand3_2
+X_69565_ _71039_/A _72154_/B VGND VGND VPWR VPWR _69565_/X sky130_fd_sc_hd__or2_2
+XPHY_6618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_170_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54791_ _54791_/A _54806_/B VGND VGND VPWR VPWR _54791_/X sky130_fd_sc_hd__or2_2
+XFILLER_257_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_229_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_487_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66777_ _66777_/A _66776_/Y VGND VGND VPWR VPWR _66777_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_446_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63989_ _78580_/Q _63454_/X VGND VGND VPWR VPWR _63989_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_582_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_645_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_96_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_723_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56530_ _57340_/A VGND VGND VPWR VPWR _56862_/B sky130_fd_sc_hd__buf_1
+XFILLER_116_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68516_ _64112_/X VGND VGND VPWR VPWR _68680_/B sky130_fd_sc_hd__buf_1
+XFILLER_508_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53742_ _53657_/A _53757_/B VGND VGND VPWR VPWR _53743_/B sky130_fd_sc_hd__or2_2
+XFILLER_406_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65728_ _65728_/A _66394_/B VGND VGND VPWR VPWR _65728_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_385_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38676_ _38676_/A _38688_/B VGND VGND VPWR VPWR _38676_/X sky130_fd_sc_hd__or2_2
+X_50954_ _50952_/Y _50953_/X VGND VGND VPWR VPWR _77936_/D sky130_fd_sc_hd__nand2_2
+XFILLER_83_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_426_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69496_ _64305_/A _69496_/B _69496_/C VGND VGND VPWR VPWR _69496_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_284_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_256_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_57_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_524_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_560_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_148_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56461_ _56319_/A VGND VGND VPWR VPWR _56469_/A sky130_fd_sc_hd__buf_1
+XFILLER_309_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80725_ _80725_/CLK _80725_/D VGND VGND VPWR VPWR _80725_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_578_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68447_ _65137_/X VGND VGND VPWR VPWR _68946_/B sky130_fd_sc_hd__buf_1
+XFILLER_285_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_541_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53673_ _53701_/A _53673_/B VGND VGND VPWR VPWR _53673_/X sky130_fd_sc_hd__or2_2
+XFILLER_460_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65659_ _64322_/X VGND VGND VPWR VPWR _66153_/B sky130_fd_sc_hd__buf_1
+XFILLER_344_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50885_ _50882_/Y _50884_/X VGND VGND VPWR VPWR _77956_/D sky130_fd_sc_hd__nand2_2
+XFILLER_285_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_506_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58200_ _80166_/Q _58127_/B VGND VGND VPWR VPWR _58202_/B sky130_fd_sc_hd__nor2_2
+XFILLER_65_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_621_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_285_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55412_ _55432_/A _55412_/B VGND VGND VPWR VPWR _55413_/B sky130_fd_sc_hd__nor2_2
+XFILLER_246_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_446_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_45_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_129_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52624_ _52741_/A _52632_/B VGND VGND VPWR VPWR _52625_/B sky130_fd_sc_hd__or2_2
+XFILLER_281_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_307 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59180_ _60054_/A VGND VGND VPWR VPWR _59181_/A sky130_fd_sc_hd__buf_1
+XFILLER_263_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_559_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80656_ _80659_/CLK _80656_/D VGND VGND VPWR VPWR _80656_/Q sky130_fd_sc_hd__dfxtp_4
+X_56392_ _64286_/A _56392_/B VGND VGND VPWR VPWR _56396_/B sky130_fd_sc_hd__nor2_2
+X_68378_ _68551_/A _68376_/Y _68377_/Y VGND VGND VPWR VPWR _68378_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_271_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_658_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_600_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_623_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_358_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_506_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58131_ _56767_/A VGND VGND VPWR VPWR _58134_/A sky130_fd_sc_hd__buf_1
+XFILLER_587_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55343_ _45147_/Y _55328_/X VGND VGND VPWR VPWR _55344_/B sky130_fd_sc_hd__nor2_2
+XFILLER_420_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67329_ _67658_/A _67327_/Y _67329_/C VGND VGND VPWR VPWR _67329_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_574_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52555_ _61382_/A _52555_/B VGND VGND VPWR VPWR _52557_/A sky130_fd_sc_hd__nand2_2
+XPHY_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80587_ _80584_/CLK _80587_/D VGND VGND VPWR VPWR _69024_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_322_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_693_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_420_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39228_ _39227_/X _39222_/X _65366_/A VGND VGND VPWR VPWR _39228_/Y sky130_fd_sc_hd__nand3_2
+X_51506_ _51522_/B VGND VGND VPWR VPWR _51519_/B sky130_fd_sc_hd__buf_1
+XFILLER_691_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58062_ _57663_/A VGND VGND VPWR VPWR _58063_/B sky130_fd_sc_hd__buf_1
+XPHY_16039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70340_ _71332_/A _70339_/Y VGND VGND VPWR VPWR _70341_/C sky130_fd_sc_hd__nor2_2
+XFILLER_575_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55274_ _55274_/A _55294_/C VGND VGND VPWR VPWR _55290_/A sky130_fd_sc_hd__or2_2
+XFILLER_240_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_593_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_494_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52486_ _52784_/A VGND VGND VPWR VPWR _52601_/A sky130_fd_sc_hd__buf_1
+XFILLER_674_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_196_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_298_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_108 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_535_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57013_ _57094_/A _57013_/B _57013_/C VGND VGND VPWR VPWR _57013_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_476_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54225_ _54225_/A _54225_/B VGND VGND VPWR VPWR _77076_/D sky130_fd_sc_hd__nand2_2
+XFILLER_590_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39159_ _39159_/A _39159_/B _67842_/A VGND VGND VPWR VPWR _39160_/B sky130_fd_sc_hd__nand3_2
+X_51437_ _51736_/A VGND VGND VPWR VPWR _51522_/A sky130_fd_sc_hd__buf_1
+XFILLER_205_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_608_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_275_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70271_ _79527_/Q VGND VGND VPWR VPWR _70271_/Y sky130_fd_sc_hd__inv_8
+XFILLER_494_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_338_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_652_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_205_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_652_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_617_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_20_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_354_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72010_ _72010_/A VGND VGND VPWR VPWR _72011_/A sky130_fd_sc_hd__inv_8
+XFILLER_377_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_527_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_472_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81208_ _81206_/CLK _73352_/Y VGND VGND VPWR VPWR _81208_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_691_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42170_ _42178_/A _42170_/B VGND VGND VPWR VPWR _42170_/Y sky130_fd_sc_hd__nor2_2
+XPHY_13903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54156_ _54098_/A _54147_/B VGND VGND VPWR VPWR _54156_/X sky130_fd_sc_hd__or2_2
+XFILLER_704_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51368_ _53279_/A _51468_/B VGND VGND VPWR VPWR _51394_/B sky130_fd_sc_hd__or2_2
+XFILLER_570_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_457_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53107_ _53105_/Y _53106_/X VGND VGND VPWR VPWR _53107_/Y sky130_fd_sc_hd__nand2_2
+X_41121_ _41120_/X _41131_/B VGND VGND VPWR VPWR _41124_/A sky130_fd_sc_hd__or2_2
+XFILLER_175_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_10_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50319_ _50319_/A _50319_/B VGND VGND VPWR VPWR _50319_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_502_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81139_ _81081_/CLK _81139_/D VGND VGND VPWR VPWR _73611_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_175_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54087_ _54538_/A VGND VGND VPWR VPWR _54088_/A sky130_fd_sc_hd__buf_1
+X_58964_ _59647_/A VGND VGND VPWR VPWR _59486_/B sky130_fd_sc_hd__buf_1
+XFILLER_257_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_49_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51299_ _51385_/A _51305_/B VGND VGND VPWR VPWR _51299_/X sky130_fd_sc_hd__or2_2
+XFILLER_292_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_685_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41052_ _41051_/X _41044_/B VGND VGND VPWR VPWR _41052_/X sky130_fd_sc_hd__or2_2
+XPHY_30614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57915_ _67633_/A _57915_/B VGND VGND VPWR VPWR _57915_/Y sky130_fd_sc_hd__nor2_2
+X_53038_ _53010_/A _53047_/B VGND VGND VPWR VPWR _53038_/X sky130_fd_sc_hd__or2_2
+XFILLER_180_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73961_ _73959_/X _73960_/Y VGND VGND VPWR VPWR _81047_/D sky130_fd_sc_hd__nand2_2
+XFILLER_513_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58895_ _62367_/A VGND VGND VPWR VPWR _63870_/B sky130_fd_sc_hd__buf_1
+XFILLER_121_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_685_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40003_ _40001_/X _40003_/B VGND VGND VPWR VPWR _79984_/D sky130_fd_sc_hd__nand2_2
+XPHY_8521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75700_ _75696_/X _75700_/B _75700_/C VGND VGND VPWR VPWR _75701_/B sky130_fd_sc_hd__nand3_2
+XFILLER_511_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_79_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_646_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_530_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_62_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72912_ _72912_/A _72911_/Y VGND VGND VPWR VPWR _81310_/D sky130_fd_sc_hd__nand2_2
+XPHY_9277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57846_ _56247_/X VGND VGND VPWR VPWR _58165_/B sky130_fd_sc_hd__buf_1
+XFILLER_62_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45860_ _45736_/Y _45851_/X VGND VGND VPWR VPWR _45861_/B sky130_fd_sc_hd__nor2_2
+XFILLER_587_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76680_ _76678_/CLK _55944_/Y VGND VGND VPWR VPWR _76680_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_629_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73892_ _73872_/A VGND VGND VPWR VPWR _73892_/X sky130_fd_sc_hd__buf_1
+XFILLER_489_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_79_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_706_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44811_ _44796_/A _44811_/B _44811_/C VGND VGND VPWR VPWR _79189_/D sky130_fd_sc_hd__nor3_2
+XPHY_8576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75631_ _75628_/X _75630_/Y VGND VGND VPWR VPWR _80644_/D sky130_fd_sc_hd__nand2_2
+XPHY_20179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_137 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_685_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_43_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72843_ _72843_/A _72843_/B _81324_/Q VGND VGND VPWR VPWR _72843_/Y sky130_fd_sc_hd__nand3_2
+XPHY_8587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_704_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45791_ _43527_/A _45790_/X VGND VGND VPWR VPWR _45791_/X sky130_fd_sc_hd__or2_2
+XFILLER_671_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_120_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57777_ _57936_/A _57777_/B _57776_/Y VGND VGND VPWR VPWR _57778_/C sky130_fd_sc_hd__nor3_2
+XFILLER_628_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54989_ _54989_/A _54973_/A VGND VGND VPWR VPWR _54989_/X sky130_fd_sc_hd__or2_2
+XFILLER_251_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_78_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47530_ _47530_/A _47530_/B VGND VGND VPWR VPWR _78822_/D sky130_fd_sc_hd__nand2_2
+XFILLER_409_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59516_ _59516_/A _59516_/B _59515_/Y VGND VGND VPWR VPWR _59520_/B sky130_fd_sc_hd__nor3_2
+XPHY_39623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78350_ _79164_/CLK _49395_/Y VGND VGND VPWR VPWR _78350_/Q sky130_fd_sc_hd__dfxtp_4
+X_44742_ _68021_/A _44742_/B VGND VGND VPWR VPWR _44746_/C sky130_fd_sc_hd__or2_2
+XPHY_7886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56728_ _56728_/A _56395_/B VGND VGND VPWR VPWR _56729_/C sky130_fd_sc_hd__nor2_2
+X_75562_ _76201_/A VGND VGND VPWR VPWR _76050_/A sky130_fd_sc_hd__buf_1
+XFILLER_644_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41954_ _55235_/A _41940_/Y _41957_/B VGND VGND VPWR VPWR _41955_/C sky130_fd_sc_hd__nor3_2
+XPHY_39634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72774_ _72788_/A _72784_/B _81342_/Q VGND VGND VPWR VPWR _72774_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_263_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_40_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_507_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77301_ _76890_/CLK _77301_/D VGND VGND VPWR VPWR _59264_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_29133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74513_ _74253_/A _74526_/B VGND VGND VPWR VPWR _74513_/X sky130_fd_sc_hd__or2_2
+XFILLER_366_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40905_ _40978_/A VGND VGND VPWR VPWR _40906_/B sky130_fd_sc_hd__buf_1
+XPHY_29144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59447_ _59447_/A _59445_/Y _59446_/Y VGND VGND VPWR VPWR _59448_/C sky130_fd_sc_hd__nor3_2
+X_71725_ _71089_/A _71080_/A VGND VGND VPWR VPWR _71725_/X sky130_fd_sc_hd__or2_2
+X_47461_ _47461_/A VGND VGND VPWR VPWR _47480_/B sky130_fd_sc_hd__buf_1
+XFILLER_362_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78281_ _78281_/CLK _78281_/D VGND VGND VPWR VPWR _62419_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_38933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_423_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44673_ _44808_/A VGND VGND VPWR VPWR _66693_/A sky130_fd_sc_hd__inv_8
+X_75493_ _75503_/A _75498_/B _80673_/Q VGND VGND VPWR VPWR _75493_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_640_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56659_ _56659_/A _56659_/B _56658_/Y VGND VGND VPWR VPWR _56659_/X sky130_fd_sc_hd__or3_2
+XFILLER_5_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_47_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41885_ _41868_/X _41884_/X _45361_/A _41874_/X VGND VGND VPWR VPWR _79548_/D sky130_fd_sc_hd__o22a_4
+XPHY_38944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49200_ _49198_/A VGND VGND VPWR VPWR _49201_/B sky130_fd_sc_hd__buf_1
+XPHY_29177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_182_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46412_ _45188_/A _46412_/B VGND VGND VPWR VPWR _46412_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77232_ _77232_/CLK _53637_/Y VGND VGND VPWR VPWR _59703_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_245_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43624_ _43616_/A _43624_/B _43623_/Y VGND VGND VPWR VPWR _79314_/D sky130_fd_sc_hd__nor3_2
+XFILLER_594_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74444_ _74444_/A _74428_/B VGND VGND VPWR VPWR _74445_/B sky130_fd_sc_hd__or2_2
+XFILLER_477_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_542_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40836_ _39363_/A VGND VGND VPWR VPWR _40837_/A sky130_fd_sc_hd__buf_1
+XFILLER_76_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_56_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47392_ _47415_/B VGND VGND VPWR VPWR _47414_/B sky130_fd_sc_hd__buf_1
+XPHY_29199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59378_ _59378_/A VGND VGND VPWR VPWR _59540_/B sky130_fd_sc_hd__buf_1
+XFILLER_422_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_290_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_38_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71656_ _70509_/Y _71392_/B VGND VGND VPWR VPWR _71656_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_389_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_301_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49131_ _49131_/A _49130_/X VGND VGND VPWR VPWR _78415_/D sky130_fd_sc_hd__nand2_2
+XFILLER_284_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_362_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46343_ _45175_/A _46343_/B VGND VGND VPWR VPWR _46343_/X sky130_fd_sc_hd__or2_2
+XFILLER_622_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58329_ _57333_/A VGND VGND VPWR VPWR _58329_/X sky130_fd_sc_hd__buf_1
+XFILLER_305_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70607_ _70607_/A _70606_/X VGND VGND VPWR VPWR _70607_/X sky130_fd_sc_hd__and2_2
+X_77163_ _77163_/CLK _53892_/Y VGND VGND VPWR VPWR _77163_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_520_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43555_ _42724_/A VGND VGND VPWR VPWR _43572_/A sky130_fd_sc_hd__buf_1
+XPHY_17230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74375_ _74301_/A VGND VGND VPWR VPWR _74388_/B sky130_fd_sc_hd__buf_1
+XFILLER_340_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_600_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_538_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40767_ _40764_/A _40764_/B _58726_/A VGND VGND VPWR VPWR _40767_/Y sky130_fd_sc_hd__nand3_2
+XPHY_27764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71587_ _70846_/X _71585_/X VGND VGND VPWR VPWR _71588_/C sky130_fd_sc_hd__and2_2
+XFILLER_182_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_577_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_231_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76114_ _76124_/A _76118_/B _80518_/Q VGND VGND VPWR VPWR _76114_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_442_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42506_ _42506_/A VGND VGND VPWR VPWR _69003_/A sky130_fd_sc_hd__inv_8
+XFILLER_477_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61340_ _61180_/A _61338_/Y _61340_/C VGND VGND VPWR VPWR _61344_/B sky130_fd_sc_hd__nor3_2
+XFILLER_600_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73326_ _75003_/A _73317_/X VGND VGND VPWR VPWR _73326_/X sky130_fd_sc_hd__or2_2
+X_49062_ _63245_/A _49065_/B VGND VGND VPWR VPWR _49064_/A sky130_fd_sc_hd__nand2_2
+XPHY_27797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46274_ _46259_/A _46274_/B _46274_/C VGND VGND VPWR VPWR _79026_/D sky130_fd_sc_hd__nor3_2
+X_70538_ _70536_/Y _70095_/C _70537_/X _70170_/A VGND VGND VPWR VPWR _70538_/X sky130_fd_sc_hd__o22a_4
+XPHY_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77094_ _77596_/CLK _77094_/D VGND VGND VPWR VPWR _77094_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43486_ _43486_/A _43482_/B VGND VGND VPWR VPWR _43486_/X sky130_fd_sc_hd__or2_2
+XFILLER_180_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_572_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_477_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_2991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40698_ _40441_/A _40695_/B VGND VGND VPWR VPWR _40698_/X sky130_fd_sc_hd__or2_2
+XFILLER_223_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_618_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_297_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_525_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48013_ _48257_/A _47819_/A VGND VGND VPWR VPWR _53799_/A sky130_fd_sc_hd__or2_2
+XPHY_16562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_726_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_301_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_713_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45225_ _45225_/A VGND VGND VPWR VPWR _45225_/Y sky130_fd_sc_hd__inv_8
+XFILLER_674_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76045_ _76038_/A _76045_/B _65403_/A VGND VGND VPWR VPWR _76045_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_403_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_3077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42437_ _42424_/X _42453_/A VGND VGND VPWR VPWR _42438_/C sky130_fd_sc_hd__nor2_2
+XFILLER_198_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_494_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61271_ _61110_/X _61271_/B _61270_/Y VGND VGND VPWR VPWR _61278_/B sky130_fd_sc_hd__nor3_2
+X_73257_ _72116_/A VGND VGND VPWR VPWR _75058_/A sky130_fd_sc_hd__buf_1
+XFILLER_156_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_297_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_357_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_89_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70469_ _70469_/A VGND VGND VPWR VPWR _70471_/A sky130_fd_sc_hd__buf_1
+XFILLER_534_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_536_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_141_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_3056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63010_ _63010_/A _62856_/B VGND VGND VPWR VPWR _63012_/B sky130_fd_sc_hd__nor2_2
+XFILLER_533_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60222_ _77267_/Q _59573_/B VGND VGND VPWR VPWR _60223_/C sky130_fd_sc_hd__nor2_2
+XPHY_1492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72208_ _72206_/X _72208_/B VGND VGND VPWR VPWR _72208_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_373_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_129_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45156_ _45128_/X _45156_/B _45155_/Y VGND VGND VPWR VPWR _45156_/Y sky130_fd_sc_hd__nor3_2
+XPHY_33240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42368_ _42334_/A _42368_/B VGND VGND VPWR VPWR _42369_/C sky130_fd_sc_hd__nor2_2
+XFILLER_154_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73188_ _73188_/A _73187_/X VGND VGND VPWR VPWR _81247_/D sky130_fd_sc_hd__nand2_2
+XFILLER_144_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_102_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44107_ _44068_/X _44104_/Y _44008_/Y _44106_/Y VGND VGND VPWR VPWR _44108_/B sky130_fd_sc_hd__o22a_4
+XFILLER_668_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79804_ _79775_/CLK _79804_/D VGND VGND VPWR VPWR _66662_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_119_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41319_ _41317_/X _41319_/B VGND VGND VPWR VPWR _79651_/D sky130_fd_sc_hd__nand2_2
+XFILLER_616_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60153_ _59506_/A _60151_/Y _60153_/C VGND VGND VPWR VPWR _60157_/B sky130_fd_sc_hd__nor3_2
+XFILLER_338_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72139_ _71760_/X _72067_/X VGND VGND VPWR VPWR _72140_/B sky130_fd_sc_hd__nor2_2
+XFILLER_333_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_234_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49964_ _49961_/Y _49963_/X VGND VGND VPWR VPWR _78200_/D sky130_fd_sc_hd__nand2_2
+XFILLER_354_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45087_ _45087_/A _45087_/B VGND VGND VPWR VPWR _45087_/Y sky130_fd_sc_hd__nor2_2
+XPHY_32550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42299_ _79002_/Q VGND VGND VPWR VPWR _42299_/Y sky130_fd_sc_hd__inv_8
+XFILLER_722_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77996_ _78002_/CLK _77996_/D VGND VGND VPWR VPWR _50733_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_553_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_668_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_436_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44038_ _44038_/A _44038_/B _44038_/C _43725_/X VGND VGND VPWR VPWR _44287_/B sky130_fd_sc_hd__or4_4
+XFILLER_291_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48915_ _48915_/A _48890_/A VGND VGND VPWR VPWR _48915_/X sky130_fd_sc_hd__or2_2
+XFILLER_531_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79735_ _79750_/CLK _79735_/D VGND VGND VPWR VPWR _65800_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_588_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64961_ _65808_/A VGND VGND VPWR VPWR _65313_/A sky130_fd_sc_hd__buf_1
+X_60084_ _58910_/A VGND VGND VPWR VPWR _60097_/A sky130_fd_sc_hd__buf_1
+XFILLER_521_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76947_ _76947_/CLK _76947_/D VGND VGND VPWR VPWR _60112_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_313_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49895_ _49839_/A _49907_/B VGND VGND VPWR VPWR _49895_/X sky130_fd_sc_hd__or2_2
+XFILLER_45_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_217_1436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_683_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_256_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66700_ _66700_/A VGND VGND VPWR VPWR _66875_/B sky130_fd_sc_hd__buf_1
+XFILLER_586_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63912_ _63912_/A _63364_/X VGND VGND VPWR VPWR _63912_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_253_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_414_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_608_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48846_ _48846_/A _48845_/X VGND VGND VPWR VPWR _48846_/Y sky130_fd_sc_hd__nand2_2
+X_67680_ _67025_/A _67680_/B VGND VGND VPWR VPWR _67681_/C sky130_fd_sc_hd__nor2_2
+X_79666_ _79626_/CLK _79666_/D VGND VGND VPWR VPWR _64954_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_32_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64892_ _80402_/Q _65063_/B VGND VGND VPWR VPWR _64894_/B sky130_fd_sc_hd__nor2_2
+XFILLER_501_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76878_ _77252_/CLK _54959_/Y VGND VGND VPWR VPWR _59418_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_312_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_170_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_140_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_384_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66631_ _65808_/A VGND VGND VPWR VPWR _66634_/A sky130_fd_sc_hd__buf_1
+XFILLER_285_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78617_ _78619_/CLK _78617_/D VGND VGND VPWR VPWR _78617_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_484_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63843_ _63843_/A _59312_/B VGND VGND VPWR VPWR _63843_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_646_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75829_ _76068_/A _75828_/X VGND VGND VPWR VPWR _75829_/X sky130_fd_sc_hd__or2_2
+XFILLER_583_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48777_ _48842_/A _48777_/B VGND VGND VPWR VPWR _48777_/X sky130_fd_sc_hd__or2_2
+XFILLER_724_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79597_ _79568_/CLK _41521_/Y VGND VGND VPWR VPWR _79597_/Q sky130_fd_sc_hd__dfxtp_4
+X_45989_ _41705_/C _46005_/B VGND VGND VPWR VPWR _45989_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_566_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_61_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_78_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_449_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38530_ _38507_/A VGND VGND VPWR VPWR _38539_/B sky130_fd_sc_hd__buf_1
+XFILLER_564_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69350_ _69212_/A _69350_/B _69350_/C VGND VGND VPWR VPWR _69416_/B sky130_fd_sc_hd__nor3_2
+XFILLER_709_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_310_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47728_ _47457_/B VGND VGND VPWR VPWR _48228_/A sky130_fd_sc_hd__buf_1
+XFILLER_681_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66562_ _66562_/A _66562_/B _66562_/C VGND VGND VPWR VPWR _66571_/B sky130_fd_sc_hd__nor3_2
+XFILLER_582_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78548_ _78517_/CLK _48615_/Y VGND VGND VPWR VPWR _63977_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_38_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63774_ _63774_/A _59213_/B VGND VGND VPWR VPWR _63774_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_254_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_113_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60986_ _62852_/A VGND VGND VPWR VPWR _60994_/A sky130_fd_sc_hd__buf_1
+XFILLER_427_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_482_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_662_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68301_ _66655_/A VGND VGND VPWR VPWR _68302_/B sky130_fd_sc_hd__buf_1
+XFILLER_54_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_238_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_282_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65513_ _79925_/Q _64985_/X VGND VGND VPWR VPWR _65513_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_187_1535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_454_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38461_ _38459_/X _38460_/Y VGND VGND VPWR VPWR _80368_/D sky130_fd_sc_hd__nand2_2
+XFILLER_506_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_8_156_0_u_core.clock clkbuf_7_78_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_313_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_62725_ _62725_/A _62259_/B VGND VGND VPWR VPWR _62727_/B sky130_fd_sc_hd__nor2_2
+XFILLER_286_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69281_ _69281_/A _69420_/B VGND VGND VPWR VPWR _69281_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_454_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_640_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47659_ _47388_/B VGND VGND VPWR VPWR _47915_/A sky130_fd_sc_hd__buf_1
+XFILLER_345_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66493_ _66472_/Y _66493_/B VGND VGND VPWR VPWR _66493_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_54_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78479_ _78477_/CLK _48881_/Y VGND VGND VPWR VPWR _63238_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_78_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_507_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_460_3062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_408_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_306_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_246_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_697_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80510_ _80508_/CLK _80510_/D VGND VGND VPWR VPWR _80510_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_462_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_601_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68232_ _80998_/Q _68903_/B VGND VGND VPWR VPWR _68235_/B sky130_fd_sc_hd__nor2_2
+XFILLER_380_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65444_ _65444_/A _64920_/B VGND VGND VPWR VPWR _65445_/C sky130_fd_sc_hd__nor2_2
+XFILLER_206_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38392_ _38392_/A _38400_/B _80387_/Q VGND VGND VPWR VPWR _38392_/Y sky130_fd_sc_hd__nand3_2
+X_50670_ _50667_/X VGND VGND VPWR VPWR _50692_/B sky130_fd_sc_hd__buf_1
+X_62656_ _62656_/A _62813_/B VGND VGND VPWR VPWR _62656_/Y sky130_fd_sc_hd__nor2_2
+X_81490_ _79439_/CLK _81490_/D VGND VGND VPWR VPWR _64963_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_414_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_506_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_81_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_74_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_56_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_0_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61607_ _60826_/A VGND VGND VPWR VPWR _62235_/B sky130_fd_sc_hd__buf_1
+XFILLER_443_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49329_ _49329_/A _49328_/Y VGND VGND VPWR VPWR _78363_/D sky130_fd_sc_hd__nand2_2
+X_80441_ _80408_/CLK _76427_/Y VGND VGND VPWR VPWR _66087_/A sky130_fd_sc_hd__dfxtp_4
+X_68163_ _80293_/Q _67838_/B VGND VGND VPWR VPWR _68166_/B sky130_fd_sc_hd__nor2_2
+XFILLER_147_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65375_ _65375_/A _65375_/B _65375_/C VGND VGND VPWR VPWR _65376_/C sky130_fd_sc_hd__nor3_2
+XFILLER_181_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62587_ _62409_/X _62587_/B _62587_/C VGND VGND VPWR VPWR _62604_/A sky130_fd_sc_hd__nor3_2
+XFILLER_411_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_593_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_709_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_575_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_584_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67114_ _66777_/A _67114_/B VGND VGND VPWR VPWR _67114_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_198_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_263_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64326_ _64594_/A VGND VGND VPWR VPWR _64326_/X sky130_fd_sc_hd__buf_1
+XFILLER_17_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52340_ _60489_/A _52332_/B VGND VGND VPWR VPWR _52343_/A sky130_fd_sc_hd__nand2_2
+XFILLER_557_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_33_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61538_ _77420_/Q _61538_/B VGND VGND VPWR VPWR _61539_/C sky130_fd_sc_hd__nor2_2
+XFILLER_298_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80372_ _80375_/CLK _80372_/D VGND VGND VPWR VPWR _80372_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68094_ _68094_/A _68094_/B _68093_/Y VGND VGND VPWR VPWR _68094_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_108_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_503_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_393_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_11_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_618_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_536_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39013_ _39011_/X _39012_/Y VGND VGND VPWR VPWR _80231_/D sky130_fd_sc_hd__nand2_2
+XFILLER_391_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_402_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_356_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67045_ _80831_/Q _67212_/B VGND VGND VPWR VPWR _67045_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_572_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52271_ _52269_/Y _52270_/X VGND VGND VPWR VPWR _77591_/D sky130_fd_sc_hd__nand2_2
+XFILLER_590_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64257_ _64130_/A VGND VGND VPWR VPWR _64461_/A sky130_fd_sc_hd__buf_1
+XFILLER_367_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_438_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61469_ _53058_/A _61469_/B VGND VGND VPWR VPWR _61469_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_519_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54010_ _77131_/Q _54017_/B VGND VGND VPWR VPWR _54010_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_241_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51222_ _77861_/Q _51222_/B VGND VGND VPWR VPWR _51222_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_89_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63208_ _63190_/X _63208_/B _63207_/Y VGND VGND VPWR VPWR _63230_/A sky130_fd_sc_hd__nor3_2
+XFILLER_222_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_352_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_258_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_85_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64188_ _64464_/A VGND VGND VPWR VPWR _65828_/A sky130_fd_sc_hd__buf_1
+XFILLER_176_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_174_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_571_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_107_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_651_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_351_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51153_ _51153_/A _51152_/X VGND VGND VPWR VPWR _51153_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_497_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63139_ _63462_/A _63135_/Y _63139_/C VGND VGND VPWR VPWR _63139_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_317_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_46_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68996_ _68670_/A _68996_/B _68996_/C VGND VGND VPWR VPWR _68997_/B sky130_fd_sc_hd__nor3_2
+XFILLER_274_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_89_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_612_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50104_ _50104_/A _50116_/B VGND VGND VPWR VPWR _50105_/B sky130_fd_sc_hd__or2_2
+XFILLER_89_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39915_ _40155_/A _39910_/B VGND VGND VPWR VPWR _39917_/A sky130_fd_sc_hd__or2_2
+XPHY_11808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51084_ _51084_/A _51083_/X VGND VGND VPWR VPWR _51084_/Y sky130_fd_sc_hd__nand2_2
+X_55961_ _56097_/A VGND VGND VPWR VPWR _56174_/A sky130_fd_sc_hd__buf_1
+XFILLER_239_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67947_ _64275_/A VGND VGND VPWR VPWR _68603_/B sky130_fd_sc_hd__buf_1
+XPHY_11819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_118_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_432_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_330_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_103_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57700_ _79743_/Q _57617_/X VGND VGND VPWR VPWR _57702_/B sky130_fd_sc_hd__nor2_2
+XFILLER_530_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54912_ _54909_/Y _54912_/B VGND VGND VPWR VPWR _76892_/D sky130_fd_sc_hd__nand2_2
+XFILLER_332_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50035_ _50035_/A _50049_/B VGND VGND VPWR VPWR _50038_/A sky130_fd_sc_hd__nand2_2
+Xclkbuf_9_84_0_u_core.clock clkbuf_9_85_0_u_core.clock/A VGND VGND VPWR VPWR _77438_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_235_1558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_647_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_170_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39846_ _39800_/A VGND VGND VPWR VPWR _39847_/B sky130_fd_sc_hd__buf_1
+XFILLER_350_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58680_ _58342_/X _58663_/Y _58680_/C VGND VGND VPWR VPWR _58680_/X sky130_fd_sc_hd__or3_2
+XFILLER_591_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_389_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55892_ _55904_/A _55892_/B _55891_/Y VGND VGND VPWR VPWR _76641_/D sky130_fd_sc_hd__or3_2
+X_67878_ _75990_/C _67718_/B VGND VGND VPWR VPWR _67878_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_118_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_150_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_44_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57631_ _79935_/Q _57548_/B VGND VGND VPWR VPWR _57632_/C sky130_fd_sc_hd__nor2_2
+XFILLER_664_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69617_ _69617_/A _42087_/A VGND VGND VPWR VPWR _69625_/C sky130_fd_sc_hd__or2_2
+XFILLER_693_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54843_ _76910_/Q _54843_/B VGND VGND VPWR VPWR _54843_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_311_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66829_ _66829_/A _66996_/B VGND VGND VPWR VPWR _66829_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_680_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39777_ _39800_/A VGND VGND VPWR VPWR _39777_/X sky130_fd_sc_hd__buf_1
+XPHY_6404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_102_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_287_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38728_ _38714_/X _38718_/X _80306_/Q VGND VGND VPWR VPWR _38729_/B sky130_fd_sc_hd__nand3_2
+XFILLER_428_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57562_ _57238_/X _57562_/B _57561_/Y VGND VGND VPWR VPWR _57562_/Y sky130_fd_sc_hd__nor3_2
+X_69548_ _39105_/C _69408_/B VGND VGND VPWR VPWR _69548_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_245_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54774_ _76928_/Q _54771_/B VGND VGND VPWR VPWR _54774_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_79_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_641_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51986_ _61151_/A _51989_/B VGND VGND VPWR VPWR _51986_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_367_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59301_ _59468_/A _59301_/B _59301_/C VGND VGND VPWR VPWR _59302_/C sky130_fd_sc_hd__nor3_2
+XFILLER_99_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56513_ _56434_/A _56507_/Y _56512_/Y VGND VGND VPWR VPWR _56513_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_246_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_187_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53725_ _59537_/A _53728_/B VGND VGND VPWR VPWR _53725_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_445_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38659_ _38659_/A VGND VGND VPWR VPWR _38670_/A sky130_fd_sc_hd__buf_1
+X_50937_ _50937_/A VGND VGND VPWR VPWR _50949_/B sky130_fd_sc_hd__buf_1
+XFILLER_721_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57493_ _57412_/A _57493_/B _57492_/Y VGND VGND VPWR VPWR _57493_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_461_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69479_ _64733_/A _69475_/Y _69478_/Y VGND VGND VPWR VPWR _69479_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_29_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_246_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_543_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59232_ _62238_/A VGND VGND VPWR VPWR _63887_/B sky130_fd_sc_hd__buf_1
+X_71510_ _71510_/A VGND VGND VPWR VPWR _71670_/A sky130_fd_sc_hd__buf_1
+XFILLER_147_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_207_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_57_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56444_ _39727_/C _56764_/B VGND VGND VPWR VPWR _56444_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_421_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80708_ _80736_/CLK _75347_/Y VGND VGND VPWR VPWR _67864_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41670_ _76835_/Q _55186_/A _41670_/C _41670_/D VGND VGND VPWR VPWR _41670_/X sky130_fd_sc_hd__or4_2
+X_53656_ _53656_/A _53663_/B VGND VGND VPWR VPWR _53658_/A sky130_fd_sc_hd__nand2_2
+XFILLER_55_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72490_ _72467_/A VGND VGND VPWR VPWR _72564_/A sky130_fd_sc_hd__buf_1
+XFILLER_44_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50868_ _61982_/A _50876_/B VGND VGND VPWR VPWR _50871_/A sky130_fd_sc_hd__nand2_2
+XFILLER_610_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_71_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_363_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52607_ _52640_/B VGND VGND VPWR VPWR _52607_/X sky130_fd_sc_hd__buf_1
+X_40621_ _40619_/X _40621_/B VGND VGND VPWR VPWR _79828_/D sky130_fd_sc_hd__nand2_2
+XFILLER_548_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59163_ _59163_/A VGND VGND VPWR VPWR _60836_/A sky130_fd_sc_hd__buf_1
+X_71441_ _71441_/A VGND VGND VPWR VPWR _71442_/A sky130_fd_sc_hd__inv_8
+XFILLER_13_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_129_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80639_ _80637_/CLK _75649_/Y VGND VGND VPWR VPWR _75648_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_26315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56375_ _56375_/A _56375_/B _56374_/Y VGND VGND VPWR VPWR _56375_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_341_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_595_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53587_ _53596_/A VGND VGND VPWR VPWR _53595_/B sky130_fd_sc_hd__buf_1
+XFILLER_402_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50799_ _50799_/A _50799_/B VGND VGND VPWR VPWR _77978_/D sky130_fd_sc_hd__nand2_2
+XFILLER_90_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_701_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58114_ _57872_/A _58114_/B _58114_/C VGND VGND VPWR VPWR _58124_/A sky130_fd_sc_hd__nor3_2
+XFILLER_322_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43340_ _43266_/X VGND VGND VPWR VPWR _43354_/B sky130_fd_sc_hd__buf_1
+XFILLER_636_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55326_ _55466_/A VGND VGND VPWR VPWR _55432_/A sky130_fd_sc_hd__buf_1
+XFILLER_160_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74160_ _74180_/A VGND VGND VPWR VPWR _74161_/A sky130_fd_sc_hd__buf_1
+XFILLER_9_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40552_ _40552_/A VGND VGND VPWR VPWR _40565_/B sky130_fd_sc_hd__buf_1
+XPHY_156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52538_ _52566_/A _52544_/B VGND VGND VPWR VPWR _52539_/B sky130_fd_sc_hd__or2_2
+X_59094_ _59094_/A VGND VGND VPWR VPWR _59374_/B sky130_fd_sc_hd__buf_1
+XPHY_26359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71372_ _71372_/A VGND VGND VPWR VPWR _74038_/A sky130_fd_sc_hd__inv_8
+XFILLER_636_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_2686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_188_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_13_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_476_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_298_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73111_ _73258_/B VGND VGND VPWR VPWR _73112_/B sky130_fd_sc_hd__buf_1
+XFILLER_300_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_691_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_224_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58045_ _58045_/A _58045_/B _58045_/C VGND VGND VPWR VPWR _58045_/Y sky130_fd_sc_hd__nor3_2
+X_70323_ _70323_/A _70323_/B VGND VGND VPWR VPWR _70323_/X sky130_fd_sc_hd__or2_2
+XFILLER_142_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_300_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43271_ _43263_/A _43268_/B _58405_/A VGND VGND VPWR VPWR _43271_/Y sky130_fd_sc_hd__nand3_2
+X_55257_ _45060_/A _55312_/A VGND VGND VPWR VPWR _55257_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_138_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74091_ _74231_/A _74085_/X VGND VGND VPWR VPWR _74091_/X sky130_fd_sc_hd__or2_2
+XPHY_15135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40483_ _73227_/A VGND VGND VPWR VPWR _40611_/A sky130_fd_sc_hd__buf_1
+X_52469_ _52767_/A VGND VGND VPWR VPWR _52560_/A sky130_fd_sc_hd__buf_1
+XPHY_25669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_652_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_12_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45010_ _45002_/X _45009_/X VGND VGND VPWR VPWR _45010_/X sky130_fd_sc_hd__and2_2
+XPHY_24946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54208_ _54088_/A _54205_/B VGND VGND VPWR VPWR _54209_/B sky130_fd_sc_hd__or2_2
+XPHY_15168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42222_ _45142_/A _42194_/X VGND VGND VPWR VPWR _42222_/Y sky130_fd_sc_hd__nor2_2
+X_73042_ _73078_/A VGND VGND VPWR VPWR _73056_/A sky130_fd_sc_hd__buf_1
+XFILLER_519_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70254_ _70254_/A VGND VGND VPWR VPWR _71276_/A sky130_fd_sc_hd__buf_1
+XPHY_14434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55188_ _70537_/X _55191_/A VGND VGND VPWR VPWR _55188_/Y sky130_fd_sc_hd__nor2_2
+XPHY_13700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_153_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_90 _60728_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_494_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_2997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42153_ _42117_/A VGND VGND VPWR VPWR _42154_/B sky130_fd_sc_hd__buf_1
+XPHY_14478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54139_ _54139_/A _54146_/B VGND VGND VPWR VPWR _54141_/A sky130_fd_sc_hd__nand2_2
+XFILLER_193_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77850_ _77840_/CLK _51294_/Y VGND VGND VPWR VPWR _77850_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_31101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70185_ _70226_/A _70184_/X VGND VGND VPWR VPWR _70185_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_418_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59996_ _54230_/A _59686_/B VGND VGND VPWR VPWR _59997_/C sky130_fd_sc_hd__nor2_2
+XFILLER_342_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41104_ _41127_/A VGND VGND VPWR VPWR _41123_/A sky130_fd_sc_hd__buf_1
+XPHY_31134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76801_ _76798_/CLK _55563_/Y VGND VGND VPWR VPWR _76801_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_13777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58947_ _58946_/X VGND VGND VPWR VPWR _59479_/A sky130_fd_sc_hd__buf_1
+XFILLER_10_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46961_ _46969_/A _46961_/B VGND VGND VPWR VPWR _46961_/Y sky130_fd_sc_hd__nor2_2
+X_42084_ _42084_/A _42095_/B VGND VGND VPWR VPWR _42085_/B sky130_fd_sc_hd__nor2_2
+XPHY_13788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77781_ _77295_/CLK _51553_/Y VGND VGND VPWR VPWR _60549_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_171_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74993_ _74993_/A _74992_/X VGND VGND VPWR VPWR _74993_/Y sky130_fd_sc_hd__nand2_2
+XPHY_13799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_669_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_27_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48700_ _78525_/Q _48682_/B VGND VGND VPWR VPWR _48700_/Y sky130_fd_sc_hd__nand2_2
+X_79520_ _79122_/CLK _79520_/D VGND VGND VPWR VPWR _70439_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_530_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41035_ _41127_/A VGND VGND VPWR VPWR _41036_/A sky130_fd_sc_hd__buf_1
+X_45912_ _45912_/A _45912_/B VGND VGND VPWR VPWR _45914_/B sky130_fd_sc_hd__nor2_2
+XFILLER_140_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76732_ _78860_/CLK _76732_/D VGND VGND VPWR VPWR _45307_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_313_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49680_ _49705_/B VGND VGND VPWR VPWR _49680_/X sky130_fd_sc_hd__buf_1
+XFILLER_251_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73944_ _73944_/A _73951_/B VGND VGND VPWR VPWR _73944_/X sky130_fd_sc_hd__or2_2
+XFILLER_450_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_114_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46892_ _46846_/A VGND VGND VPWR VPWR _46892_/X sky130_fd_sc_hd__buf_1
+XFILLER_511_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58878_ _47424_/A VGND VGND VPWR VPWR _58879_/A sky130_fd_sc_hd__buf_1
+XFILLER_468_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_322_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_81_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_4_2_1_u_core.clock clkbuf_4_2_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_5_5_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_583_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_97_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48631_ _48721_/A _48627_/B VGND VGND VPWR VPWR _48631_/X sky130_fd_sc_hd__or2_2
+XFILLER_548_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79451_ _78951_/CLK _79451_/D VGND VGND VPWR VPWR _46170_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_121_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45843_ _45780_/Y _45791_/X VGND VGND VPWR VPWR _45847_/A sky130_fd_sc_hd__nor2_2
+XFILLER_212_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57829_ _67455_/A _57828_/X VGND VGND VPWR VPWR _57830_/C sky130_fd_sc_hd__nor2_2
+XFILLER_628_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76663_ _76657_/CLK _76663_/D VGND VGND VPWR VPWR _70370_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_483_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73875_ _73871_/X _73875_/B VGND VGND VPWR VPWR _73875_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_231_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_310_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_62_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78402_ _78840_/CLK _78402_/D VGND VGND VPWR VPWR _78402_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_114_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75614_ _75612_/X _75613_/Y VGND VGND VPWR VPWR _75614_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_463_2913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_60840_ _60840_/A _60688_/B VGND VGND VPWR VPWR _60840_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_561_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72826_ _72335_/A _72820_/B VGND VGND VPWR VPWR _72826_/X sky130_fd_sc_hd__or2_2
+XFILLER_3_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48562_ _48470_/X _48568_/B VGND VGND VPWR VPWR _48562_/X sky130_fd_sc_hd__or2_2
+XFILLER_5_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79382_ _79347_/CLK _79382_/D VGND VGND VPWR VPWR _57452_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_485_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_47_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45774_ _70618_/Y _43537_/C VGND VGND VPWR VPWR _45775_/C sky130_fd_sc_hd__nor2_2
+XPHY_39420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_62_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_4_5_0_u_core.clock clkbuf_4_4_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_4_5_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_548_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76594_ VGND VGND VPWR VPWR _76594_/HI la_data_out[90] sky130_fd_sc_hd__conb_1
+X_42986_ _42986_/A _42978_/X _65149_/A VGND VGND VPWR VPWR _42986_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_254_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_110_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47513_ _47838_/A VGND VGND VPWR VPWR _47644_/A sky130_fd_sc_hd__buf_1
+XFILLER_381_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_212_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78333_ _78338_/CLK _78333_/D VGND VGND VPWR VPWR _61699_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_6971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_110_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44725_ _44382_/A _44725_/B VGND VGND VPWR VPWR _44725_/X sky130_fd_sc_hd__and2_2
+XFILLER_444_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75545_ _75545_/A _75545_/B VGND VGND VPWR VPWR _80663_/D sky130_fd_sc_hd__nand2_2
+XFILLER_424_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41937_ _41922_/Y _41937_/B VGND VGND VPWR VPWR _41937_/X sky130_fd_sc_hd__or2_2
+XPHY_39464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48493_ _48516_/B VGND VGND VPWR VPWR _48494_/B sky130_fd_sc_hd__buf_1
+X_60771_ _60771_/A _60628_/B VGND VGND VPWR VPWR _60771_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_565_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72757_ _72757_/A _72756_/Y VGND VGND VPWR VPWR _81347_/D sky130_fd_sc_hd__nand2_2
+XFILLER_291_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_264_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_130_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_450_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_448_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_40_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_543_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_425_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_362_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62510_ _51178_/A _62344_/X VGND VGND VPWR VPWR _62510_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_90_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_679_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47444_ _47444_/A _47443_/X VGND VGND VPWR VPWR _47444_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_444_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_46_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71708_ _71702_/X _71708_/B _71708_/C _71708_/D VGND VGND VPWR VPWR _71708_/X sky130_fd_sc_hd__or4_2
+X_78264_ _78276_/CLK _78264_/D VGND VGND VPWR VPWR _78264_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_38763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44656_ _44862_/A VGND VGND VPWR VPWR _65378_/A sky130_fd_sc_hd__inv_8
+XFILLER_520_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63490_ _63337_/A _63490_/B _63489_/Y VGND VGND VPWR VPWR _63490_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_225_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75476_ _75988_/A _75481_/B VGND VGND VPWR VPWR _75476_/X sky130_fd_sc_hd__or2_2
+XFILLER_526_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41868_ _41868_/A VGND VGND VPWR VPWR _41868_/X sky130_fd_sc_hd__buf_1
+XPHY_38774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72688_ _72810_/A _72688_/B VGND VGND VPWR VPWR _72688_/X sky130_fd_sc_hd__or2_2
+XPHY_28251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_412_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_73_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_657_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77215_ _77214_/CLK _53699_/Y VGND VGND VPWR VPWR _77215_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_405_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_585_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43607_ _42658_/B _43607_/B VGND VGND VPWR VPWR _43607_/Y sky130_fd_sc_hd__nor2_2
+X_62441_ _59688_/A VGND VGND VPWR VPWR _62446_/A sky130_fd_sc_hd__buf_1
+X_74427_ _74540_/B VGND VGND VPWR VPWR _74428_/B sky130_fd_sc_hd__buf_1
+XFILLER_641_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_284_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40819_ _40801_/A VGND VGND VPWR VPWR _40823_/B sky130_fd_sc_hd__buf_1
+XPHY_28284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71639_ _71670_/A _42948_/A VGND VGND VPWR VPWR _71639_/X sky130_fd_sc_hd__or2_2
+XFILLER_160_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47375_ _63522_/A _47365_/B VGND VGND VPWR VPWR _47375_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_594_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78195_ _78189_/CLK _78195_/D VGND VGND VPWR VPWR _78195_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_340_3077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44587_ _44572_/A _44580_/Y _44586_/Y VGND VGND VPWR VPWR _44587_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_305_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41799_ _79080_/Q VGND VGND VPWR VPWR _41799_/Y sky130_fd_sc_hd__inv_8
+XFILLER_320_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49114_ _49114_/A _49114_/B VGND VGND VPWR VPWR _49115_/B sky130_fd_sc_hd__or2_2
+XFILLER_242_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46326_ _46326_/A VGND VGND VPWR VPWR _46327_/B sky130_fd_sc_hd__inv_8
+XFILLER_676_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65160_ _64816_/A _65159_/Y VGND VGND VPWR VPWR _65198_/B sky130_fd_sc_hd__nor2_2
+XFILLER_397_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77146_ _77719_/CLK _77146_/D VGND VGND VPWR VPWR _60043_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_657_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43538_ _42428_/D _43538_/B VGND VGND VPWR VPWR _43538_/Y sky130_fd_sc_hd__nand2_2
+X_62372_ _62372_/A _61756_/B VGND VGND VPWR VPWR _62372_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_400_2930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74358_ _74371_/A _74371_/B _74358_/C VGND VGND VPWR VPWR _74359_/B sky130_fd_sc_hd__nand3_2
+XFILLER_602_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_529_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64111_ _43976_/B _64859_/B VGND VGND VPWR VPWR _64116_/B sky130_fd_sc_hd__nor2_2
+XFILLER_337_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_344_0_u_core.clock clkbuf_9_345_0_u_core.clock/A VGND VGND VPWR VPWR _78129_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_494_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49045_ _63945_/A _49052_/B VGND VGND VPWR VPWR _49048_/A sky130_fd_sc_hd__nand2_2
+X_61323_ _51684_/A _61323_/B VGND VGND VPWR VPWR _61326_/B sky130_fd_sc_hd__nor2_2
+XPHY_26882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73309_ _73307_/Y _73308_/X VGND VGND VPWR VPWR _73309_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_635_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65091_ _65091_/A _64920_/B VGND VGND VPWR VPWR _65092_/C sky130_fd_sc_hd__nor2_2
+X_46257_ _41749_/Y _46240_/B VGND VGND VPWR VPWR _46258_/B sky130_fd_sc_hd__nor2_2
+XFILLER_526_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77077_ _77093_/CLK _77077_/D VGND VGND VPWR VPWR _59043_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_176_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_201_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43469_ _71981_/B _43478_/B VGND VGND VPWR VPWR _43471_/A sky130_fd_sc_hd__or2_2
+XFILLER_297_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74289_ _74287_/X _74289_/B VGND VGND VPWR VPWR _74289_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_392_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45208_ _45052_/A VGND VGND VPWR VPWR _45216_/A sky130_fd_sc_hd__buf_1
+X_76028_ _76025_/X _76027_/Y VGND VGND VPWR VPWR _80538_/D sky130_fd_sc_hd__nand2_2
+X_64042_ _64042_/A _59728_/B VGND VGND VPWR VPWR _64042_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_34_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_8_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61254_ _61254_/A _61254_/B VGND VGND VPWR VPWR _61254_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_50_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46188_ _46108_/D _46104_/Y _46188_/C _46188_/D VGND VGND VPWR VPWR _46189_/C sky130_fd_sc_hd__nor4_2
+XFILLER_670_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_190_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60205_ _60205_/A _60361_/B VGND VGND VPWR VPWR _60205_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_318_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_507_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_479_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45139_ _45133_/X _45139_/B _45139_/C VGND VGND VPWR VPWR _45139_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_138_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68850_ _68849_/Y _68680_/B VGND VGND VPWR VPWR _68850_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_271_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_531_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61185_ _61032_/A _61182_/Y _61184_/Y VGND VGND VPWR VPWR _61185_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_332_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_432_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67801_ _67971_/A _67799_/Y _67801_/C VGND VGND VPWR VPWR _67801_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_332_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_334_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60136_ _59964_/A _60136_/B _60135_/Y VGND VGND VPWR VPWR _60137_/B sky130_fd_sc_hd__nor3_2
+XFILLER_373_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_588_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49947_ _49947_/A _49947_/B VGND VGND VPWR VPWR _78204_/D sky130_fd_sc_hd__nand2_2
+XFILLER_373_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68781_ _68777_/X _68778_/Y _68781_/C VGND VGND VPWR VPWR _68782_/C sky130_fd_sc_hd__nor3_2
+XFILLER_361_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_550_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65993_ _65993_/A _65993_/B _65992_/Y VGND VGND VPWR VPWR _65994_/C sky130_fd_sc_hd__nor3_2
+XFILLER_451_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77979_ _77987_/CLK _77979_/D VGND VGND VPWR VPWR _62612_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_649_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_80_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39700_ _39700_/A _39699_/Y VGND VGND VPWR VPWR _80056_/D sky130_fd_sc_hd__nand2_2
+XFILLER_298_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_629_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67732_ _66908_/A VGND VGND VPWR VPWR _67733_/B sky130_fd_sc_hd__buf_1
+XFILLER_386_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79718_ _79686_/CLK _41046_/Y VGND VGND VPWR VPWR _58257_/A sky130_fd_sc_hd__dfxtp_4
+X_60067_ _77274_/Q _59905_/B VGND VGND VPWR VPWR _60069_/B sky130_fd_sc_hd__nor2_2
+X_64944_ _56646_/A _64944_/B VGND VGND VPWR VPWR _64944_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_531_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49878_ _61907_/A _49860_/B VGND VGND VPWR VPWR _49878_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_45_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80990_ _80929_/CLK _74222_/Y VGND VGND VPWR VPWR _80990_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_664_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_607_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39631_ _39628_/X _39630_/Y VGND VGND VPWR VPWR _80075_/D sky130_fd_sc_hd__nand2_2
+XFILLER_681_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48829_ _48828_/X VGND VGND VPWR VPWR _48830_/B sky130_fd_sc_hd__buf_1
+XFILLER_351_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67663_ _57886_/A _67333_/B VGND VGND VPWR VPWR _67663_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_668_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79649_ _79584_/CLK _41327_/Y VGND VGND VPWR VPWR _67448_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_635_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64875_ _66550_/A VGND VGND VPWR VPWR _65043_/B sky130_fd_sc_hd__buf_1
+XFILLER_705_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_681_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_273_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69402_ _80173_/Q _68827_/B VGND VGND VPWR VPWR _69403_/C sky130_fd_sc_hd__nor2_2
+XFILLER_449_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66614_ _57452_/A _66613_/X VGND VGND VPWR VPWR _66617_/B sky130_fd_sc_hd__nor2_2
+XFILLER_711_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_488_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51840_ _60979_/A _51840_/B VGND VGND VPWR VPWR _51842_/A sky130_fd_sc_hd__nand2_2
+X_39562_ _39559_/A _39559_/B _80093_/Q VGND VGND VPWR VPWR _39563_/B sky130_fd_sc_hd__nand3_2
+XFILLER_367_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63826_ _47765_/A _59104_/B VGND VGND VPWR VPWR _63828_/B sky130_fd_sc_hd__nor2_2
+XFILLER_80_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67594_ _81346_/Q _67106_/X VGND VGND VPWR VPWR _67596_/B sky130_fd_sc_hd__nor2_2
+XFILLER_39_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_509_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_607_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_61_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38513_ _38518_/A _38509_/B _68052_/A VGND VGND VPWR VPWR _38514_/B sky130_fd_sc_hd__nand3_2
+XFILLER_227_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69333_ _73391_/C _64487_/B VGND VGND VPWR VPWR _69333_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_3_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66545_ _74758_/C _66710_/B VGND VGND VPWR VPWR _66546_/C sky130_fd_sc_hd__nor2_2
+XFILLER_582_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_269_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_96_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51771_ _51708_/A _51789_/B VGND VGND VPWR VPWR _51771_/X sky130_fd_sc_hd__or2_2
+X_39493_ _38344_/A _38620_/A VGND VGND VPWR VPWR _39507_/A sky130_fd_sc_hd__or2_2
+XPHY_4309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63757_ _78634_/Q _63757_/B VGND VGND VPWR VPWR _63758_/C sky130_fd_sc_hd__nor2_2
+XFILLER_364_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60969_ _77712_/Q _60804_/B VGND VGND VPWR VPWR _60971_/B sky130_fd_sc_hd__nor2_2
+XFILLER_187_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_74_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_187_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_403_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_443_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53510_ _53510_/A _53514_/B VGND VGND VPWR VPWR _53510_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_228_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_701_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_579_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50722_ _50722_/A _50722_/B VGND VGND VPWR VPWR _50722_/Y sky130_fd_sc_hd__nand2_2
+X_38444_ _38441_/X _38443_/Y VGND VGND VPWR VPWR _80373_/D sky130_fd_sc_hd__nand2_2
+X_62708_ _62242_/A _62706_/Y _62708_/C VGND VGND VPWR VPWR _62708_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_415_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69264_ _80172_/Q _68827_/B VGND VGND VPWR VPWR _69265_/C sky130_fd_sc_hd__nor2_2
+XFILLER_447_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66476_ _65808_/X _66474_/Y _66475_/Y VGND VGND VPWR VPWR _66476_/Y sky130_fd_sc_hd__nor3_2
+X_54490_ _54490_/A VGND VGND VPWR VPWR _54505_/B sky130_fd_sc_hd__buf_1
+XFILLER_364_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_26_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_427_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_408_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63688_ _78602_/Q _63819_/B VGND VGND VPWR VPWR _63688_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_380_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_620_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_408_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_35_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68215_ _67734_/A _68215_/B _68215_/C VGND VGND VPWR VPWR _68216_/C sky130_fd_sc_hd__nor3_2
+XFILLER_577_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_675_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53441_ _53441_/A _53441_/B VGND VGND VPWR VPWR _53441_/X sky130_fd_sc_hd__or2_2
+XFILLER_198_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65427_ _81045_/Q _65074_/B VGND VGND VPWR VPWR _65429_/B sky130_fd_sc_hd__nor2_2
+XFILLER_401_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38375_ _38373_/X _38374_/Y VGND VGND VPWR VPWR _38375_/Y sky130_fd_sc_hd__nand2_2
+X_50653_ _50653_/A _50652_/X VGND VGND VPWR VPWR _50653_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_368_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62639_ _62478_/X _62639_/B _62638_/Y VGND VGND VPWR VPWR _62643_/B sky130_fd_sc_hd__nor3_2
+XFILLER_443_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81473_ _81468_/CLK _72236_/Y VGND VGND VPWR VPWR _67455_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_404_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69195_ _73394_/C _64487_/B VGND VGND VPWR VPWR _69197_/B sky130_fd_sc_hd__nor2_2
+XFILLER_91_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_5_29_0_u_core.clock clkbuf_5_29_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_6_59_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_462_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_585_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_405_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_479_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_368_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56160_ _56172_/A _56160_/B VGND VGND VPWR VPWR _56161_/B sky130_fd_sc_hd__nor2_2
+X_80424_ _80384_/CLK _80424_/D VGND VGND VPWR VPWR _38253_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_599_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68146_ _67650_/A _68144_/Y _68146_/C VGND VGND VPWR VPWR _68147_/C sky130_fd_sc_hd__nor3_2
+XFILLER_50_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53372_ _53372_/A _53372_/B VGND VGND VPWR VPWR _53372_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_202_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65358_ _65692_/A _65356_/Y _65357_/Y VGND VGND VPWR VPWR _65358_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_52_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50584_ _62813_/A _50584_/B VGND VGND VPWR VPWR _50587_/A sky130_fd_sc_hd__nand2_2
+XFILLER_195_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_22_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_378_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_368_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_323_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55111_ _55111_/A VGND VGND VPWR VPWR _55121_/A sky130_fd_sc_hd__buf_1
+XFILLER_716_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52323_ _52319_/Y _52323_/B VGND VGND VPWR VPWR _77578_/D sky130_fd_sc_hd__nand2_2
+X_64309_ _64309_/A VGND VGND VPWR VPWR _67172_/A sky130_fd_sc_hd__buf_1
+XPHY_24209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_178_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_194_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_202_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_675_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80355_ _80393_/CLK _38524_/Y VGND VGND VPWR VPWR _67726_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68077_ _68229_/A _68077_/B _68077_/C VGND VGND VPWR VPWR _68081_/B sky130_fd_sc_hd__nor3_2
+XFILLER_276_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56091_ _56091_/A _44937_/B VGND VGND VPWR VPWR _56096_/B sky130_fd_sc_hd__nor2_2
+XFILLER_395_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_339_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65289_ _65289_/A _65288_/Y VGND VGND VPWR VPWR _65289_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_397_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_143_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55042_ _54986_/A _55030_/B VGND VGND VPWR VPWR _55043_/B sky130_fd_sc_hd__or2_2
+XFILLER_699_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67028_ _67028_/A _66864_/Y _67028_/C _67027_/Y VGND VGND VPWR VPWR _76700_/D sky130_fd_sc_hd__or4_2
+XFILLER_653_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_3073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52254_ _52156_/A _52275_/B VGND VGND VPWR VPWR _52255_/B sky130_fd_sc_hd__or2_2
+XFILLER_276_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_551_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80286_ _80289_/CLK _80286_/D VGND VGND VPWR VPWR _38805_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_525_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51205_ _51205_/A _51205_/B VGND VGND VPWR VPWR _77867_/D sky130_fd_sc_hd__nand2_2
+XFILLER_336_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59850_ _59850_/A _60332_/B VGND VGND VPWR VPWR _59850_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_219_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52185_ _51271_/A VGND VGND VPWR VPWR _52784_/A sky130_fd_sc_hd__buf_1
+XFILLER_571_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_712_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_238_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_293_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1620 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_172_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58801_ _58801_/A _56346_/B VGND VGND VPWR VPWR _58803_/B sky130_fd_sc_hd__nor2_2
+XPHY_12328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51136_ _51052_/A _51123_/X VGND VGND VPWR VPWR _51136_/X sky130_fd_sc_hd__or2_2
+XFILLER_172_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59781_ _76969_/Q _60107_/B VGND VGND VPWR VPWR _59783_/B sky130_fd_sc_hd__nor2_2
+XFILLER_85_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_159_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56993_ _65844_/A _56837_/X VGND VGND VPWR VPWR _56995_/B sky130_fd_sc_hd__nor2_2
+XFILLER_274_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68979_ _68641_/X _68975_/Y _68978_/Y VGND VGND VPWR VPWR _68979_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_296_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_330_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_666_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_62_0_u_core.clock clkbuf_6_63_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_6_62_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_510_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_137_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_450_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58732_ _58732_/A _58665_/B VGND VGND VPWR VPWR _58733_/C sky130_fd_sc_hd__nor2_2
+XFILLER_352_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_3035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55944_ _42063_/C _55943_/Y VGND VGND VPWR VPWR _55944_/Y sky130_fd_sc_hd__nor2_2
+X_51067_ _51083_/B VGND VGND VPWR VPWR _51080_/B sky130_fd_sc_hd__buf_1
+XFILLER_287_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_669_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71990_ _76792_/Q VGND VGND VPWR VPWR _71991_/A sky130_fd_sc_hd__inv_8
+XFILLER_153_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_85_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_137_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_277_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_330_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_647_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_627_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50018_ _78185_/Q _50007_/B VGND VGND VPWR VPWR _50018_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_107_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_114_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39829_ _39816_/A _39816_/B _80027_/Q VGND VGND VPWR VPWR _39829_/Y sky130_fd_sc_hd__nand3_2
+X_58663_ _58663_/A _58662_/Y VGND VGND VPWR VPWR _58663_/Y sky130_fd_sc_hd__nor2_2
+X_70941_ _70670_/X VGND VGND VPWR VPWR _71839_/B sky130_fd_sc_hd__inv_8
+XPHY_10948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55875_ _76684_/Q VGND VGND VPWR VPWR _55919_/B sky130_fd_sc_hd__inv_8
+XFILLER_320_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_252_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57614_ _66962_/A _57613_/X VGND VGND VPWR VPWR _57614_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_481_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42840_ _42839_/X _42832_/B VGND VGND VPWR VPWR _42844_/B sky130_fd_sc_hd__nor2_2
+XFILLER_508_3065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54826_ _54826_/A _54831_/B VGND VGND VPWR VPWR _54830_/A sky130_fd_sc_hd__nand2_2
+X_73660_ _73660_/A _73659_/Y VGND VGND VPWR VPWR _73660_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_264_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_29_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_582_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58594_ _58435_/X _58594_/B _58593_/Y VGND VGND VPWR VPWR _58594_/Y sky130_fd_sc_hd__nor3_2
+X_70872_ _71198_/A _70255_/X _71181_/A _70871_/Y VGND VGND VPWR VPWR _70873_/C sky130_fd_sc_hd__nor4_2
+XFILLER_22_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_79_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_131_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_184_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_480_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_385_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72611_ _72188_/A _72608_/B VGND VGND VPWR VPWR _72615_/A sky130_fd_sc_hd__or2_2
+XFILLER_382_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57545_ _57545_/A VGND VGND VPWR VPWR _57708_/B sky130_fd_sc_hd__buf_1
+XPHY_38015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_625_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42771_ _42747_/X _70729_/X _70726_/X _42748_/X VGND VGND VPWR VPWR _42771_/X sky130_fd_sc_hd__o22a_4
+XFILLER_543_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_521_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54757_ _54757_/A _54756_/X VGND VGND VPWR VPWR _54757_/Y sky130_fd_sc_hd__nand2_2
+X_73591_ _73955_/A _73588_/B VGND VGND VPWR VPWR _73591_/X sky130_fd_sc_hd__or2_2
+XFILLER_641_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51969_ _51969_/A _51948_/B VGND VGND VPWR VPWR _51969_/Y sky130_fd_sc_hd__nand2_2
+XPHY_5544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_426_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_270_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_504_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44510_ _44536_/A _44508_/Y _44510_/C VGND VGND VPWR VPWR _44511_/C sky130_fd_sc_hd__nor3_2
+XFILLER_324_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75330_ _75210_/A _75317_/X VGND VGND VPWR VPWR _75330_/X sky130_fd_sc_hd__or2_2
+XFILLER_658_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53708_ _77212_/Q _53719_/B VGND VGND VPWR VPWR _53708_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_445_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_272_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41722_ _45195_/B VGND VGND VPWR VPWR _45166_/B sky130_fd_sc_hd__buf_1
+XFILLER_109_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72542_ _72542_/A _72542_/B _81403_/Q VGND VGND VPWR VPWR _72542_/Y sky130_fd_sc_hd__nand3_2
+XPHY_4832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45490_ _45475_/A _45490_/B VGND VGND VPWR VPWR _45490_/Y sky130_fd_sc_hd__nor2_2
+XPHY_37325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57476_ _57718_/A _57474_/Y _57475_/Y VGND VGND VPWR VPWR _57476_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_45_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54688_ _54804_/A _54684_/B VGND VGND VPWR VPWR _54688_/X sky130_fd_sc_hd__or2_2
+XFILLER_622_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_701_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59215_ _63952_/A VGND VGND VPWR VPWR _59753_/A sky130_fd_sc_hd__buf_1
+XFILLER_57_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44441_ _44441_/A _44491_/B VGND VGND VPWR VPWR _44447_/B sky130_fd_sc_hd__nor2_2
+XPHY_4876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56427_ _56247_/X VGND VGND VPWR VPWR _56752_/B sky130_fd_sc_hd__buf_1
+XFILLER_207_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75261_ _75243_/A VGND VGND VPWR VPWR _75265_/B sky130_fd_sc_hd__buf_1
+XFILLER_73_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_598_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41653_ wbs_cyc_i VGND VGND VPWR VPWR _41663_/B sky130_fd_sc_hd__inv_8
+XFILLER_109_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53639_ _53639_/A VGND VGND VPWR VPWR _53698_/A sky130_fd_sc_hd__buf_1
+XPHY_37369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72473_ _72473_/A _72472_/Y VGND VGND VPWR VPWR _72473_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_363_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_309_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_92_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_349_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77000_ _76941_/CLK _77000_/D VGND VGND VPWR VPWR _77000_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_548_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_38_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74212_ _74210_/X _74212_/B VGND VGND VPWR VPWR _74212_/Y sky130_fd_sc_hd__nand2_2
+XPHY_36657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40604_ _40604_/A _40589_/X VGND VGND VPWR VPWR _40604_/X sky130_fd_sc_hd__or2_2
+XFILLER_496_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47160_ _47120_/X VGND VGND VPWR VPWR _47160_/Y sky130_fd_sc_hd__inv_8
+XFILLER_658_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59146_ _61009_/A VGND VGND VPWR VPWR _59722_/A sky130_fd_sc_hd__buf_1
+XFILLER_261_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71424_ _70349_/Y _71423_/Y VGND VGND VPWR VPWR _71428_/B sky130_fd_sc_hd__nor2_2
+XFILLER_671_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56358_ _64324_/A _56358_/B VGND VGND VPWR VPWR _56358_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_344_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44372_ _44330_/Y _44419_/B _44372_/C _44371_/X VGND VGND VPWR VPWR _44372_/X sky130_fd_sc_hd__or4_2
+X_75192_ _75192_/A _75192_/B VGND VGND VPWR VPWR _75192_/X sky130_fd_sc_hd__or2_2
+XPHY_26145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_16_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41584_ _41528_/X VGND VGND VPWR VPWR _41598_/A sky130_fd_sc_hd__buf_1
+XPHY_36679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_616_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_7_42_0_u_core.clock clkbuf_7_43_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_85_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_35945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_107_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_537_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46111_ _46109_/X _46110_/X VGND VGND VPWR VPWR _46134_/A sky130_fd_sc_hd__nand2_2
+XFILLER_359_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55309_ _55457_/A VGND VGND VPWR VPWR _55356_/A sky130_fd_sc_hd__buf_1
+X_43323_ _43333_/A _43337_/B _79380_/Q VGND VGND VPWR VPWR _43323_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_632_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74143_ _74168_/A VGND VGND VPWR VPWR _74165_/A sky130_fd_sc_hd__buf_1
+XFILLER_103_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40535_ _40527_/A _40527_/B _69115_/A VGND VGND VPWR VPWR _40536_/B sky130_fd_sc_hd__nand3_2
+XFILLER_302_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47091_ _72048_/A _46038_/B VGND VGND VPWR VPWR _47091_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_718_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59077_ _59077_/A VGND VGND VPWR VPWR _59243_/A sky130_fd_sc_hd__buf_1
+XFILLER_70_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71355_ _71355_/A _71355_/B VGND VGND VPWR VPWR _71355_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_591_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56289_ _39730_/C _56289_/B VGND VGND VPWR VPWR _56289_/Y sky130_fd_sc_hd__nor2_2
+XPHY_25455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_7_2_0_u_core.clock clkbuf_7_3_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_4_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_576_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46042_ _46024_/X _46042_/B VGND VGND VPWR VPWR _46043_/B sky130_fd_sc_hd__and2_2
+XFILLER_200_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58028_ _56251_/X VGND VGND VPWR VPWR _58184_/A sky130_fd_sc_hd__buf_1
+X_70306_ _70306_/A VGND VGND VPWR VPWR _70306_/Y sky130_fd_sc_hd__inv_8
+XFILLER_494_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43254_ _42999_/A _43248_/B _58701_/A VGND VGND VPWR VPWR _43254_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_392_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74074_ _74071_/X _74073_/Y VGND VGND VPWR VPWR _81023_/D sky130_fd_sc_hd__nand2_2
+XFILLER_335_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78951_ _78951_/CLK _46804_/Y VGND VGND VPWR VPWR _43149_/A sky130_fd_sc_hd__dfxtp_4
+X_40466_ _40396_/A VGND VGND VPWR VPWR _40466_/X sky130_fd_sc_hd__buf_1
+XFILLER_86_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_374_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71286_ _71283_/X _71284_/Y _71285_/X _70252_/A VGND VGND VPWR VPWR _71286_/X sky130_fd_sc_hd__o22a_4
+XFILLER_138_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_275_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42205_ wbs_dat_i[30] VGND VGND VPWR VPWR _42205_/Y sky130_fd_sc_hd__inv_8
+XFILLER_12_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73025_ _73024_/X _73010_/B _68089_/A VGND VGND VPWR VPWR _73027_/A sky130_fd_sc_hd__nand3_2
+X_77902_ _77888_/CLK _51081_/Y VGND VGND VPWR VPWR _51079_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_24787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70237_ _70236_/Y _70196_/X _70223_/A _70171_/X VGND VGND VPWR VPWR _70237_/X sky130_fd_sc_hd__o22a_4
+XFILLER_491_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43185_ _43185_/A VGND VGND VPWR VPWR _46173_/B sky130_fd_sc_hd__inv_8
+XFILLER_357_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78882_ _78951_/CLK _78882_/D VGND VGND VPWR VPWR _46440_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_5_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40397_ _40377_/A _40415_/B _68815_/A VGND VGND VPWR VPWR _40398_/B sky130_fd_sc_hd__nand3_2
+XFILLER_257_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_1479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_294_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_237_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_450_3061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49801_ _49920_/A _49820_/B VGND VGND VPWR VPWR _49802_/B sky130_fd_sc_hd__or2_2
+XFILLER_472_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_138_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42136_ _79516_/Q _42139_/B VGND VGND VPWR VPWR _42138_/A sky130_fd_sc_hd__nor2_2
+XFILLER_236_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77833_ _77840_/CLK _51353_/Y VGND VGND VPWR VPWR _61076_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_233_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70168_ _70168_/A VGND VGND VPWR VPWR _70168_/X sky130_fd_sc_hd__buf_1
+X_47993_ _47838_/A VGND VGND VPWR VPWR _48028_/A sky130_fd_sc_hd__buf_1
+XFILLER_390_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_190_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59979_ _59029_/A VGND VGND VPWR VPWR _59980_/B sky130_fd_sc_hd__buf_1
+XFILLER_335_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49732_ _61787_/A _49732_/B VGND VGND VPWR VPWR _49734_/A sky130_fd_sc_hd__nand2_2
+XPHY_30230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46944_ _46919_/A _46944_/B VGND VGND VPWR VPWR _78929_/D sky130_fd_sc_hd__nor2_2
+X_42067_ _70106_/A _42053_/X VGND VGND VPWR VPWR _42067_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77764_ _77762_/CLK _77764_/D VGND VGND VPWR VPWR _51620_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_253_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_64_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_42_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62990_ _48573_/A _62835_/X VGND VGND VPWR VPWR _62992_/B sky130_fd_sc_hd__nor2_2
+X_74976_ _74984_/A _74973_/B _68197_/A VGND VGND VPWR VPWR _74979_/A sky130_fd_sc_hd__nand3_2
+XFILLER_333_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70099_ _70303_/A _70099_/B VGND VGND VPWR VPWR _70100_/A sky130_fd_sc_hd__or2_2
+XFILLER_646_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79503_ _79500_/CLK _79503_/D VGND VGND VPWR VPWR _79503_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_95_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41018_ _41018_/A VGND VGND VPWR VPWR _41019_/B sky130_fd_sc_hd__buf_1
+XFILLER_268_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76715_ _79183_/CLK _76715_/D VGND VGND VPWR VPWR _69941_/A sky130_fd_sc_hd__dfxtp_4
+X_61941_ _59141_/A VGND VGND VPWR VPWR _61941_/X sky130_fd_sc_hd__buf_1
+XFILLER_7_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73927_ _73917_/A _73917_/B _67246_/A VGND VGND VPWR VPWR _73928_/B sky130_fd_sc_hd__nand3_2
+X_49663_ _78280_/Q _49644_/X VGND VGND VPWR VPWR _49663_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_617_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46875_ _46836_/A VGND VGND VPWR VPWR _46875_/X sky130_fd_sc_hd__buf_1
+X_77695_ _77193_/CLK _51879_/Y VGND VGND VPWR VPWR _51876_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_95_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_134_0_u_core.clock clkbuf_8_67_0_u_core.clock/X VGND VGND VPWR VPWR _78301_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_661_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_544_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48614_ _48708_/A _48614_/B VGND VGND VPWR VPWR _48614_/X sky130_fd_sc_hd__or2_2
+XPHY_8192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79434_ _79431_/CLK _42921_/Y VGND VGND VPWR VPWR _58159_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_368_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_646_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45826_ _45826_/A _45826_/B _45813_/C VGND VGND VPWR VPWR _45826_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_231_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_645_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64660_ _66655_/A VGND VGND VPWR VPWR _64660_/X sky130_fd_sc_hd__buf_1
+XFILLER_580_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76646_ _79353_/CLK _56573_/Y VGND VGND VPWR VPWR _76646_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_282_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_725_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61872_ _61551_/A _61868_/Y _61872_/C VGND VGND VPWR VPWR _61872_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_149_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49594_ _62582_/A _49587_/B VGND VGND VPWR VPWR _49594_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_251_1190 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73858_ _73858_/A _73857_/Y VGND VGND VPWR VPWR _81074_/D sky130_fd_sc_hd__nand2_2
+XFILLER_62_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_22_0_u_core.clock clkbuf_8_22_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_45_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_509_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_663_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63611_ _47837_/A _63873_/B VGND VGND VPWR VPWR _63612_/C sky130_fd_sc_hd__nor2_2
+XFILLER_97_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_229_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_342_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_243_0_u_core.clock clkbuf_7_121_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_487_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_60823_ _60199_/A _60812_/Y _60823_/C VGND VGND VPWR VPWR _60844_/A sky130_fd_sc_hd__nor3_2
+XFILLER_286_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48545_ _48486_/X _48529_/A VGND VGND VPWR VPWR _48546_/B sky130_fd_sc_hd__or2_2
+X_72809_ _72806_/X _72809_/B VGND VGND VPWR VPWR _72809_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_660_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79365_ _79368_/CLK _43380_/Y VGND VGND VPWR VPWR _69074_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_188_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45757_ _70574_/X VGND VGND VPWR VPWR _45757_/Y sky130_fd_sc_hd__inv_8
+XPHY_39250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64591_ _64591_/A VGND VGND VPWR VPWR _66906_/A sky130_fd_sc_hd__buf_1
+XFILLER_329_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76577_ VGND VGND VPWR VPWR _76577_/HI la_data_out[73] sky130_fd_sc_hd__conb_1
+XFILLER_184_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42969_ _42967_/X _42969_/B VGND VGND VPWR VPWR _42969_/Y sky130_fd_sc_hd__nand2_2
+X_73789_ _73668_/A _73782_/B VGND VGND VPWR VPWR _73792_/A sky130_fd_sc_hd__or2_2
+XFILLER_212_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_2776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66330_ _66160_/A _66321_/Y _66330_/C VGND VGND VPWR VPWR _66331_/B sky130_fd_sc_hd__nor3_2
+XFILLER_422_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78316_ _79160_/CLK _78316_/D VGND VGND VPWR VPWR _49531_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_425_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44708_ _79204_/Q _44708_/B VGND VGND VPWR VPWR _44708_/Y sky130_fd_sc_hd__nor2_2
+X_75528_ _75502_/A VGND VGND VPWR VPWR _75528_/X sky130_fd_sc_hd__buf_1
+XFILLER_409_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63542_ _78465_/Q _59263_/A VGND VGND VPWR VPWR _63544_/B sky130_fd_sc_hd__nor2_2
+XFILLER_248_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60754_ _60754_/A _60452_/B VGND VGND VPWR VPWR _60754_/Y sky130_fd_sc_hd__nor2_2
+X_48476_ _48476_/A _48475_/X VGND VGND VPWR VPWR _48476_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_504_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79296_ _79236_/CLK _43790_/Y VGND VGND VPWR VPWR _43769_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_35_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45688_ _45674_/X _45688_/B VGND VGND VPWR VPWR _45689_/B sky130_fd_sc_hd__nor2_2
+XPHY_38560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_184_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_405_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_75_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_719_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_224_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47427_ _47427_/A VGND VGND VPWR VPWR _47435_/B sky130_fd_sc_hd__buf_1
+XFILLER_620_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66261_ _74623_/C _66260_/X VGND VGND VPWR VPWR _66261_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_342_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78247_ _78766_/CLK _78247_/D VGND VGND VPWR VPWR _78247_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_90_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44639_ _44639_/A VGND VGND VPWR VPWR _44707_/B sky130_fd_sc_hd__buf_1
+XFILLER_225_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_659_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63473_ _63154_/A _63473_/B _63473_/C VGND VGND VPWR VPWR _63474_/C sky130_fd_sc_hd__nor3_2
+XPHY_28070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75459_ _75482_/A VGND VGND VPWR VPWR _75478_/A sky130_fd_sc_hd__buf_1
+XFILLER_299_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60685_ _62247_/A VGND VGND VPWR VPWR _60999_/B sky130_fd_sc_hd__buf_1
+XPHY_28081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68000_ _67664_/A _67997_/Y _67999_/Y VGND VGND VPWR VPWR _68000_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_379_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65212_ _64248_/A VGND VGND VPWR VPWR _65376_/A sky130_fd_sc_hd__buf_1
+XFILLER_53_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62424_ _62424_/A _62114_/B VGND VGND VPWR VPWR _62425_/C sky130_fd_sc_hd__nor2_2
+XFILLER_144_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66192_ _80281_/Q _66362_/B VGND VGND VPWR VPWR _66192_/Y sky130_fd_sc_hd__nor2_2
+X_47358_ _47289_/B _47417_/A VGND VGND VPWR VPWR _53193_/A sky130_fd_sc_hd__or2_2
+XFILLER_574_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78178_ _78176_/CLK _50045_/Y VGND VGND VPWR VPWR _78178_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_232_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_538_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_140_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_127_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46309_ _43241_/A _46697_/B VGND VGND VPWR VPWR _46311_/B sky130_fd_sc_hd__nor2_2
+XFILLER_195_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65143_ _80947_/Q _65314_/B VGND VGND VPWR VPWR _65147_/B sky130_fd_sc_hd__nor2_2
+X_77129_ _77141_/CLK _77129_/D VGND VGND VPWR VPWR _54017_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_457_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62355_ _50502_/A _62055_/B VGND VGND VPWR VPWR _62355_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_457_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47289_ _47857_/A _47289_/B VGND VGND VPWR VPWR _53139_/A sky130_fd_sc_hd__or2_2
+XFILLER_359_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_104_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_297_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_533_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61306_ _60994_/A _61306_/B _61305_/Y VGND VGND VPWR VPWR _61306_/Y sky130_fd_sc_hd__nor3_2
+X_49028_ _48999_/A _49024_/X VGND VGND VPWR VPWR _49029_/B sky130_fd_sc_hd__or2_2
+X_80140_ _80178_/CLK _39387_/Y VGND VGND VPWR VPWR _39386_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_533_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_537_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65074_ _81043_/Q _65074_/B VGND VGND VPWR VPWR _65076_/B sky130_fd_sc_hd__nor2_2
+X_69951_ _69951_/A VGND VGND VPWR VPWR _70771_/B sky130_fd_sc_hd__buf_1
+XFILLER_554_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_258_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62286_ _61667_/A _62277_/Y _62285_/Y VGND VGND VPWR VPWR _62286_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_556_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_541_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_199_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_717_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68902_ _68902_/A _68902_/B _68901_/Y VGND VGND VPWR VPWR _68906_/B sky130_fd_sc_hd__nor3_2
+X_64025_ _48106_/A _59241_/X VGND VGND VPWR VPWR _64027_/B sky130_fd_sc_hd__nor2_2
+XFILLER_353_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_713_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61237_ _60758_/A _61235_/Y _61237_/C VGND VGND VPWR VPWR _61238_/C sky130_fd_sc_hd__nor3_2
+XFILLER_706_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80071_ _80099_/CLK _39645_/Y VGND VGND VPWR VPWR _58286_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_435_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_631_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_455_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69882_ _71385_/A _69882_/B VGND VGND VPWR VPWR _69882_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_219_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_236_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_537_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_69_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_173_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_67_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68833_ _68833_/A _68833_/B _68833_/C VGND VGND VPWR VPWR _68837_/B sky130_fd_sc_hd__nor3_2
+XFILLER_321_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_255_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61168_ _51539_/A _61483_/B VGND VGND VPWR VPWR _61168_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_138_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38993_ _38991_/X _38992_/Y VGND VGND VPWR VPWR _80236_/D sky130_fd_sc_hd__nand2_2
+XFILLER_318_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_293_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_710_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_467_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_425_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_318_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_510_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60119_ _59306_/A VGND VGND VPWR VPWR _60122_/A sky130_fd_sc_hd__buf_1
+XFILLER_448_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68764_ _65467_/X VGND VGND VPWR VPWR _69353_/A sky130_fd_sc_hd__buf_1
+X_53990_ _53929_/X _53987_/B VGND VGND VPWR VPWR _53990_/X sky130_fd_sc_hd__or2_2
+X_65976_ _72285_/C _66145_/B VGND VGND VPWR VPWR _65976_/Y sky130_fd_sc_hd__nor2_2
+X_61099_ _61099_/A _61099_/B _61099_/C VGND VGND VPWR VPWR _61099_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_64_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_466_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_115_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_511_2799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_609_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_724_3133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67715_ _67375_/A _67713_/Y _67714_/Y VGND VGND VPWR VPWR _67715_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_258_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52941_ _52966_/B VGND VGND VPWR VPWR _52941_/X sky130_fd_sc_hd__buf_1
+X_64927_ _64927_/A _69312_/B VGND VGND VPWR VPWR _64927_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_301_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68695_ _75085_/C _68195_/B VGND VGND VPWR VPWR _68695_/Y sky130_fd_sc_hd__nor2_2
+X_80973_ _80969_/CLK _80973_/D VGND VGND VPWR VPWR _80973_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_274_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39614_ _39636_/A VGND VGND VPWR VPWR _39623_/B sky130_fd_sc_hd__buf_1
+XFILLER_441_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_707_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55660_ _55646_/A _55680_/A _55660_/C VGND VGND VPWR VPWR _55660_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_347_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67646_ _80034_/Q _67475_/B VGND VGND VPWR VPWR _67647_/C sky130_fd_sc_hd__nor2_2
+XFILLER_101_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52872_ _60445_/A _52866_/B VGND VGND VPWR VPWR _52872_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_490_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64858_ _43967_/A VGND VGND VPWR VPWR _64859_/A sky130_fd_sc_hd__inv_8
+XFILLER_218_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_648_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_167_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_660_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54611_ _76972_/Q _54615_/B VGND VGND VPWR VPWR _54611_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_626_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51823_ _51972_/A VGND VGND VPWR VPWR _51916_/B sky130_fd_sc_hd__buf_1
+X_39545_ _39508_/A VGND VGND VPWR VPWR _39558_/B sky130_fd_sc_hd__buf_1
+XPHY_19209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63809_ _63809_/A _63809_/B _63809_/C VGND VGND VPWR VPWR _63809_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_255_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67577_ _67577_/A _67577_/B _67577_/C VGND VGND VPWR VPWR _67578_/C sky130_fd_sc_hd__nor3_2
+XFILLER_27_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55591_ _55588_/Y _55591_/B _55561_/C VGND VGND VPWR VPWR _55591_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_642_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64789_ _56564_/A _64283_/B VGND VGND VPWR VPWR _64791_/B sky130_fd_sc_hd__nor2_2
+XFILLER_97_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_442_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_360_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_623_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_509_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_262_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69316_ _69316_/A _69316_/B VGND VGND VPWR VPWR _69317_/B sky130_fd_sc_hd__nor2_2
+X_57330_ _58301_/A VGND VGND VPWR VPWR _57566_/B sky130_fd_sc_hd__buf_1
+XFILLER_76_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_702_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54542_ _54542_/A VGND VGND VPWR VPWR _54658_/A sky130_fd_sc_hd__buf_1
+XFILLER_540_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66528_ _66528_/A _66494_/Y _66528_/C VGND VGND VPWR VPWR _66528_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_505_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51754_ _51754_/A _51754_/B VGND VGND VPWR VPWR _51754_/Y sky130_fd_sc_hd__nand2_2
+X_39476_ _39474_/X _39476_/B VGND VGND VPWR VPWR _80116_/D sky130_fd_sc_hd__nand2_2
+XPHY_4139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_416_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_306_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_241_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_388_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_462_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_208_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_328_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38427_ _38307_/A _38420_/B VGND VGND VPWR VPWR _38429_/A sky130_fd_sc_hd__or2_2
+XFILLER_364_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50705_ _50702_/Y _50705_/B VGND VGND VPWR VPWR _78003_/D sky130_fd_sc_hd__nand2_2
+XFILLER_694_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57261_ _80218_/Q _57261_/B VGND VGND VPWR VPWR _57263_/B sky130_fd_sc_hd__nor2_2
+X_69247_ _69385_/A _69245_/Y _69246_/Y VGND VGND VPWR VPWR _69247_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_52_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_427_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54473_ _59801_/A _54476_/B VGND VGND VPWR VPWR _54473_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_364_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_2901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_42_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66459_ _66295_/X _66459_/B _66459_/C VGND VGND VPWR VPWR _66459_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_522_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51685_ _51675_/X VGND VGND VPWR VPWR _51685_/X sky130_fd_sc_hd__buf_1
+XPHY_2704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59000_ _47490_/A VGND VGND VPWR VPWR _59000_/X sky130_fd_sc_hd__buf_1
+XFILLER_677_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56212_ _56616_/A VGND VGND VPWR VPWR _56416_/A sky130_fd_sc_hd__buf_1
+XPHY_2726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_369_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53424_ _53454_/A _53421_/B VGND VGND VPWR VPWR _53425_/B sky130_fd_sc_hd__or2_2
+XFILLER_260_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_93_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_225_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_17_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38358_ _38415_/A VGND VGND VPWR VPWR _38373_/B sky130_fd_sc_hd__buf_1
+XFILLER_323_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50636_ _50664_/A _50610_/X VGND VGND VPWR VPWR _50637_/B sky130_fd_sc_hd__or2_2
+XFILLER_518_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57192_ _75788_/C _57282_/B VGND VGND VPWR VPWR _57192_/Y sky130_fd_sc_hd__nor2_2
+X_81456_ _81468_/CLK _72332_/Y VGND VGND VPWR VPWR _64634_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_223_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_655_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69178_ _69178_/A _69178_/B VGND VGND VPWR VPWR _69179_/B sky130_fd_sc_hd__nor2_2
+XFILLER_397_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_380_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_368_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_104_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_585_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80407_ _80408_/CLK _80407_/D VGND VGND VPWR VPWR _38315_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_545_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56143_ _56129_/A _56143_/B VGND VGND VPWR VPWR _56143_/Y sky130_fd_sc_hd__nor2_2
+X_68129_ _58159_/A _68285_/B VGND VGND VPWR VPWR _68129_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_224_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_694_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53355_ _53441_/A _53370_/B VGND VGND VPWR VPWR _53355_/X sky130_fd_sc_hd__or2_2
+XFILLER_358_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38289_ _76287_/A _38289_/B VGND VGND VPWR VPWR _38289_/X sky130_fd_sc_hd__or2_2
+XFILLER_495_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50567_ _50865_/A VGND VGND VPWR VPWR _50655_/A sky130_fd_sc_hd__buf_1
+XFILLER_475_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81387_ _81454_/CLK _72607_/Y VGND VGND VPWR VPWR _69066_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_421_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_358_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40320_ _40317_/X _40319_/Y VGND VGND VPWR VPWR _40320_/Y sky130_fd_sc_hd__nand2_2
+X_52306_ _52192_/A _52306_/B VGND VGND VPWR VPWR _52307_/B sky130_fd_sc_hd__or2_2
+XFILLER_295_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71140_ _71138_/X _71140_/B VGND VGND VPWR VPWR _81515_/D sky130_fd_sc_hd__nand2_2
+XFILLER_87_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_178_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56074_ _56096_/A _56074_/B _56074_/C VGND VGND VPWR VPWR wbs_dat_o[14] sky130_fd_sc_hd__nor3_2
+X_80338_ _80370_/CLK _38602_/Y VGND VGND VPWR VPWR _64889_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_491_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53286_ _53200_/A _53298_/B VGND VGND VPWR VPWR _53286_/X sky130_fd_sc_hd__or2_2
+XFILLER_466_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50498_ _62521_/A _50491_/B VGND VGND VPWR VPWR _50498_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_148_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_516_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59902_ _59902_/A _59902_/B VGND VGND VPWR VPWR _59902_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_453_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55025_ _76859_/Q _55020_/X VGND VGND VPWR VPWR _55025_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_721_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40251_ _40354_/B VGND VGND VPWR VPWR _40251_/X sky130_fd_sc_hd__buf_1
+XFILLER_688_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52237_ _52237_/A _52237_/B VGND VGND VPWR VPWR _77601_/D sky130_fd_sc_hd__nand2_2
+XFILLER_276_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71071_ _70119_/X _71071_/B VGND VGND VPWR VPWR _71071_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_434_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_434_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80269_ _80238_/CLK _80269_/D VGND VGND VPWR VPWR _80269_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_659_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_713_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_614_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70022_ _69976_/Y _70021_/X VGND VGND VPWR VPWR _70022_/X sky130_fd_sc_hd__or2_2
+XFILLER_272_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59833_ _77025_/Q _59833_/B VGND VGND VPWR VPWR _59834_/C sky130_fd_sc_hd__nor2_2
+XFILLER_191_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_497_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40182_ _40182_/A _40181_/Y VGND VGND VPWR VPWR _79937_/D sky130_fd_sc_hd__nand2_2
+XFILLER_332_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_571_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52168_ _52163_/Y _52167_/X VGND VGND VPWR VPWR _77618_/D sky130_fd_sc_hd__nand2_2
+XPHY_21914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_415_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_330_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_85_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_498_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_2_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51119_ _62726_/A _51119_/B VGND VGND VPWR VPWR _51119_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_2_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74830_ _74828_/X _74829_/Y VGND VGND VPWR VPWR _80845_/D sky130_fd_sc_hd__nand2_2
+XPHY_12169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59764_ _77320_/Q _59764_/B VGND VGND VPWR VPWR _59767_/B sky130_fd_sc_hd__nor2_2
+XPHY_11435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_78_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44990_ _41846_/A _45166_/B VGND VGND VPWR VPWR _44994_/A sky130_fd_sc_hd__or2_2
+XFILLER_628_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52099_ _61453_/A _52102_/B VGND VGND VPWR VPWR _52101_/A sky130_fd_sc_hd__nand2_2
+XFILLER_387_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56976_ _56729_/A _56976_/B _56975_/Y VGND VGND VPWR VPWR _56976_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_89_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_10701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_46_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58715_ _79981_/Q _56400_/X VGND VGND VPWR VPWR _58715_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_310_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43941_ _43941_/A _43941_/B _43940_/Y VGND VGND VPWR VPWR _43941_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_365_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_215_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55927_ _55904_/A _55927_/B _46053_/C _55927_/D VGND VGND VPWR VPWR _55927_/X sky130_fd_sc_hd__or4_2
+XPHY_10734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74761_ _75256_/A _74761_/B VGND VGND VPWR VPWR _74761_/X sky130_fd_sc_hd__or2_2
+XFILLER_115_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59695_ _59695_/A _59695_/B _59694_/Y VGND VGND VPWR VPWR _59696_/B sky130_fd_sc_hd__nor3_2
+X_71973_ _71428_/A _71972_/Y VGND VGND VPWR VPWR _71973_/X sky130_fd_sc_hd__or2_2
+XPHY_10745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_438_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_77_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_270_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76500_ VGND VGND VPWR VPWR _76500_/HI io_out[30] sky130_fd_sc_hd__conb_1
+XFILLER_369_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_63_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73712_ _73955_/A _73712_/B VGND VGND VPWR VPWR _73714_/A sky130_fd_sc_hd__or2_2
+XFILLER_454_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46660_ io_out[26] _46659_/Y VGND VGND VPWR VPWR _46667_/B sky130_fd_sc_hd__nor2_2
+XFILLER_133_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58646_ _56614_/A _58646_/B _58645_/Y VGND VGND VPWR VPWR _58646_/X sky130_fd_sc_hd__or3_2
+X_70924_ _71795_/A VGND VGND VPWR VPWR _71785_/A sky130_fd_sc_hd__inv_8
+XFILLER_480_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77480_ _77444_/CLK _77480_/D VGND VGND VPWR VPWR _60896_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43872_ _43872_/A _73109_/B VGND VGND VPWR VPWR _43875_/A sky130_fd_sc_hd__nor2_2
+XPHY_6020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55858_ _55855_/A _55858_/B VGND VGND VPWR VPWR _76640_/D sky130_fd_sc_hd__nor2_2
+XFILLER_625_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74692_ _74698_/A _74692_/B _68862_/A VGND VGND VPWR VPWR _74692_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_584_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_438_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_369_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_608_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_4_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45611_ _42688_/A _45596_/B VGND VGND VPWR VPWR _45613_/B sky130_fd_sc_hd__nor2_2
+XFILLER_640_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76431_ _76428_/X _76430_/Y VGND VGND VPWR VPWR _80440_/D sky130_fd_sc_hd__nand2_2
+XFILLER_608_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54809_ _76918_/Q _54806_/B VGND VGND VPWR VPWR _54811_/A sky130_fd_sc_hd__nand2_2
+X_42823_ _79452_/Q VGND VGND VPWR VPWR _43171_/A sky130_fd_sc_hd__buf_1
+XFILLER_642_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73643_ _73643_/A _73655_/B VGND VGND VPWR VPWR _73643_/X sky130_fd_sc_hd__or2_2
+XFILLER_482_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46591_ _78995_/Q _46581_/B VGND VGND VPWR VPWR _46591_/Y sky130_fd_sc_hd__nor2_2
+X_70855_ _70925_/B VGND VGND VPWR VPWR _70855_/Y sky130_fd_sc_hd__inv_8
+XFILLER_150_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58577_ _58569_/Y _58576_/Y VGND VGND VPWR VPWR _58577_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_79_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_3076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_660_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55789_ _76775_/Q _55792_/B VGND VGND VPWR VPWR _55789_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48330_ _48330_/A VGND VGND VPWR VPWR _48420_/A sky130_fd_sc_hd__buf_1
+XFILLER_40_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_233_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79150_ _78317_/CLK _45488_/Y VGND VGND VPWR VPWR _42087_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_59_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45542_ _45537_/X VGND VGND VPWR VPWR _45542_/X sky130_fd_sc_hd__buf_1
+XPHY_37100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57528_ _66779_/A _57527_/X VGND VGND VPWR VPWR _57529_/C sky130_fd_sc_hd__nor2_2
+X_76362_ _76362_/A _76373_/B VGND VGND VPWR VPWR _76364_/A sky130_fd_sc_hd__or2_2
+XPHY_19743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42754_ _65379_/A _42741_/X VGND VGND VPWR VPWR _42757_/B sky130_fd_sc_hd__nor2_2
+XFILLER_695_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73574_ _73538_/A VGND VGND VPWR VPWR _73589_/A sky130_fd_sc_hd__buf_1
+XFILLER_17_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70786_ _69668_/X _69904_/A _69890_/X _70785_/X VGND VGND VPWR VPWR _70786_/X sky130_fd_sc_hd__o22a_4
+XFILLER_248_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_520 _65021_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_217_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_531 _66859_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78101_ _78092_/CLK _78101_/D VGND VGND VPWR VPWR _78101_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_346_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75313_ _75192_/A _75313_/B VGND VGND VPWR VPWR _75313_/X sky130_fd_sc_hd__or2_2
+XFILLER_426_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_542 _68182_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_18_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41705_ _79052_/Q _47276_/A _41705_/C _41705_/D VGND VGND VPWR VPWR _41958_/C sky130_fd_sc_hd__or4_2
+XPHY_37144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48261_ _48258_/X VGND VGND VPWR VPWR _48283_/B sky130_fd_sc_hd__buf_1
+XPHY_4662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72525_ _72525_/A _72524_/Y VGND VGND VPWR VPWR _81408_/D sky130_fd_sc_hd__nand2_2
+XFILLER_72_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79081_ _79051_/CLK _79081_/D VGND VGND VPWR VPWR _79081_/Q sky130_fd_sc_hd__dfxtp_4
+XANTENNA_553 _44982_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45473_ _44970_/A VGND VGND VPWR VPWR _45477_/A sky130_fd_sc_hd__buf_1
+X_57459_ _66622_/A _57220_/X VGND VGND VPWR VPWR _57459_/Y sky130_fd_sc_hd__nor2_2
+XPHY_37155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76293_ _76275_/A VGND VGND VPWR VPWR _76294_/B sky130_fd_sc_hd__buf_1
+XPHY_4673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42685_ _42461_/A VGND VGND VPWR VPWR _42685_/X sky130_fd_sc_hd__buf_1
+XFILLER_226_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_701_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_6_8_0_u_core.clock ANTENNA_555/DIODE VGND VGND VPWR VPWR clkbuf_6_8_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_70_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47212_ _47208_/B _47211_/Y _47200_/C VGND VGND VPWR VPWR _78883_/D sky130_fd_sc_hd__nor3_2
+XFILLER_226_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78032_ _78048_/CLK _78032_/D VGND VGND VPWR VPWR _62192_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44424_ _44424_/A _44508_/C _44424_/C VGND VGND VPWR VPWR _44426_/B sky130_fd_sc_hd__nor3_2
+XFILLER_302_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75244_ _75247_/A _75247_/B _75244_/C VGND VGND VPWR VPWR _75245_/B sky130_fd_sc_hd__nand3_2
+XFILLER_458_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_556_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41636_ _41861_/A VGND VGND VPWR VPWR _47232_/A sky130_fd_sc_hd__buf_1
+XFILLER_148_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48192_ _48192_/A _48192_/B VGND VGND VPWR VPWR _78655_/D sky130_fd_sc_hd__nand2_2
+X_60470_ _60470_/A _59833_/B VGND VGND VPWR VPWR _60470_/Y sky130_fd_sc_hd__nor2_2
+XPHY_3972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72456_ _72820_/A _72453_/B VGND VGND VPWR VPWR _72456_/X sky130_fd_sc_hd__or2_2
+XFILLER_654_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_57_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_13_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_431_0_u_core.clock clkbuf_9_431_0_u_core.clock/A VGND VGND VPWR VPWR _80123_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_298_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47143_ _47143_/A _47149_/B _47143_/C VGND VGND VPWR VPWR _78905_/D sky130_fd_sc_hd__nor3_2
+XFILLER_671_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59129_ _59092_/X _59129_/B _59129_/C VGND VGND VPWR VPWR _59178_/A sky130_fd_sc_hd__nor3_2
+X_71407_ _71376_/A _71376_/B _67962_/A VGND VGND VPWR VPWR _71408_/B sky130_fd_sc_hd__nand3_2
+XFILLER_158_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_509_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_496_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44355_ _79212_/Q VGND VGND VPWR VPWR _44360_/A sky130_fd_sc_hd__inv_8
+XFILLER_582_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_341_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75175_ _74811_/A _75172_/B VGND VGND VPWR VPWR _75175_/X sky130_fd_sc_hd__or2_2
+XFILLER_419_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41567_ _41566_/X _41559_/B _57847_/A VGND VGND VPWR VPWR _41567_/Y sky130_fd_sc_hd__nand3_2
+XPHY_35764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72387_ _72217_/A _72394_/B VGND VGND VPWR VPWR _72390_/A sky130_fd_sc_hd__or2_2
+XPHY_25241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43306_ _43306_/A _43306_/B VGND VGND VPWR VPWR _79385_/D sky130_fd_sc_hd__nand2_2
+X_62140_ _62140_/A _62140_/B VGND VGND VPWR VPWR _62142_/B sky130_fd_sc_hd__nor2_2
+XFILLER_537_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74126_ _74792_/A VGND VGND VPWR VPWR _74127_/A sky130_fd_sc_hd__buf_1
+XPHY_35797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40518_ _39887_/A VGND VGND VPWR VPWR _40883_/A sky130_fd_sc_hd__buf_1
+XFILLER_278_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71338_ _71489_/A VGND VGND VPWR VPWR _71399_/A sky130_fd_sc_hd__buf_1
+X_47074_ _47074_/A _47074_/B VGND VGND VPWR VPWR _47075_/B sky130_fd_sc_hd__and2_2
+XFILLER_690_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44286_ _73246_/A _44239_/B VGND VGND VPWR VPWR _44291_/A sky130_fd_sc_hd__nor2_2
+XFILLER_259_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79983_ _79921_/CLK _79983_/D VGND VGND VPWR VPWR _64348_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_376_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_619_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41498_ _41496_/X _41498_/B VGND VGND VPWR VPWR _41498_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_70_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_122_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_550_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_573_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46025_ _76642_/Q _55912_/A VGND VGND VPWR VPWR _46026_/A sky130_fd_sc_hd__or2_2
+XFILLER_556_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43237_ _79402_/Q _43215_/A VGND VGND VPWR VPWR _43239_/B sky130_fd_sc_hd__nor2_2
+XFILLER_220_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62071_ _62071_/A VGND VGND VPWR VPWR _62078_/A sky130_fd_sc_hd__buf_1
+XFILLER_526_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74057_ _74055_/X _74056_/Y VGND VGND VPWR VPWR _81026_/D sky130_fd_sc_hd__nand2_2
+XFILLER_309_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78934_ _78935_/CLK _78934_/D VGND VGND VPWR VPWR _71272_/A sky130_fd_sc_hd__dfxtp_4
+X_40449_ _40449_/A _40443_/B _67001_/A VGND VGND VPWR VPWR _40449_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_452_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71269_ _70223_/A _69562_/A VGND VGND VPWR VPWR _71274_/B sky130_fd_sc_hd__nor2_2
+XFILLER_551_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_294_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_299_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_182_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_515_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61022_ _77800_/Q _61171_/B VGND VGND VPWR VPWR _61023_/C sky130_fd_sc_hd__nor2_2
+XFILLER_259_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73008_ _72987_/A VGND VGND VPWR VPWR _73082_/A sky130_fd_sc_hd__buf_1
+XFILLER_652_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_350_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43168_ _45250_/A _46163_/B VGND VGND VPWR VPWR _43168_/X sky130_fd_sc_hd__or2_2
+XFILLER_135_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78865_ _78561_/CLK _47330_/Y VGND VGND VPWR VPWR _63657_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_294_1588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65830_ _65335_/A _65830_/B _65830_/C VGND VGND VPWR VPWR _65837_/B sky130_fd_sc_hd__nor3_2
+XFILLER_272_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42119_ _70407_/A _42099_/B VGND VGND VPWR VPWR _42119_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_720_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77816_ _77814_/CLK _77816_/D VGND VGND VPWR VPWR _77816_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_116_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47976_ _48014_/A _49941_/A VGND VGND VPWR VPWR _47976_/X sky130_fd_sc_hd__or2_2
+X_43099_ _43098_/Y _78959_/Q VGND VGND VPWR VPWR _43100_/B sky130_fd_sc_hd__or2_2
+XFILLER_606_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78796_ _77891_/CLK _47635_/Y VGND VGND VPWR VPWR _64040_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_448_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_692_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_141_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49715_ _49715_/A _49715_/B VGND VGND VPWR VPWR _49715_/Y sky130_fd_sc_hd__nand2_2
+XPHY_30060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_149_0_u_core.clock clkbuf_7_74_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_299_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_46_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46927_ _46927_/A _46927_/B VGND VGND VPWR VPWR _46928_/B sky130_fd_sc_hd__and2_2
+X_65761_ _68230_/A VGND VGND VPWR VPWR _65766_/A sky130_fd_sc_hd__buf_1
+XFILLER_253_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_684_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77747_ _77762_/CLK _51683_/Y VGND VGND VPWR VPWR _77747_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_42_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62973_ _78533_/Q _63582_/B VGND VGND VPWR VPWR _62974_/C sky130_fd_sc_hd__nor2_2
+X_74959_ _74959_/A _74958_/X VGND VGND VPWR VPWR _74959_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_250_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_122_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_708_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67500_ _80161_/Q _67180_/B VGND VGND VPWR VPWR _67501_/C sky130_fd_sc_hd__nor2_2
+XFILLER_67_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64712_ _64712_/A _65040_/B VGND VGND VPWR VPWR _64712_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_417_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_485_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_7_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61924_ _62083_/A _61924_/B _61924_/C VGND VGND VPWR VPWR _61928_/B sky130_fd_sc_hd__nor3_2
+X_49646_ _49795_/A VGND VGND VPWR VPWR _49711_/A sky130_fd_sc_hd__buf_1
+XFILLER_231_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68480_ _68480_/A _68311_/B VGND VGND VPWR VPWR _68482_/B sky130_fd_sc_hd__nor2_2
+XFILLER_288_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_97_0_u_core.clock clkbuf_7_48_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_97_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_563_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_3_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65692_ _65692_/A _65690_/Y _65692_/C VGND VGND VPWR VPWR _65692_/Y sky130_fd_sc_hd__nor3_2
+X_46858_ _46851_/A _46858_/B _46858_/C VGND VGND VPWR VPWR _46859_/B sky130_fd_sc_hd__or3_2
+XFILLER_231_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77678_ _77686_/CLK _51940_/Y VGND VGND VPWR VPWR _60667_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_283_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_545_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_485_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67431_ _66945_/A _67422_/Y _67430_/Y VGND VGND VPWR VPWR _67431_/Y sky130_fd_sc_hd__nor3_2
+X_79417_ _80046_/CLK _42984_/Y VGND VGND VPWR VPWR _65320_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_55_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_271_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45809_ _70301_/X VGND VGND VPWR VPWR _45809_/Y sky130_fd_sc_hd__inv_8
+X_64643_ _64643_/A _64328_/B VGND VGND VPWR VPWR _64644_/C sky130_fd_sc_hd__nor2_2
+X_76629_ VGND VGND VPWR VPWR _76629_/HI la_data_out[125] sky130_fd_sc_hd__conb_1
+XFILLER_678_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61855_ _61843_/X _61855_/B _61854_/Y VGND VGND VPWR VPWR _61855_/Y sky130_fd_sc_hd__nor3_2
+X_49577_ _49635_/A _49577_/B VGND VGND VPWR VPWR _49577_/X sky130_fd_sc_hd__or2_2
+XFILLER_626_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_608_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_481_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46789_ _46710_/B VGND VGND VPWR VPWR _46790_/B sky130_fd_sc_hd__inv_8
+XFILLER_444_368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_329_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39330_ _39198_/A _39323_/B VGND VGND VPWR VPWR _39330_/X sky130_fd_sc_hd__or2_2
+XFILLER_398_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60806_ _60806_/A _60806_/B VGND VGND VPWR VPWR _60806_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_64_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48528_ _63731_/A _48521_/B VGND VGND VPWR VPWR _48531_/A sky130_fd_sc_hd__nand2_2
+XFILLER_678_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67362_ _66877_/A _67360_/Y _67362_/C VGND VGND VPWR VPWR _67362_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_77_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_97_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79348_ _79347_/CLK _79348_/D VGND VGND VPWR VPWR _66297_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64574_ _68205_/A VGND VGND VPWR VPWR _69027_/B sky130_fd_sc_hd__buf_1
+XFILLER_561_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_702_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_506_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_75_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61786_ _61583_/X _61786_/B VGND VGND VPWR VPWR _61825_/B sky130_fd_sc_hd__nor2_2
+XFILLER_224_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_93_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_621_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69101_ _68791_/X _69097_/Y _69101_/C VGND VGND VPWR VPWR _69102_/C sky130_fd_sc_hd__nor3_2
+XFILLER_110_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_162_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_424_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66313_ _65973_/A _66313_/B _66312_/Y VGND VGND VPWR VPWR _66314_/C sky130_fd_sc_hd__nor3_2
+XFILLER_381_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39261_ _39261_/A _39260_/Y VGND VGND VPWR VPWR _39261_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_412_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_58_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63525_ _63525_/A _64050_/B VGND VGND VPWR VPWR _63528_/B sky130_fd_sc_hd__nor2_2
+XFILLER_162_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60737_ _60110_/A _60733_/Y _60736_/Y VGND VGND VPWR VPWR _60737_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_307_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48459_ _48455_/Y _48459_/B VGND VGND VPWR VPWR _48459_/Y sky130_fd_sc_hd__nand2_2
+X_79279_ _81446_/CLK _43933_/Y VGND VGND VPWR VPWR _43705_/A sky130_fd_sc_hd__dfxtp_4
+X_67293_ _66786_/X _67293_/B _67292_/Y VGND VGND VPWR VPWR _67294_/C sky130_fd_sc_hd__nor3_2
+XFILLER_424_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_17_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_601_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_127_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38212_ _76330_/A _38212_/B VGND VGND VPWR VPWR _38212_/X sky130_fd_sc_hd__or2_2
+XFILLER_224_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_81310_ _81305_/CLK _81310_/D VGND VGND VPWR VPWR _81310_/Q sky130_fd_sc_hd__dfxtp_4
+X_69032_ _68551_/A _69030_/Y _69031_/Y VGND VGND VPWR VPWR _69032_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_196_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66244_ _80410_/Q _66732_/B VGND VGND VPWR VPWR _66246_/B sky130_fd_sc_hd__nor2_2
+XFILLER_620_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39192_ _39190_/X _39191_/Y VGND VGND VPWR VPWR _39192_/Y sky130_fd_sc_hd__nand2_2
+X_51470_ _77804_/Q _51477_/B VGND VGND VPWR VPWR _51470_/Y sky130_fd_sc_hd__nand2_2
+X_63456_ _60025_/A VGND VGND VPWR VPWR _63990_/B sky130_fd_sc_hd__buf_1
+XFILLER_440_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_397_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_60668_ _60668_/A _60354_/B VGND VGND VPWR VPWR _60669_/C sky130_fd_sc_hd__nor2_2
+XFILLER_225_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_567_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50421_ _62051_/A _50429_/B VGND VGND VPWR VPWR _50424_/A sky130_fd_sc_hd__nand2_2
+XFILLER_492_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62407_ _62364_/X _62407_/B VGND VGND VPWR VPWR _62451_/B sky130_fd_sc_hd__nor2_2
+XFILLER_418_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81241_ _81211_/CLK _73215_/Y VGND VGND VPWR VPWR _66112_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_500_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_108_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66175_ _57160_/A _66007_/X VGND VGND VPWR VPWR _66175_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_479_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_324_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63387_ _63144_/X _63387_/B _63386_/Y VGND VGND VPWR VPWR _63388_/B sky130_fd_sc_hd__nor3_2
+XFILLER_220_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60599_ _60122_/A _60597_/Y _60599_/C VGND VGND VPWR VPWR _60603_/B sky130_fd_sc_hd__nor3_2
+XFILLER_88_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_578_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_676_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_713_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53140_ _53156_/A VGND VGND VPWR VPWR _53179_/B sky130_fd_sc_hd__buf_1
+X_65126_ _56730_/A _65126_/B VGND VGND VPWR VPWR _65126_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_672_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50352_ _62308_/A _50341_/B VGND VGND VPWR VPWR _50352_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_299_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62338_ _50531_/A _62337_/X VGND VGND VPWR VPWR _62339_/C sky130_fd_sc_hd__nor2_2
+X_81172_ _81421_/CLK _73486_/Y VGND VGND VPWR VPWR _81172_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_149_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_526_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_49_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_47_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80123_ _80123_/CLK _39450_/Y VGND VGND VPWR VPWR _57331_/A sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_9_77_0_u_core.clock clkbuf_9_77_0_u_core.clock/A VGND VGND VPWR VPWR _76762_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_140_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53071_ _60840_/A _53077_/B VGND VGND VPWR VPWR _53073_/A sky130_fd_sc_hd__nand2_2
+X_65057_ _65057_/A _65057_/B _65057_/C VGND VGND VPWR VPWR _65058_/C sky130_fd_sc_hd__nor3_2
+XFILLER_474_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69934_ _69933_/X VGND VGND VPWR VPWR _69935_/A sky130_fd_sc_hd__buf_1
+XFILLER_164_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50283_ _54105_/A _50211_/B VGND VGND VPWR VPWR _50283_/X sky130_fd_sc_hd__or2_2
+XFILLER_258_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62269_ _61645_/A _62265_/Y _62268_/Y VGND VGND VPWR VPWR _62269_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_470_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_611_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_507_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52022_ _52022_/A VGND VGND VPWR VPWR _52054_/A sky130_fd_sc_hd__buf_1
+XFILLER_334_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64008_ _78716_/Q _63877_/B VGND VGND VPWR VPWR _64009_/C sky130_fd_sc_hd__nor2_2
+XFILLER_372_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80054_ _80101_/CLK _39708_/Y VGND VGND VPWR VPWR _80054_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69865_ _71301_/A VGND VGND VPWR VPWR _69865_/X sky130_fd_sc_hd__buf_1
+XPHY_9618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_3206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_133_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_173_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56830_ _57150_/A _56828_/Y _56829_/Y VGND VGND VPWR VPWR _56834_/B sky130_fd_sc_hd__nor3_2
+X_68816_ _68646_/X _68814_/Y _68815_/Y VGND VGND VPWR VPWR _68816_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_684_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_47_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38976_ _38986_/A _38979_/B _38976_/C VGND VGND VPWR VPWR _38977_/B sky130_fd_sc_hd__nand3_2
+XFILLER_271_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69796_ _69796_/A _69796_/B VGND VGND VPWR VPWR _69797_/B sky130_fd_sc_hd__nor2_2
+XFILLER_322_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_726_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_683_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_99_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_120_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_3005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56761_ _65356_/A _56518_/X VGND VGND VPWR VPWR _56761_/Y sky130_fd_sc_hd__nor2_2
+X_68747_ _68747_/A _68744_/Y _68747_/C VGND VGND VPWR VPWR _68747_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_101_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53973_ _77141_/Q _53951_/X VGND VGND VPWR VPWR _53973_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_214_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65959_ _57124_/A _65472_/X VGND VGND VPWR VPWR _65959_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_625_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58500_ _58709_/A _58498_/Y _58500_/C VGND VGND VPWR VPWR _58500_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_60_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55712_ _55676_/A _55704_/B VGND VGND VPWR VPWR _55713_/C sky130_fd_sc_hd__and2_2
+XFILLER_171_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_366_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_59_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52924_ _77416_/Q _52924_/B VGND VGND VPWR VPWR _52927_/A sky130_fd_sc_hd__nand2_2
+XFILLER_288_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_509_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59480_ _59480_/A _59800_/B VGND VGND VPWR VPWR _59480_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_708_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56692_ _56774_/A _56692_/B _56692_/C VGND VGND VPWR VPWR _56693_/B sky130_fd_sc_hd__nor3_2
+X_80956_ _80884_/CLK _80956_/D VGND VGND VPWR VPWR _57425_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_151_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68678_ _44330_/Y _68512_/X VGND VGND VPWR VPWR _68681_/A sky130_fd_sc_hd__nor2_2
+XFILLER_724_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_527_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58431_ _39765_/C _58510_/B VGND VGND VPWR VPWR _58433_/B sky130_fd_sc_hd__nor2_2
+XFILLER_41_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_347_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55643_ _55634_/Y _55676_/A _55642_/Y VGND VGND VPWR VPWR _55643_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_230_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_651_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67629_ _67629_/A _67628_/X VGND VGND VPWR VPWR _67630_/C sky130_fd_sc_hd__nor2_2
+XFILLER_325_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_507_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52855_ _52853_/Y _52854_/X VGND VGND VPWR VPWR _77435_/D sky130_fd_sc_hd__nand2_2
+XFILLER_76_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_284_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80887_ _80887_/CLK _80887_/D VGND VGND VPWR VPWR _74634_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_481_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_386_1472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_55_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51806_ _51835_/A _51818_/B VGND VGND VPWR VPWR _51807_/B sky130_fd_sc_hd__or2_2
+X_39528_ _39143_/A _39542_/B VGND VGND VPWR VPWR _39528_/X sky130_fd_sc_hd__or2_2
+XFILLER_622_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58362_ _58048_/A _58361_/Y VGND VGND VPWR VPWR _58362_/Y sky130_fd_sc_hd__nor2_2
+XPHY_19039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70640_ _70629_/A _70484_/B _70463_/C VGND VGND VPWR VPWR _70641_/C sky130_fd_sc_hd__nor3_2
+XFILLER_626_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55574_ _55606_/A _55372_/B VGND VGND VPWR VPWR _55574_/X sky130_fd_sc_hd__or2_2
+XFILLER_407_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_505_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52786_ _52786_/A _52785_/X VGND VGND VPWR VPWR _52786_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_677_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_430 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_243_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57313_ _80027_/Q _57151_/X VGND VGND VPWR VPWR _57315_/B sky130_fd_sc_hd__nor2_2
+XFILLER_720_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54525_ _54674_/A VGND VGND VPWR VPWR _54645_/A sky130_fd_sc_hd__buf_1
+XPHY_18338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51737_ _51850_/A _51716_/A VGND VGND VPWR VPWR _51737_/X sky130_fd_sc_hd__or2_2
+X_39459_ _39364_/A VGND VGND VPWR VPWR _39535_/A sky130_fd_sc_hd__buf_1
+XFILLER_442_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_603_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58293_ _80295_/Q _58065_/B VGND VGND VPWR VPWR _58294_/C sky130_fd_sc_hd__nor2_2
+XFILLER_325_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70571_ _70571_/A _70091_/X VGND VGND VPWR VPWR _70573_/B sky130_fd_sc_hd__nor2_2
+XFILLER_600_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_82_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_477_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72310_ _72299_/A _72310_/B _56782_/A VGND VGND VPWR VPWR _72310_/Y sky130_fd_sc_hd__nand3_2
+XPHY_17637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81508_ _81509_/CLK _81508_/D VGND VGND VPWR VPWR _67962_/A sky130_fd_sc_hd__dfxtp_4
+X_57244_ _57643_/A VGND VGND VPWR VPWR _57561_/A sky130_fd_sc_hd__buf_1
+XPHY_35005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_184_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_549_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42470_ _43856_/A VGND VGND VPWR VPWR _42562_/A sky130_fd_sc_hd__buf_1
+X_54456_ _54454_/Y _54456_/B VGND VGND VPWR VPWR _77014_/D sky130_fd_sc_hd__nand2_2
+XFILLER_306_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73290_ _74966_/A _73284_/B VGND VGND VPWR VPWR _73291_/B sky130_fd_sc_hd__or2_2
+XFILLER_35_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_659_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51668_ _51668_/A _51668_/B VGND VGND VPWR VPWR _51670_/A sky130_fd_sc_hd__nand2_2
+XPHY_16914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_690_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_522_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_39_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_620_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_401_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41421_ _41418_/A _41418_/B _79624_/Q VGND VGND VPWR VPWR _41421_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_243_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53407_ _53407_/A _53278_/X VGND VGND VPWR VPWR _53417_/A sky130_fd_sc_hd__or2_2
+XFILLER_260_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72241_ _72263_/A _72241_/B _67296_/A VGND VGND VPWR VPWR _72242_/B sky130_fd_sc_hd__nand3_2
+XPHY_2567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_577_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50619_ _50619_/A _50611_/X VGND VGND VPWR VPWR _50619_/Y sky130_fd_sc_hd__nand2_2
+X_57175_ _66196_/A _57012_/B VGND VGND VPWR VPWR _57176_/C sky130_fd_sc_hd__nor2_2
+XFILLER_436_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_655_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81439_ _81351_/CLK _81439_/D VGND VGND VPWR VPWR _72407_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_1833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_420_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54387_ _54384_/Y _54387_/B VGND VGND VPWR VPWR _77033_/D sky130_fd_sc_hd__nand2_2
+XPHY_34326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51599_ _51599_/A _51591_/X VGND VGND VPWR VPWR _51599_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_295_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44140_ _43822_/A _44069_/X VGND VGND VPWR VPWR _44143_/A sky130_fd_sc_hd__nor2_2
+XPHY_1866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56126_ _56116_/A _56126_/B _56125_/Y VGND VGND VPWR VPWR wbs_dat_o[21] sky130_fd_sc_hd__nor3_2
+XFILLER_636_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41352_ _41295_/X VGND VGND VPWR VPWR _41367_/B sky130_fd_sc_hd__buf_1
+XPHY_33614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53338_ _53639_/A VGND VGND VPWR VPWR _53457_/A sky130_fd_sc_hd__buf_1
+XFILLER_156_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72172_ _72172_/A _72172_/B VGND VGND VPWR VPWR _81484_/D sky130_fd_sc_hd__nand2_2
+XPHY_1877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_13_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40303_ _39804_/X _40313_/B VGND VGND VPWR VPWR _40303_/X sky130_fd_sc_hd__or2_2
+XFILLER_358_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71123_ _70141_/X _70882_/X VGND VGND VPWR VPWR _71123_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_706_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44071_ _44071_/A _43807_/B _44074_/B VGND VGND VPWR VPWR _44072_/B sky130_fd_sc_hd__and3_2
+XFILLER_551_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56057_ _56007_/X _55538_/B VGND VGND VPWR VPWR _56062_/B sky130_fd_sc_hd__nor2_2
+XFILLER_560_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41283_ _41263_/A VGND VGND VPWR VPWR _41284_/A sky130_fd_sc_hd__buf_1
+XFILLER_178_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53269_ _53213_/A _53269_/B VGND VGND VPWR VPWR _53270_/B sky130_fd_sc_hd__or2_2
+XPHY_33669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76980_ _76975_/CLK _76980_/D VGND VGND VPWR VPWR _60266_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_100_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_1405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43022_ _79502_/Q _43022_/B VGND VGND VPWR VPWR _43062_/A sky130_fd_sc_hd__nor2_2
+X_55008_ _54980_/A _55011_/B VGND VGND VPWR VPWR _55009_/B sky130_fd_sc_hd__or2_2
+XFILLER_152_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40234_ _40225_/A _40225_/B _64986_/A VGND VGND VPWR VPWR _40235_/B sky130_fd_sc_hd__nand3_2
+X_75931_ _76050_/A _75920_/B VGND VGND VPWR VPWR _75931_/X sky130_fd_sc_hd__or2_2
+XPHY_23179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71054_ _39362_/A VGND VGND VPWR VPWR _75109_/A sky130_fd_sc_hd__buf_1
+XFILLER_174_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70005_ _79474_/Q VGND VGND VPWR VPWR _70007_/C sky130_fd_sc_hd__inv_8
+XFILLER_459_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_5_0_u_core.clock clkbuf_9_5_0_u_core.clock/A VGND VGND VPWR VPWR _78494_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_151_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47830_ _47865_/A _47826_/B VGND VGND VPWR VPWR _47830_/X sky130_fd_sc_hd__or2_2
+XPHY_22478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59816_ _59613_/X _59816_/B VGND VGND VPWR VPWR _59857_/B sky130_fd_sc_hd__nor2_2
+XFILLER_340_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78650_ _76917_/CLK _78650_/D VGND VGND VPWR VPWR _63754_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_332_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_289_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40165_ _40285_/A _40161_/X VGND VGND VPWR VPWR _40167_/A sky130_fd_sc_hd__or2_2
+XFILLER_649_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_488_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75862_ _75468_/A _75876_/B VGND VGND VPWR VPWR _75864_/A sky130_fd_sc_hd__or2_2
+XFILLER_430_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_117_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_674_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_317_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77601_ _77065_/CLK _77601_/D VGND VGND VPWR VPWR _61106_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_215_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_627_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74813_ _74811_/X _74813_/B VGND VGND VPWR VPWR _80848_/D sky130_fd_sc_hd__nand2_2
+XFILLER_568_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_352_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47761_ _78764_/Q _47761_/B VGND VGND VPWR VPWR _47761_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_666_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59747_ _63159_/A VGND VGND VPWR VPWR _60069_/A sky130_fd_sc_hd__buf_1
+XFILLER_300_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78581_ _78867_/CLK _78581_/D VGND VGND VPWR VPWR _48485_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_98_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56959_ _38954_/C _56709_/B VGND VGND VPWR VPWR _56960_/C sky130_fd_sc_hd__nor2_2
+X_40096_ _40060_/A VGND VGND VPWR VPWR _40107_/B sky130_fd_sc_hd__buf_1
+X_44973_ _43666_/A _44972_/Y VGND VGND VPWR VPWR _44973_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_709_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75793_ _75793_/A _75793_/B VGND VGND VPWR VPWR _80600_/D sky130_fd_sc_hd__nand2_2
+XPHY_21799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_46_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_9_221_0_u_core.clock clkbuf_9_221_0_u_core.clock/A VGND VGND VPWR VPWR _79238_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_666_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49500_ _49804_/A VGND VGND VPWR VPWR _49626_/A sky130_fd_sc_hd__buf_1
+XFILLER_510_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_24_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46712_ _46712_/A _46712_/B VGND VGND VPWR VPWR _46713_/B sky130_fd_sc_hd__or2_2
+XPHY_11298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77532_ _77540_/CLK _77532_/D VGND VGND VPWR VPWR _61553_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_703_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43924_ _75028_/A _43931_/B VGND VGND VPWR VPWR _43925_/C sky130_fd_sc_hd__nor2_2
+XFILLER_369_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74744_ _74739_/A _74749_/B _80863_/Q VGND VGND VPWR VPWR _74744_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_267_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_78_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47692_ _47692_/A _47692_/B VGND VGND VPWR VPWR _47692_/Y sky130_fd_sc_hd__nand2_2
+X_59678_ _59678_/A _59678_/B VGND VGND VPWR VPWR _59679_/C sky130_fd_sc_hd__nor2_2
+XFILLER_384_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71956_ _47071_/A VGND VGND VPWR VPWR _71957_/B sky130_fd_sc_hd__inv_8
+XFILLER_683_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_454_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_111_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_59_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49431_ _49431_/A _49446_/B VGND VGND VPWR VPWR _49431_/X sky130_fd_sc_hd__or2_2
+XFILLER_627_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46643_ _46643_/A _58866_/A VGND VGND VPWR VPWR _46643_/Y sky130_fd_sc_hd__nor2_2
+X_58629_ _56265_/A _58629_/B VGND VGND VPWR VPWR _58646_/B sky130_fd_sc_hd__nor2_2
+XFILLER_267_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70907_ _70452_/X VGND VGND VPWR VPWR _70999_/A sky130_fd_sc_hd__inv_8
+X_77463_ _77454_/CLK _52748_/Y VGND VGND VPWR VPWR _60732_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_146_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43855_ _43855_/A _43848_/Y _43855_/C VGND VGND VPWR VPWR _79289_/D sky130_fd_sc_hd__nor3_2
+XFILLER_111_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74675_ _74725_/A VGND VGND VPWR VPWR _74698_/A sky130_fd_sc_hd__buf_1
+XFILLER_225_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_390_0_u_core.clock clkbuf_9_391_0_u_core.clock/A VGND VGND VPWR VPWR _81513_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_71887_ _74120_/A VGND VGND VPWR VPWR _39220_/A sky130_fd_sc_hd__buf_1
+XFILLER_625_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_58_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_365_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79202_ _81251_/CLK _44724_/Y VGND VGND VPWR VPWR _79202_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_94_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76414_ _76395_/A VGND VGND VPWR VPWR _76418_/B sky130_fd_sc_hd__buf_1
+XFILLER_608_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_398_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42806_ _42806_/A _42805_/Y _42806_/C _42777_/D VGND VGND VPWR VPWR _42807_/C sky130_fd_sc_hd__nor4_2
+X_61640_ _61484_/A _61640_/B _61640_/C VGND VGND VPWR VPWR _61645_/B sky130_fd_sc_hd__nor3_2
+XFILLER_98_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49362_ _49360_/X _49362_/B VGND VGND VPWR VPWR _49362_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_703_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73626_ _75947_/A _73626_/B VGND VGND VPWR VPWR _73627_/A sky130_fd_sc_hd__or2_2
+XFILLER_443_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_406_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_441_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_523_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70838_ _71912_/A _70838_/B _70838_/C VGND VGND VPWR VPWR _70839_/C sky130_fd_sc_hd__nor3_2
+X_46574_ _46584_/A _46574_/B _46574_/C VGND VGND VPWR VPWR _78999_/D sky130_fd_sc_hd__or3_2
+XFILLER_46_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77394_ _77755_/CLK _77394_/D VGND VGND VPWR VPWR _77394_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_72_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43786_ _43678_/X _43779_/B _43785_/Y VGND VGND VPWR VPWR _43789_/B sky130_fd_sc_hd__nor3_2
+XPHY_5160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40998_ _41005_/A _40888_/B _79727_/Q VGND VGND VPWR VPWR _40998_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_2_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_660_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_337_0_u_core.clock clkbuf_8_168_0_u_core.clock/X VGND VGND VPWR VPWR _78721_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_234_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_640_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48313_ _63487_/A _48297_/B VGND VGND VPWR VPWR _48317_/A sky130_fd_sc_hd__nand2_2
+XPHY_19562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79133_ _76708_/CLK _79133_/D VGND VGND VPWR VPWR _42567_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_699_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_57_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45525_ _45520_/A _45525_/B _45524_/Y VGND VGND VPWR VPWR _45525_/Y sky130_fd_sc_hd__nor3_2
+X_76345_ _38346_/A _76353_/B VGND VGND VPWR VPWR _76349_/A sky130_fd_sc_hd__or2_2
+XPHY_5193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42737_ _42682_/X _70655_/X _70663_/Y _42683_/X VGND VGND VPWR VPWR _42737_/X sky130_fd_sc_hd__o22a_4
+X_49293_ _78370_/Q _49286_/B VGND VGND VPWR VPWR _49296_/A sky130_fd_sc_hd__nand2_2
+XFILLER_267_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61571_ _52346_/A _61111_/X VGND VGND VPWR VPWR _61571_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_245_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73557_ _73556_/X _73550_/B _81154_/Q VGND VGND VPWR VPWR _73557_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_128_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70769_ _70016_/B _70769_/B VGND VGND VPWR VPWR _70769_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_209_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_241_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_350 _51161_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_311_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_361 _49699_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_178_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63310_ _62529_/A VGND VGND VPWR VPWR _63483_/A sky130_fd_sc_hd__buf_1
+XFILLER_261_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_372 _53056_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_18_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60522_ _60522_/A _60361_/B VGND VGND VPWR VPWR _60522_/Y sky130_fd_sc_hd__nor2_2
+X_48244_ _48244_/A _48244_/B VGND VGND VPWR VPWR _48244_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_379_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72508_ _72296_/X VGND VGND VPWR VPWR _72563_/A sky130_fd_sc_hd__buf_1
+XFILLER_394_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79064_ _79057_/CLK _79064_/D VGND VGND VPWR VPWR _41646_/A sky130_fd_sc_hd__dfxtp_4
+XANTENNA_383 _51812_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_21_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45456_ _45409_/X _45456_/B _45455_/Y VGND VGND VPWR VPWR _45456_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64290_ _67877_/A VGND VGND VPWR VPWR _66164_/A sky130_fd_sc_hd__buf_1
+XFILLER_296_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76276_ _38278_/A _76287_/B VGND VGND VPWR VPWR _76276_/X sky130_fd_sc_hd__or2_2
+XFILLER_611_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42668_ _42636_/A _42668_/B VGND VGND VPWR VPWR _42668_/X sky130_fd_sc_hd__and2_2
+XFILLER_596_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_394 _54989_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_73488_ _75046_/A _73485_/B VGND VGND VPWR VPWR _73488_/X sky130_fd_sc_hd__or2_2
+XFILLER_54_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_105_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_50_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78015_ _77863_/CLK _50659_/Y VGND VGND VPWR VPWR _62008_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44407_ _44407_/A _44398_/B VGND VGND VPWR VPWR _44407_/Y sky130_fd_sc_hd__nor2_2
+XPHY_3791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63241_ _63810_/A _63237_/Y _63240_/Y VGND VGND VPWR VPWR _63249_/B sky130_fd_sc_hd__nor3_2
+X_75227_ _75223_/X _75227_/B VGND VGND VPWR VPWR _80740_/D sky130_fd_sc_hd__nand2_2
+XFILLER_348_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60453_ _60131_/A _60453_/B _60453_/C VGND VGND VPWR VPWR _60457_/B sky130_fd_sc_hd__nor3_2
+X_41619_ _41619_/A VGND VGND VPWR VPWR _42945_/A sky130_fd_sc_hd__buf_1
+XFILLER_141_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48175_ _48137_/X _48196_/B VGND VGND VPWR VPWR _48176_/B sky130_fd_sc_hd__or2_2
+X_72439_ _72803_/A _72442_/B VGND VGND VPWR VPWR _72441_/A sky130_fd_sc_hd__or2_2
+XFILLER_458_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_60_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45387_ _45056_/A _45386_/Y VGND VGND VPWR VPWR _45387_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_159_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_714_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42599_ _67688_/A _42575_/B VGND VGND VPWR VPWR _42605_/A sky130_fd_sc_hd__nor2_2
+XFILLER_53_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_3065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_347_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_147_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_186_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47126_ _46343_/B _47125_/X VGND VGND VPWR VPWR _47126_/X sky130_fd_sc_hd__or2_2
+XFILLER_174_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_31_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44338_ _44506_/A VGND VGND VPWR VPWR _44338_/Y sky130_fd_sc_hd__inv_8
+XFILLER_179_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63172_ _63172_/A _63625_/B VGND VGND VPWR VPWR _63172_/Y sky130_fd_sc_hd__nor2_2
+X_75158_ _75277_/A _75151_/X VGND VGND VPWR VPWR _75161_/A sky130_fd_sc_hd__or2_2
+XPHY_35594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_517_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60384_ _77276_/Q _60384_/B VGND VGND VPWR VPWR _60386_/B sky130_fd_sc_hd__nor2_2
+XFILLER_102_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_200_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_725_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_530_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_376_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62123_ _51076_/A _61965_/B VGND VGND VPWR VPWR _62124_/C sky130_fd_sc_hd__nor2_2
+XPHY_34882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74109_ _74109_/A VGND VGND VPWR VPWR _76182_/A sky130_fd_sc_hd__buf_1
+XFILLER_335_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_524_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47057_ _71896_/A _47064_/B VGND VGND VPWR VPWR _47057_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_146_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44269_ _44269_/A _44269_/B _44269_/C VGND VGND VPWR VPWR _44269_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_294_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67980_ _67980_/A _67473_/B VGND VGND VPWR VPWR _67982_/B sky130_fd_sc_hd__nor2_2
+XFILLER_239_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75089_ _75089_/A _75088_/Y VGND VGND VPWR VPWR _80776_/D sky130_fd_sc_hd__nand2_2
+XFILLER_458_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79966_ _80031_/CLK _79966_/D VGND VGND VPWR VPWR _40072_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_362_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_363_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_259_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_610_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46008_ _55264_/A VGND VGND VPWR VPWR _55626_/A sky130_fd_sc_hd__buf_1
+XFILLER_233_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66931_ _81182_/Q _67259_/B VGND VGND VPWR VPWR _66931_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_319_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_315_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78917_ _78940_/CLK _47045_/Y VGND VGND VPWR VPWR _71836_/A sky130_fd_sc_hd__dfxtp_4
+X_62054_ _59074_/X VGND VGND VPWR VPWR _62055_/B sky130_fd_sc_hd__buf_1
+XFILLER_413_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_357_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_551_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_504_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_142_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79897_ _80144_/CLK _40330_/Y VGND VGND VPWR VPWR _79897_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_489_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61005_ _60845_/A _61005_/B VGND VGND VPWR VPWR _61044_/B sky130_fd_sc_hd__nor2_2
+XFILLER_64_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38830_ _38578_/A _38829_/X VGND VGND VPWR VPWR _38830_/X sky130_fd_sc_hd__or2_2
+XFILLER_315_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_139_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69650_ _69660_/A _69649_/Y VGND VGND VPWR VPWR _69651_/B sky130_fd_sc_hd__nor2_2
+XFILLER_233_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_315_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66862_ _44676_/A _66861_/X VGND VGND VPWR VPWR _67028_/A sky130_fd_sc_hd__nor2_2
+XFILLER_290_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78848_ _78849_/CLK _78848_/D VGND VGND VPWR VPWR _63520_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_667_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_272_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_677_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_170_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68601_ _64296_/A VGND VGND VPWR VPWR _69218_/A sky130_fd_sc_hd__buf_1
+X_65813_ _81303_/Q _65315_/B VGND VGND VPWR VPWR _65814_/C sky130_fd_sc_hd__nor2_2
+XFILLER_331_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_233_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38761_ _38759_/X _38760_/Y VGND VGND VPWR VPWR _80298_/D sky130_fd_sc_hd__nand2_2
+XFILLER_511_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69581_ _69587_/A _69581_/B VGND VGND VPWR VPWR _70041_/B sky130_fd_sc_hd__nor2_2
+XFILLER_681_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47959_ _63615_/A _47962_/B VGND VGND VPWR VPWR _47959_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_287_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78779_ _77387_/CLK _47708_/Y VGND VGND VPWR VPWR _78779_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_269_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66793_ _57533_/A _66792_/X VGND VGND VPWR VPWR _66793_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_469_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_586_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_313_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80810_ _80813_/CLK _74962_/Y VGND VGND VPWR VPWR _68865_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_64_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68532_ _68532_/A _68531_/X VGND VGND VPWR VPWR _68532_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_445_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_284_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65744_ _38315_/C _65909_/B VGND VGND VPWR VPWR _65746_/B sky130_fd_sc_hd__nor2_2
+XFILLER_348_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_69_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38692_ _38554_/A _38692_/B VGND VGND VPWR VPWR _38692_/X sky130_fd_sc_hd__or2_2
+X_50970_ _50967_/Y _50969_/X VGND VGND VPWR VPWR _77932_/D sky130_fd_sc_hd__nand2_2
+X_62956_ _62477_/X _62949_/Y _62956_/C VGND VGND VPWR VPWR _62956_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_383_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_60_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61907_ _61907_/A _62218_/B VGND VGND VPWR VPWR _61907_/Y sky130_fd_sc_hd__nor2_2
+X_49629_ _49629_/A _49625_/X VGND VGND VPWR VPWR _49629_/X sky130_fd_sc_hd__or2_2
+XFILLER_633_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80741_ _80742_/CLK _80741_/D VGND VGND VPWR VPWR _68033_/A sky130_fd_sc_hd__dfxtp_4
+X_68463_ _68627_/A _68463_/B _68463_/C VGND VGND VPWR VPWR _68464_/C sky130_fd_sc_hd__nor3_2
+XFILLER_151_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65675_ _40220_/C _64985_/X VGND VGND VPWR VPWR _65675_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_231_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_665_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62887_ _62887_/A _63507_/B VGND VGND VPWR VPWR _62887_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_444_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_424_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_211_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_465_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67414_ _67915_/A _67414_/B _67414_/C VGND VGND VPWR VPWR _67432_/A sky130_fd_sc_hd__nor3_2
+XFILLER_237_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52640_ _52753_/A _52640_/B VGND VGND VPWR VPWR _52640_/X sky130_fd_sc_hd__or2_2
+XFILLER_309_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64626_ _64306_/A VGND VGND VPWR VPWR _64626_/X sky130_fd_sc_hd__buf_1
+XFILLER_678_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_3066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61838_ _77926_/Q _61216_/X VGND VGND VPWR VPWR _61838_/Y sky130_fd_sc_hd__nor2_2
+X_80672_ _80637_/CLK _75499_/Y VGND VGND VPWR VPWR _80672_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_368_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68394_ _66547_/A VGND VGND VPWR VPWR _68902_/A sky130_fd_sc_hd__buf_1
+XFILLER_580_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_244_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_721_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_266_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39313_ _39295_/A VGND VGND VPWR VPWR _39317_/B sky130_fd_sc_hd__buf_1
+XFILLER_459_3107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_441_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_36_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67345_ _66853_/A _67343_/Y _67345_/C VGND VGND VPWR VPWR _67345_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_181_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52571_ _60601_/A _52574_/B VGND VGND VPWR VPWR _52571_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_322_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_70_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64557_ _80976_/Q _64557_/B VGND VGND VPWR VPWR _64561_/B sky130_fd_sc_hd__nor2_2
+XFILLER_441_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61769_ _78133_/Q _62081_/B VGND VGND VPWR VPWR _61771_/B sky130_fd_sc_hd__nor2_2
+XFILLER_342_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_224_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54310_ _54308_/Y _54310_/B VGND VGND VPWR VPWR _77053_/D sky130_fd_sc_hd__nand2_2
+XFILLER_146_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39244_ _39227_/X _39113_/B _64684_/A VGND VGND VPWR VPWR _39245_/B sky130_fd_sc_hd__nand3_2
+X_51522_ _51522_/A _51522_/B VGND VGND VPWR VPWR _51522_/X sky130_fd_sc_hd__or2_2
+XFILLER_358_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63508_ _63906_/A _63508_/B _63508_/C VGND VGND VPWR VPWR _63508_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_53_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55290_ _55290_/A _55289_/X VGND VGND VPWR VPWR _55291_/C sky130_fd_sc_hd__nand2_2
+XFILLER_251_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_698_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67276_ _67276_/A _67275_/Y VGND VGND VPWR VPWR _67276_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_396_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_51_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64488_ _64488_/A VGND VGND VPWR VPWR _66559_/A sky130_fd_sc_hd__buf_1
+XFILLER_361_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_528_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_196_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_127_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69015_ _69015_/A _68531_/X VGND VGND VPWR VPWR _69017_/B sky130_fd_sc_hd__nor2_2
+XFILLER_240_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_196_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66227_ _66227_/A _66394_/B VGND VGND VPWR VPWR _66227_/Y sky130_fd_sc_hd__nor2_2
+X_54241_ _54240_/X _54241_/B VGND VGND VPWR VPWR _54241_/X sky130_fd_sc_hd__or2_2
+XFILLER_14_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39175_ _39175_/A _39166_/X VGND VGND VPWR VPWR _39175_/X sky130_fd_sc_hd__or2_2
+XFILLER_394_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51453_ _61190_/A _51456_/B VGND VGND VPWR VPWR _51455_/A sky130_fd_sc_hd__nand2_2
+X_63439_ _59049_/A VGND VGND VPWR VPWR _63979_/A sky130_fd_sc_hd__buf_1
+XPHY_15509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_10_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_338_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_101_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50404_ _62676_/A _50404_/B VGND VGND VPWR VPWR _50404_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_594_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81224_ _81190_/CLK _81224_/D VGND VGND VPWR VPWR _68579_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_260_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54172_ _54078_/A _54178_/B VGND VGND VPWR VPWR _54173_/B sky130_fd_sc_hd__or2_2
+XFILLER_162_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66158_ _65993_/A _66158_/B _66158_/C VGND VGND VPWR VPWR _66159_/C sky130_fd_sc_hd__nor3_2
+XFILLER_402_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51384_ _61034_/A _51369_/X VGND VGND VPWR VPWR _51386_/A sky130_fd_sc_hd__nand2_2
+XFILLER_691_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_673_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_140_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_55_0_u_core.clock clkbuf_6_55_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_6_55_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_457_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53123_ _53121_/Y _53123_/B VGND VGND VPWR VPWR _77361_/D sky130_fd_sc_hd__nand2_2
+XFILLER_320_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65109_ _65630_/A _65096_/Y _65108_/Y VGND VGND VPWR VPWR _65109_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_179_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50335_ _50333_/Y _50334_/X VGND VGND VPWR VPWR _78102_/D sky130_fd_sc_hd__nand2_2
+XFILLER_14_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_88_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81155_ _81156_/CLK _73554_/Y VGND VGND VPWR VPWR _81155_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_542_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_326_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66089_ _66412_/A _66082_/Y _66089_/C VGND VGND VPWR VPWR _66090_/C sky130_fd_sc_hd__nor3_2
+X_58980_ _59492_/A _58980_/B _58980_/C VGND VGND VPWR VPWR _58981_/B sky130_fd_sc_hd__nor3_2
+XFILLER_290_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_121_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_375_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_689_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_106_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80106_ _80104_/CLK _80106_/D VGND VGND VPWR VPWR _58531_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_341_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_555_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_273_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_533_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53054_ _61621_/A _53061_/B VGND VGND VPWR VPWR _53057_/A sky130_fd_sc_hd__nand2_2
+X_69917_ _69914_/X _70888_/A VGND VGND VPWR VPWR _69920_/A sky130_fd_sc_hd__or2_2
+XFILLER_88_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57931_ _67617_/A _57611_/X VGND VGND VPWR VPWR _57931_/Y sky130_fd_sc_hd__nor2_2
+XPHY_31519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_703_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50266_ _50266_/A _50261_/B VGND VGND VPWR VPWR _50266_/X sky130_fd_sc_hd__or2_2
+XFILLER_175_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81086_ _81083_/CLK _81086_/D VGND VGND VPWR VPWR _73812_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_450_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_468_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_251_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_700_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52005_ _52005_/A VGND VGND VPWR VPWR _52025_/B sky130_fd_sc_hd__buf_1
+XPHY_21029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80037_ _80039_/CLK _80037_/D VGND VGND VPWR VPWR _39783_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_696_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57862_ _57705_/A _57861_/Y VGND VGND VPWR VPWR _57862_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_195_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69848_ _55922_/A _69740_/Y _69847_/X VGND VGND VPWR VPWR _69848_/Y sky130_fd_sc_hd__nor3_2
+XPHY_9448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50197_ _50195_/Y _50197_/B VGND VGND VPWR VPWR _78137_/D sky130_fd_sc_hd__nand2_2
+XPHY_20306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_530_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_62_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_173_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59601_ _77319_/Q _59764_/B VGND VGND VPWR VPWR _59603_/B sky130_fd_sc_hd__nor2_2
+XFILLER_511_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_191_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56813_ _56729_/A _56809_/Y _56812_/Y VGND VGND VPWR VPWR _56820_/B sky130_fd_sc_hd__nor3_2
+XPHY_8736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_467_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_271_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_685_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38959_ _38922_/A VGND VGND VPWR VPWR _38959_/X sky130_fd_sc_hd__buf_1
+XFILLER_43_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57793_ _57790_/X _57793_/B _57793_/C VGND VGND VPWR VPWR _57797_/B sky130_fd_sc_hd__nor3_2
+XFILLER_581_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_160_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69779_ _69773_/C _69778_/Y VGND VGND VPWR VPWR _69796_/B sky130_fd_sc_hd__or2_2
+XFILLER_5_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_667_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59532_ _59532_/A _59531_/Y VGND VGND VPWR VPWR _59532_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_271_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71810_ _71804_/X _71810_/B _71807_/Y _71810_/D VGND VGND VPWR VPWR _71810_/X sky130_fd_sc_hd__or4_2
+XFILLER_448_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_546_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56744_ _56744_/A _56744_/B _56744_/C VGND VGND VPWR VPWR _56750_/B sky130_fd_sc_hd__nor3_2
+XFILLER_259_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41970_ _41969_/X VGND VGND VPWR VPWR _41971_/A sky130_fd_sc_hd__buf_1
+X_53956_ _53954_/Y _53956_/B VGND VGND VPWR VPWR _53956_/Y sky130_fd_sc_hd__nand2_2
+X_72790_ _72790_/A _72790_/B VGND VGND VPWR VPWR _72790_/X sky130_fd_sc_hd__or2_2
+XFILLER_665_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_448_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_625_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_483_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_101_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_366_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_436_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40921_ _40921_/A _40920_/Y VGND VGND VPWR VPWR _40921_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_60_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52907_ _51399_/A VGND VGND VPWR VPWR _53028_/A sky130_fd_sc_hd__buf_1
+XFILLER_219_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59463_ _59463_/A _58919_/B VGND VGND VPWR VPWR _59463_/Y sky130_fd_sc_hd__nor2_2
+X_71741_ _71741_/A VGND VGND VPWR VPWR _75525_/A sky130_fd_sc_hd__inv_8
+XFILLER_680_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56675_ _56434_/A _56671_/Y _56674_/Y VGND VGND VPWR VPWR _56675_/Y sky130_fd_sc_hd__nor3_2
+XPHY_29315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80939_ _80911_/CLK _80939_/D VGND VGND VPWR VPWR _69048_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_229_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53887_ _53885_/A VGND VGND VPWR VPWR _53903_/B sky130_fd_sc_hd__buf_1
+XFILLER_366_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_267_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_60_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58414_ _57014_/A VGND VGND VPWR VPWR _58709_/A sky130_fd_sc_hd__buf_1
+XFILLER_41_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_546_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43640_ _43548_/A VGND VGND VPWR VPWR _43640_/X sky130_fd_sc_hd__buf_1
+XFILLER_186_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_234_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74460_ _74596_/A _74452_/B VGND VGND VPWR VPWR _74463_/A sky130_fd_sc_hd__or2_2
+XFILLER_442_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55626_ _55626_/A _55416_/B VGND VGND VPWR VPWR _55628_/B sky130_fd_sc_hd__nor2_2
+XFILLER_216_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40852_ _40611_/A _40855_/B VGND VGND VPWR VPWR _40854_/A sky130_fd_sc_hd__or2_2
+XPHY_28614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52838_ _77439_/Q _52841_/B VGND VGND VPWR VPWR _52838_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_707_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59394_ _60201_/A VGND VGND VPWR VPWR _59719_/A sky130_fd_sc_hd__buf_1
+X_71672_ _71670_/X _71671_/Y VGND VGND VPWR VPWR _71672_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_389_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_524_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_35_0_u_core.clock clkbuf_7_35_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_71_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_38_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_527_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73411_ _73398_/A _73408_/B _81192_/Q VGND VGND VPWR VPWR _73411_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_696_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58345_ _57547_/A VGND VGND VPWR VPWR _58345_/X sky130_fd_sc_hd__buf_1
+X_70623_ _70019_/A _70018_/B VGND VGND VPWR VPWR _70623_/X sky130_fd_sc_hd__or2_2
+XFILLER_524_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43571_ _42547_/B _43575_/B VGND VGND VPWR VPWR _43571_/Y sky130_fd_sc_hd__nor2_2
+X_55557_ _55554_/X _55557_/B VGND VGND VPWR VPWR _55678_/B sky130_fd_sc_hd__nand2_2
+XFILLER_145_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74391_ _74559_/A VGND VGND VPWR VPWR _74554_/A sky130_fd_sc_hd__buf_1
+XPHY_18135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40783_ _40801_/A VGND VGND VPWR VPWR _40797_/B sky130_fd_sc_hd__buf_1
+XPHY_27924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52769_ _52887_/A _52785_/B VGND VGND VPWR VPWR _52770_/B sky130_fd_sc_hd__or2_2
+XFILLER_215_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_131_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_505_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45310_ _45037_/A VGND VGND VPWR VPWR _45397_/B sky130_fd_sc_hd__buf_1
+XPHY_27946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76130_ _76130_/A _76130_/B VGND VGND VPWR VPWR _76130_/Y sky130_fd_sc_hd__nand2_2
+XPHY_3043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_382_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42522_ _55113_/A _42472_/X VGND VGND VPWR VPWR _42522_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_600_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54508_ _59495_/A _54511_/B VGND VGND VPWR VPWR _54508_/Y sky130_fd_sc_hd__nand2_2
+X_73342_ _73377_/A VGND VGND VPWR VPWR _73353_/A sky130_fd_sc_hd__buf_1
+XFILLER_262_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58276_ _68476_/A _58194_/B VGND VGND VPWR VPWR _58277_/C sky130_fd_sc_hd__nor2_2
+XPHY_17434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46290_ _43221_/A _46286_/B VGND VGND VPWR VPWR _46290_/Y sky130_fd_sc_hd__nor2_2
+X_70554_ _70553_/X VGND VGND VPWR VPWR _70555_/A sky130_fd_sc_hd__inv_8
+XFILLER_520_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_431_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_578_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55488_ _55486_/Y _55487_/X _55293_/Y VGND VGND VPWR VPWR _55488_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_164_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_540_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45241_ _45241_/A _45321_/B VGND VGND VPWR VPWR _45241_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_231_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57227_ _56413_/A VGND VGND VPWR VPWR _57227_/X sky130_fd_sc_hd__buf_1
+XFILLER_54_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76061_ _76054_/X _75948_/X _80528_/Q VGND VGND VPWR VPWR _76061_/Y sky130_fd_sc_hd__nand3_2
+XPHY_16733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_1475 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42453_ _42453_/A VGND VGND VPWR VPWR _42755_/A sky130_fd_sc_hd__buf_1
+XFILLER_600_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54439_ _54467_/A _54454_/B VGND VGND VPWR VPWR _54440_/B sky130_fd_sc_hd__or2_2
+XFILLER_42_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73273_ _74950_/A _73381_/B VGND VGND VPWR VPWR _73273_/X sky130_fd_sc_hd__or2_2
+XFILLER_694_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70485_ _70505_/A _70485_/B _70485_/C VGND VGND VPWR VPWR _70485_/X sky130_fd_sc_hd__or3_2
+XPHY_34112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75012_ _75020_/A _75006_/B _66551_/A VGND VGND VPWR VPWR _75015_/A sky130_fd_sc_hd__nand3_2
+XFILLER_455_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41404_ _41401_/A _41401_/B _69217_/A VGND VGND VPWR VPWR _41404_/Y sky130_fd_sc_hd__nand3_2
+XPHY_34134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72224_ _72235_/A _72241_/B _67791_/A VGND VGND VPWR VPWR _72225_/B sky130_fd_sc_hd__nand3_2
+XFILLER_345_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45172_ io_in[30] _45233_/B VGND VGND VPWR VPWR _45172_/X sky130_fd_sc_hd__or2_2
+XPHY_33400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57158_ _66178_/A _57076_/B VGND VGND VPWR VPWR _57158_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_655_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42384_ _42384_/A _42299_/Y VGND VGND VPWR VPWR _42386_/B sky130_fd_sc_hd__nor2_2
+XFILLER_195_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_10_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_204_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_120_0_u_core.clock clkbuf_7_60_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_241_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_196_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_436_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_718_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_373_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44123_ _44208_/A VGND VGND VPWR VPWR _44123_/X sky130_fd_sc_hd__buf_1
+XFILLER_633_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56109_ _56089_/A _56109_/B VGND VGND VPWR VPWR _56110_/C sky130_fd_sc_hd__nor2_2
+XFILLER_471_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79820_ _79788_/CLK _40652_/Y VGND VGND VPWR VPWR _58658_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_475_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41335_ _41079_/X _41324_/X VGND VGND VPWR VPWR _41337_/A sky130_fd_sc_hd__or2_2
+Xclkbuf_9_180_0_u_core.clock clkbuf_8_90_0_u_core.clock/X VGND VGND VPWR VPWR _78332_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_34189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72155_ _75109_/A VGND VGND VPWR VPWR _74336_/A sky130_fd_sc_hd__buf_1
+XFILLER_377_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49980_ _49980_/A VGND VGND VPWR VPWR _49996_/B sky130_fd_sc_hd__buf_1
+XFILLER_436_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_616_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57089_ _39699_/C _57170_/B VGND VGND VPWR VPWR _57090_/C sky130_fd_sc_hd__nor2_2
+XFILLER_633_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_570_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_471_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_100_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_570_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71106_ _71104_/X _71106_/B VGND VGND VPWR VPWR _81516_/D sky130_fd_sc_hd__nand2_2
+XFILLER_137_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_319_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44054_ _68344_/A _44053_/X VGND VGND VPWR VPWR _44054_/X sky130_fd_sc_hd__or2_4
+X_48931_ _48931_/A VGND VGND VPWR VPWR _49054_/A sky130_fd_sc_hd__buf_1
+XFILLER_573_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79751_ _79751_/CLK _79751_/D VGND VGND VPWR VPWR _68437_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_87_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41266_ _41266_/A _41266_/B VGND VGND VPWR VPWR _41266_/X sky130_fd_sc_hd__or2_2
+XPHY_32754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76963_ _76963_/CLK _54646_/Y VGND VGND VPWR VPWR _76963_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_276_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72086_ _72086_/A _72083_/X _72086_/C VGND VGND VPWR VPWR _72105_/B sky130_fd_sc_hd__or3_2
+XFILLER_654_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_6_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_127_0_u_core.clock clkbuf_8_63_0_u_core.clock/X VGND VGND VPWR VPWR _77252_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_531_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_65_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43005_ _78871_/Q _41666_/B VGND VGND VPWR VPWR _43005_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_414_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78702_ _78739_/CLK _48007_/Y VGND VGND VPWR VPWR _78702_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_354_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40217_ _40207_/A _40207_/B _79927_/Q VGND VGND VPWR VPWR _40217_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_3_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75914_ _75908_/A _75908_/B _65899_/A VGND VGND VPWR VPWR _75915_/B sky130_fd_sc_hd__nand3_2
+XFILLER_516_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71037_ _69861_/X _42888_/A VGND VGND VPWR VPWR _71037_/X sky130_fd_sc_hd__or2_2
+XFILLER_298_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48862_ _63938_/A _48873_/B VGND VGND VPWR VPWR _48865_/A sky130_fd_sc_hd__nand2_2
+XPHY_32798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79682_ _79713_/CLK _79682_/D VGND VGND VPWR VPWR _67617_/A sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_8_15_0_u_core.clock clkbuf_7_7_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_31_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_139_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41197_ _41197_/A _41197_/B VGND VGND VPWR VPWR _41197_/Y sky130_fd_sc_hd__nand2_2
+X_76894_ _77353_/CLK _76894_/D VGND VGND VPWR VPWR _59439_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_611_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_2_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_117_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_236_0_u_core.clock clkbuf_7_118_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_473_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_47813_ _47811_/Y _47812_/X VGND VGND VPWR VPWR _47813_/Y sky130_fd_sc_hd__nand2_2
+XPHY_11040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78633_ _78121_/CLK _48273_/Y VGND VGND VPWR VPWR _78633_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_285_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_124_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40148_ _40148_/A _40142_/B VGND VGND VPWR VPWR _40148_/X sky130_fd_sc_hd__or2_2
+X_75845_ _75845_/A _75844_/Y VGND VGND VPWR VPWR _80587_/D sky130_fd_sc_hd__nand2_2
+XPHY_21574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_296_0_u_core.clock clkbuf_9_297_0_u_core.clock/A VGND VGND VPWR VPWR _81352_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_332_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48793_ _48883_/A _48777_/B VGND VGND VPWR VPWR _48794_/B sky130_fd_sc_hd__or2_2
+XFILLER_269_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_529_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_674_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_586_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62810_ _62967_/A _62810_/B _62809_/Y VGND VGND VPWR VPWR _62810_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_449_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47744_ _47773_/A _47740_/X VGND VGND VPWR VPWR _47744_/X sky130_fd_sc_hd__or2_2
+XFILLER_215_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_289_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78564_ _78836_/CLK _48553_/Y VGND VGND VPWR VPWR _63992_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40079_ _40317_/A _40079_/B VGND VGND VPWR VPWR _40081_/A sky130_fd_sc_hd__or2_2
+X_44956_ _44938_/A _44955_/Y VGND VGND VPWR VPWR _44956_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_709_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63790_ _63659_/A _63788_/Y _63790_/C VGND VGND VPWR VPWR _63790_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_250_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75776_ _75794_/A VGND VGND VPWR VPWR _75780_/B sky130_fd_sc_hd__buf_1
+XFILLER_607_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72988_ _73093_/B VGND VGND VPWR VPWR _72988_/X sky130_fd_sc_hd__buf_1
+XFILLER_332_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_408_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77515_ _77515_/CLK _52557_/Y VGND VGND VPWR VPWR _61382_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_466_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43907_ _79282_/Q _43907_/B VGND VGND VPWR VPWR _43907_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_615_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62741_ _49377_/A _62901_/B VGND VGND VPWR VPWR _62741_/Y sky130_fd_sc_hd__nor2_2
+X_74727_ _74724_/X _74726_/Y VGND VGND VPWR VPWR _74727_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_529_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_289_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_250_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_345_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47675_ _47741_/A _47674_/X VGND VGND VPWR VPWR _47676_/B sky130_fd_sc_hd__or2_2
+X_71939_ _70838_/B _71939_/B VGND VGND VPWR VPWR _71939_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_605_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_545_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78495_ _78498_/CLK _48821_/Y VGND VGND VPWR VPWR _48819_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_133_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44887_ _44897_/A _44887_/B VGND VGND VPWR VPWR _79178_/D sky130_fd_sc_hd__nor2_2
+XFILLER_187_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_482_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_426_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_59_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_640_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49414_ _49414_/A _49413_/X VGND VGND VPWR VPWR _78345_/D sky130_fd_sc_hd__nand2_2
+XFILLER_326_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46626_ _46626_/A VGND VGND VPWR VPWR _46626_/X sky130_fd_sc_hd__buf_1
+XFILLER_581_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65460_ _65460_/A _65460_/B _65459_/Y VGND VGND VPWR VPWR _65461_/C sky130_fd_sc_hd__nor3_2
+XFILLER_460_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77446_ _77438_/CLK _77446_/D VGND VGND VPWR VPWR _60588_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43838_ _43690_/A _43848_/B VGND VGND VPWR VPWR _43847_/B sky130_fd_sc_hd__nor2_2
+XFILLER_130_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62672_ _59544_/A VGND VGND VPWR VPWR _63301_/A sky130_fd_sc_hd__buf_1
+XFILLER_588_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74658_ _74658_/A _74657_/Y VGND VGND VPWR VPWR _80880_/D sky130_fd_sc_hd__nand2_2
+XFILLER_460_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_425_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_481_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64411_ _80207_/Q _64410_/X VGND VGND VPWR VPWR _64412_/C sky130_fd_sc_hd__nor2_2
+XFILLER_631_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_343_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_18_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61623_ _61471_/A _61623_/B _61622_/Y VGND VGND VPWR VPWR _61623_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_94_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49345_ _42013_/Y _49331_/X _42015_/Y _49332_/X VGND VGND VPWR VPWR _49510_/A sky130_fd_sc_hd__o22a_4
+XPHY_29882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73609_ _73973_/A _73609_/B VGND VGND VPWR VPWR _73609_/X sky130_fd_sc_hd__or2_2
+XFILLER_206_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_52_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46557_ _46609_/A VGND VGND VPWR VPWR _46557_/X sky130_fd_sc_hd__buf_1
+X_65391_ _65884_/A _65389_/Y _65391_/C VGND VGND VPWR VPWR _65392_/C sky130_fd_sc_hd__nor3_2
+XFILLER_523_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_267_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77377_ _77379_/CLK _53067_/Y VGND VGND VPWR VPWR _61152_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_185_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43769_ _43769_/A _43769_/B _43797_/A VGND VGND VPWR VPWR _43769_/X sky130_fd_sc_hd__and3_2
+XPHY_19370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74589_ _74202_/A _74582_/B VGND VGND VPWR VPWR _74591_/A sky130_fd_sc_hd__or2_2
+XFILLER_499_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_308_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_398_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_425_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67130_ _64626_/X VGND VGND VPWR VPWR _67788_/A sky130_fd_sc_hd__buf_1
+XFILLER_244_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79116_ _79116_/CLK _79116_/D VGND VGND VPWR VPWR _45633_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_679_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45508_ _45492_/A _45352_/B _45513_/C VGND VGND VPWR VPWR _45509_/C sky130_fd_sc_hd__nor3_2
+XFILLER_558_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64342_ _69404_/A _64329_/Y _64342_/C VGND VGND VPWR VPWR _64343_/C sky130_fd_sc_hd__nor3_2
+XFILLER_395_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76328_ _76318_/A _76321_/X _65055_/A VGND VGND VPWR VPWR _76328_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_198_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_226_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61554_ _61095_/A _61552_/Y _61554_/C VGND VGND VPWR VPWR _61554_/Y sky130_fd_sc_hd__nor3_2
+X_49276_ _49276_/A _49276_/B VGND VGND VPWR VPWR _78375_/D sky130_fd_sc_hd__nand2_2
+XFILLER_498_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46488_ _47234_/A _46487_/Y VGND VGND VPWR VPWR _79014_/D sky130_fd_sc_hd__nor2_2
+XFILLER_233_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_180 _72239_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_717_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_178_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_191 _73750_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_124_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60505_ _60505_/A _60187_/B VGND VGND VPWR VPWR _60505_/Y sky130_fd_sc_hd__nor2_2
+X_48227_ _48227_/A _48226_/X VGND VGND VPWR VPWR _48227_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_304_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67061_ _67885_/A VGND VGND VPWR VPWR _67726_/B sky130_fd_sc_hd__buf_1
+XFILLER_528_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79047_ _79074_/CLK _46000_/Y VGND VGND VPWR VPWR _45998_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_421_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45439_ _69624_/C _45457_/B VGND VGND VPWR VPWR _45446_/B sky130_fd_sc_hd__nor2_2
+XPHY_36070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64273_ _64273_/A _64623_/B VGND VGND VPWR VPWR _64273_/Y sky130_fd_sc_hd__nor2_2
+X_76259_ _76259_/A _76263_/B _80486_/Q VGND VGND VPWR VPWR _76259_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_495_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61485_ _61485_/A _61485_/B VGND VGND VPWR VPWR _61487_/B sky130_fd_sc_hd__nor2_2
+XFILLER_410_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_378_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66012_ _65346_/X _66012_/B _66011_/Y VGND VGND VPWR VPWR _66012_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_193_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_304_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63224_ _47560_/A _63223_/X VGND VGND VPWR VPWR _63227_/B sky130_fd_sc_hd__nor2_2
+XFILLER_726_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_14_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_200_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_537_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48158_ _78663_/Q _48166_/B VGND VGND VPWR VPWR _48161_/A sky130_fd_sc_hd__nand2_2
+X_60436_ _60436_/A _60436_/B VGND VGND VPWR VPWR _60436_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_119_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_89_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_186_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_174_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_3061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_31_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47109_ _46425_/B _47109_/B VGND VGND VPWR VPWR _47110_/B sky130_fd_sc_hd__or2_2
+XFILLER_296_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63155_ _62693_/A _63150_/Y _63155_/C VGND VGND VPWR VPWR _63155_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_612_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_317_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60367_ _60046_/A _60365_/Y _60367_/C VGND VGND VPWR VPWR _60371_/B sky130_fd_sc_hd__nor3_2
+X_48089_ _78680_/Q _48089_/B VGND VGND VPWR VPWR _48089_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_88_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_534_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_100_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_474_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_157_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_497_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50120_ _50120_/A _50116_/B VGND VGND VPWR VPWR _50120_/X sky130_fd_sc_hd__or2_2
+XFILLER_376_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62106_ _62106_/A _62105_/X VGND VGND VPWR VPWR _62106_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_128_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39931_ _39941_/A _39945_/B _67980_/A VGND VGND VPWR VPWR _39932_/B sky130_fd_sc_hd__nand3_2
+XFILLER_569_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67963_ _67454_/X _67963_/B _67962_/Y VGND VGND VPWR VPWR _67963_/Y sky130_fd_sc_hd__nor3_2
+X_63086_ _63554_/A _63084_/Y _63086_/C VGND VGND VPWR VPWR _63087_/C sky130_fd_sc_hd__nor3_2
+XFILLER_135_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79949_ _79947_/CLK _40136_/Y VGND VGND VPWR VPWR _79949_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_162_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_689_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60298_ _59964_/A _60290_/Y _60298_/C VGND VGND VPWR VPWR _60298_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_259_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_391_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_291_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_612_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_291_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69702_ _69702_/A _69725_/B VGND VGND VPWR VPWR _69702_/X sky130_fd_sc_hd__and2_2
+XFILLER_710_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50051_ _50049_/Y _50051_/B VGND VGND VPWR VPWR _50051_/Y sky130_fd_sc_hd__nand2_2
+X_66914_ _67072_/A _66914_/B VGND VGND VPWR VPWR _66948_/B sky130_fd_sc_hd__nor2_2
+X_62037_ _61877_/X _62037_/B _62037_/C VGND VGND VPWR VPWR _62037_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_714_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_700_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39862_ _40240_/A VGND VGND VPWR VPWR _39944_/A sky130_fd_sc_hd__buf_1
+XFILLER_83_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_3079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_289_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_170_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67894_ _67894_/A _67894_/B _67894_/C VGND VGND VPWR VPWR _67895_/B sky130_fd_sc_hd__nor3_2
+XFILLER_303_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_216_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38813_ _38809_/A _38805_/B _80284_/Q VGND VGND VPWR VPWR _38813_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_487_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_252_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69633_ _69615_/A _69622_/B _69625_/C _69625_/D VGND VGND VPWR VPWR _69705_/B sky130_fd_sc_hd__nor4_2
+XFILLER_413_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66845_ _67182_/A _66841_/Y _66845_/C VGND VGND VPWR VPWR _66845_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_315_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39793_ _39792_/X _39806_/B _67812_/A VGND VGND VPWR VPWR _39794_/B sky130_fd_sc_hd__nand3_2
+XPHY_7309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_433_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_287_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_580_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53810_ _53838_/A _53819_/B VGND VGND VPWR VPWR _53811_/B sky130_fd_sc_hd__or2_2
+XFILLER_446_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38744_ _38850_/B VGND VGND VPWR VPWR _38760_/B sky130_fd_sc_hd__buf_1
+XFILLER_285_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69564_ _55948_/A _45657_/X _42471_/X VGND VGND VPWR VPWR _76720_/D sky130_fd_sc_hd__nor3_2
+XPHY_6608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54790_ _54797_/A VGND VGND VPWR VPWR _54806_/B sky130_fd_sc_hd__buf_1
+XFILLER_647_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66776_ _66776_/A _66775_/Y VGND VGND VPWR VPWR _66776_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_350_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63988_ _63445_/X _63984_/Y _63988_/C VGND VGND VPWR VPWR _63988_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_190_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_68_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_389_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_29_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_446_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68515_ _43778_/A _68514_/X VGND VGND VPWR VPWR _68518_/B sky130_fd_sc_hd__nor2_2
+XFILLER_582_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65727_ _66550_/A VGND VGND VPWR VPWR _66394_/B sky130_fd_sc_hd__buf_1
+X_53741_ _53741_/A _53751_/B VGND VGND VPWR VPWR _53743_/A sky130_fd_sc_hd__nand2_2
+XFILLER_284_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38675_ _38673_/X _38674_/Y VGND VGND VPWR VPWR _38675_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_543_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50953_ _50925_/A _50956_/B VGND VGND VPWR VPWR _50953_/X sky130_fd_sc_hd__or2_2
+X_62939_ _59130_/X VGND VGND VPWR VPWR _63571_/A sky130_fd_sc_hd__buf_1
+XFILLER_723_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69495_ _79630_/Q _64276_/B VGND VGND VPWR VPWR _69496_/C sky130_fd_sc_hd__nor2_2
+XFILLER_60_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_622_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_446_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56460_ _56695_/A _56460_/B _56459_/Y VGND VGND VPWR VPWR _56460_/X sky130_fd_sc_hd__or3_2
+XFILLER_348_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80724_ _80725_/CLK _75284_/Y VGND VGND VPWR VPWR _65220_/A sky130_fd_sc_hd__dfxtp_4
+X_68446_ _68446_/A _68945_/B VGND VGND VPWR VPWR _68446_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_405_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53672_ _77222_/Q _53672_/B VGND VGND VPWR VPWR _53672_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_57_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65658_ _65658_/A _65658_/B _65658_/C VGND VGND VPWR VPWR _65658_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_408_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_348_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50884_ _50969_/A _50899_/B VGND VGND VPWR VPWR _50884_/X sky130_fd_sc_hd__or2_2
+XFILLER_697_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_45_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_421_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55411_ _45320_/Y _55328_/X VGND VGND VPWR VPWR _55412_/B sky130_fd_sc_hd__nor2_2
+XFILLER_576_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52623_ _52772_/A VGND VGND VPWR VPWR _52741_/A sky130_fd_sc_hd__buf_1
+XFILLER_281_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_524_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64609_ _64609_/A _64608_/Y VGND VGND VPWR VPWR _64610_/B sky130_fd_sc_hd__nor2_2
+XFILLER_541_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56391_ _58517_/A VGND VGND VPWR VPWR _56392_/B sky130_fd_sc_hd__buf_1
+XFILLER_621_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68377_ _38377_/C _67888_/B VGND VGND VPWR VPWR _68377_/Y sky130_fd_sc_hd__nor2_2
+X_80655_ _80685_/CLK _80655_/D VGND VGND VPWR VPWR _80655_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_231_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_678_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65589_ _65589_/A _65585_/Y _65589_/C VGND VGND VPWR VPWR _65589_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_589_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_225_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_25_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_309_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_396_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58130_ _58052_/A _58130_/B _58129_/Y VGND VGND VPWR VPWR _58135_/B sky130_fd_sc_hd__nor3_2
+XFILLER_107_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67328_ _79872_/Q _67328_/B VGND VGND VPWR VPWR _67329_/C sky130_fd_sc_hd__nor2_2
+XFILLER_0_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55342_ _55403_/A VGND VGND VPWR VPWR _55399_/A sky130_fd_sc_hd__buf_1
+XFILLER_602_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52554_ _52551_/Y _52553_/X VGND VGND VPWR VPWR _77516_/D sky130_fd_sc_hd__nand2_2
+XPHY_26519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_263_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_149_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_385_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_587_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80586_ _80584_/CLK _75848_/Y VGND VGND VPWR VPWR _68870_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_52_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_678_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_25_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_40_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_61_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_693_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39227_ _39181_/A VGND VGND VPWR VPWR _39227_/X sky130_fd_sc_hd__buf_1
+XFILLER_420_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51505_ _51505_/A _51497_/X VGND VGND VPWR VPWR _51508_/A sky130_fd_sc_hd__nand2_2
+XFILLER_90_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_142_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_181_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58061_ _57974_/A _58061_/B _58061_/C VGND VGND VPWR VPWR _58067_/B sky130_fd_sc_hd__nor3_2
+XPHY_16029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_2936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55273_ _55265_/Y _55273_/B VGND VGND VPWR VPWR _55294_/C sky130_fd_sc_hd__and2_2
+XFILLER_205_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67259_ _81184_/Q _67259_/B VGND VGND VPWR VPWR _67262_/B sky130_fd_sc_hd__nor2_2
+XFILLER_51_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_494_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52485_ _77534_/Q _52485_/B VGND VGND VPWR VPWR _52485_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_322_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_562_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57012_ _57012_/A _57012_/B VGND VGND VPWR VPWR _57013_/C sky130_fd_sc_hd__nor2_2
+XFILLER_320_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_61_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_674_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_32_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_378_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54224_ _54344_/A _54243_/B VGND VGND VPWR VPWR _54225_/B sky130_fd_sc_hd__or2_2
+XFILLER_14_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51436_ _51436_/A VGND VGND VPWR VPWR _51736_/A sky130_fd_sc_hd__buf_1
+XFILLER_240_1446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39158_ _39181_/A VGND VGND VPWR VPWR _39159_/A sky130_fd_sc_hd__buf_1
+XPHY_15339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70270_ _70270_/A VGND VGND VPWR VPWR _70270_/Y sky130_fd_sc_hd__inv_8
+XFILLER_557_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_539_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_716_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_177_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81207_ _81203_/CLK _73356_/Y VGND VGND VPWR VPWR _81207_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_300_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54155_ _77094_/Q _54152_/B VGND VGND VPWR VPWR _54155_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_339_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39089_ _39089_/A _39079_/B _80210_/Q VGND VGND VPWR VPWR _39089_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_377_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51367_ _53052_/B VGND VGND VPWR VPWR _51468_/B sky130_fd_sc_hd__buf_1
+XPHY_13904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_193_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_704_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_488_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41120_ _39220_/A VGND VGND VPWR VPWR _41120_/X sky130_fd_sc_hd__buf_1
+XFILLER_88_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53106_ _53078_/A _53106_/B VGND VGND VPWR VPWR _53106_/X sky130_fd_sc_hd__or2_2
+XFILLER_4_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_419_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50318_ _50250_/A _50336_/B VGND VGND VPWR VPWR _50319_/B sky130_fd_sc_hd__or2_2
+XFILLER_165_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81138_ _81025_/CLK _81138_/D VGND VGND VPWR VPWR _81138_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_106_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_152_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54086_ _53332_/A VGND VGND VPWR VPWR _54538_/A sky130_fd_sc_hd__buf_1
+X_58963_ _59115_/A VGND VGND VPWR VPWR _59647_/A sky130_fd_sc_hd__buf_1
+XPHY_13948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_474_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51298_ _77848_/Q _51283_/X VGND VGND VPWR VPWR _51300_/A sky130_fd_sc_hd__nand2_2
+XFILLER_155_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_31327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_372_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_689_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57914_ _58082_/A _57914_/B _57914_/C VGND VGND VPWR VPWR _57918_/B sky130_fd_sc_hd__nor3_2
+X_53037_ _61164_/A _53040_/B VGND VGND VPWR VPWR _53037_/Y sky130_fd_sc_hd__nand2_2
+X_41051_ _43822_/A VGND VGND VPWR VPWR _41051_/X sky130_fd_sc_hd__buf_1
+XFILLER_156_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_106_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_407_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73960_ _73963_/A _73963_/B _81047_/Q VGND VGND VPWR VPWR _73960_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_496_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50249_ _50852_/A VGND VGND VPWR VPWR _50250_/A sky130_fd_sc_hd__buf_1
+XFILLER_238_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81069_ _81162_/CLK _81069_/D VGND VGND VPWR VPWR _69321_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_530_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58894_ _59096_/A VGND VGND VPWR VPWR _62367_/A sky130_fd_sc_hd__buf_1
+XFILLER_253_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_171_1507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40002_ _39999_/A _40002_/B _56421_/A VGND VGND VPWR VPWR _40003_/B sky130_fd_sc_hd__nand3_2
+XFILLER_333_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_295_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72911_ _72901_/X _72907_/B _81310_/Q VGND VGND VPWR VPWR _72911_/Y sky130_fd_sc_hd__nand3_2
+XPHY_9267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57845_ _57845_/A _58164_/B VGND VGND VPWR VPWR _57848_/B sky130_fd_sc_hd__nor2_2
+XFILLER_645_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73891_ _73649_/A _73885_/B VGND VGND VPWR VPWR _73891_/X sky130_fd_sc_hd__or2_2
+XFILLER_496_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_62_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_726_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44810_ _75010_/A _44767_/X _44802_/Y _44809_/Y VGND VGND VPWR VPWR _44811_/C sky130_fd_sc_hd__o22a_4
+XPHY_7821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75630_ _75640_/A _75644_/B _75630_/C VGND VGND VPWR VPWR _75630_/Y sky130_fd_sc_hd__nand3_2
+XPHY_20169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72842_ _73638_/A _72842_/B VGND VGND VPWR VPWR _72844_/A sky130_fd_sc_hd__or2_2
+XPHY_7832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45790_ _69924_/Y _46033_/C VGND VGND VPWR VPWR _45790_/X sky130_fd_sc_hd__or2_2
+X_57776_ _67287_/A _57935_/B VGND VGND VPWR VPWR _57776_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_248_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_27_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_704_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_5_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_4_10_1_u_core.clock clkbuf_4_10_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_5_21_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_448_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54988_ _59174_/A _54985_/B VGND VGND VPWR VPWR _54990_/A sky130_fd_sc_hd__nand2_2
+XFILLER_685_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_311_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_114_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59515_ _76863_/Q _59678_/B VGND VGND VPWR VPWR _59515_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_87_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44741_ _44724_/A _44741_/B _44741_/C VGND VGND VPWR VPWR _79199_/D sky130_fd_sc_hd__nor3_2
+XFILLER_112_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56727_ _56727_/A _56392_/B VGND VGND VPWR VPWR _56727_/Y sky130_fd_sc_hd__nor2_2
+X_75561_ _75561_/A _75560_/Y VGND VGND VPWR VPWR _75561_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_483_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41953_ _41952_/Y _41953_/B VGND VGND VPWR VPWR _41955_/B sky130_fd_sc_hd__nor2_2
+XPHY_39624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53939_ _53939_/A _53939_/B VGND VGND VPWR VPWR _53939_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_248_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_581_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72773_ _72773_/A VGND VGND VPWR VPWR _72788_/A sky130_fd_sc_hd__buf_1
+XFILLER_275_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77300_ _77294_/CLK _53384_/Y VGND VGND VPWR VPWR _53381_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_147_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74512_ _74399_/A VGND VGND VPWR VPWR _74526_/B sky130_fd_sc_hd__buf_1
+XFILLER_60_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40904_ _40882_/A VGND VGND VPWR VPWR _40978_/A sky130_fd_sc_hd__buf_1
+XFILLER_612_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47460_ _64054_/A _47460_/B VGND VGND VPWR VPWR _47463_/A sky130_fd_sc_hd__nand2_2
+XFILLER_452_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59446_ _77310_/Q _59446_/B VGND VGND VPWR VPWR _59446_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_19_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71724_ _70566_/X _71724_/B VGND VGND VPWR VPWR _71727_/A sky130_fd_sc_hd__nor2_2
+Xclkbuf_4_13_0_u_core.clock clkbuf_3_6_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_4_13_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_381_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78280_ _78277_/CLK _78280_/D VGND VGND VPWR VPWR _78280_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_90_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44672_ _44803_/A VGND VGND VPWR VPWR _44676_/A sky130_fd_sc_hd__inv_8
+XPHY_28400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56658_ _56364_/X _56657_/Y VGND VGND VPWR VPWR _56658_/Y sky130_fd_sc_hd__nor2_2
+X_75492_ _75999_/A _75501_/B VGND VGND VPWR VPWR _75494_/A sky130_fd_sc_hd__or2_2
+XPHY_29145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41884_ _42384_/A _45397_/A _41883_/X _45335_/A VGND VGND VPWR VPWR _41884_/X sky130_fd_sc_hd__o22a_4
+XPHY_38934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_245_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_235_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_646_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_424_0_u_core.clock clkbuf_9_425_0_u_core.clock/A VGND VGND VPWR VPWR _80039_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_46411_ _46414_/B VGND VGND VPWR VPWR _46412_/B sky130_fd_sc_hd__inv_8
+XPHY_38956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77231_ _77223_/CLK _53641_/Y VGND VGND VPWR VPWR _59541_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_16_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_91_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43623_ _42700_/B _43622_/X VGND VGND VPWR VPWR _43623_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_262_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55609_ _55682_/A _55608_/Y VGND VGND VPWR VPWR _55609_/X sky130_fd_sc_hd__or2_2
+X_74443_ _68076_/A VGND VGND VPWR VPWR _74444_/A sky130_fd_sc_hd__inv_8
+XFILLER_34_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40835_ _40597_/A _40829_/B VGND VGND VPWR VPWR _40835_/X sky130_fd_sc_hd__or2_2
+XPHY_29189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71655_ _71391_/A _71655_/B _71654_/Y VGND VGND VPWR VPWR _71655_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_182_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47391_ _64047_/A _47390_/X VGND VGND VPWR VPWR _47391_/Y sky130_fd_sc_hd__nand2_2
+X_59377_ _59112_/A VGND VGND VPWR VPWR _59378_/A sky130_fd_sc_hd__buf_1
+XFILLER_389_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56589_ _56434_/A _56585_/Y _56588_/Y VGND VGND VPWR VPWR _56589_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_128_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_182_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49130_ _49099_/A _49120_/X VGND VGND VPWR VPWR _49130_/X sky130_fd_sc_hd__or2_2
+XFILLER_281_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_696_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_54_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46342_ _46330_/B VGND VGND VPWR VPWR _46343_/B sky130_fd_sc_hd__inv_8
+XFILLER_129_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70606_ _70606_/A _70061_/A VGND VGND VPWR VPWR _70606_/X sky130_fd_sc_hd__or2_2
+XFILLER_305_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58328_ _58569_/A _58322_/Y _58328_/C VGND VGND VPWR VPWR _58328_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_231_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77162_ _77163_/CLK _77162_/D VGND VGND VPWR VPWR _60047_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_379_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43554_ _42788_/A _43554_/B _43553_/Y VGND VGND VPWR VPWR _79333_/D sky130_fd_sc_hd__nor3_2
+XFILLER_182_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_432_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74374_ _74559_/A VGND VGND VPWR VPWR _74388_/A sky130_fd_sc_hd__buf_1
+XFILLER_308_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_477_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_376_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40766_ _40374_/X _40760_/B VGND VGND VPWR VPWR _40766_/X sky130_fd_sc_hd__or2_2
+XFILLER_203_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_215_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71586_ _70846_/X _71585_/X VGND VGND VPWR VPWR _71586_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_594_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_143_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_187_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_505_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76113_ _38259_/A _76132_/B VGND VGND VPWR VPWR _76115_/A sky130_fd_sc_hd__or2_2
+XFILLER_559_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42505_ _79481_/Q _42472_/X VGND VGND VPWR VPWR _42505_/Y sky130_fd_sc_hd__nor2_2
+X_49061_ _49061_/A _49060_/X VGND VGND VPWR VPWR _78432_/D sky130_fd_sc_hd__nand2_2
+XFILLER_305_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73325_ _73323_/X _73324_/X _67094_/A VGND VGND VPWR VPWR _73327_/A sky130_fd_sc_hd__nand3_2
+XFILLER_124_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_537_2901 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46273_ _46273_/A _46273_/B _46241_/A VGND VGND VPWR VPWR _46274_/C sky130_fd_sc_hd__nor3_2
+X_58259_ _58336_/A _58255_/Y _58259_/C VGND VGND VPWR VPWR _58259_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_30_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70537_ _70537_/A VGND VGND VPWR VPWR _70537_/X sky130_fd_sc_hd__buf_1
+XFILLER_726_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_395_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77093_ _77093_/CLK _77093_/D VGND VGND VPWR VPWR _77093_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_635_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43485_ _43485_/A VGND VGND VPWR VPWR _55307_/A sky130_fd_sc_hd__buf_1
+XFILLER_365_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40697_ _40695_/X _40696_/Y VGND VGND VPWR VPWR _79808_/D sky130_fd_sc_hd__nand2_2
+XFILLER_377_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_594_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_576_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48012_ _49196_/A VGND VGND VPWR VPWR _48257_/A sky130_fd_sc_hd__buf_1
+XPHY_2172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_572_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_565_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45224_ _45264_/A _45224_/B VGND VGND VPWR VPWR _45224_/X sky130_fd_sc_hd__or2_2
+X_76044_ _76008_/A VGND VGND VPWR VPWR _76045_/B sky130_fd_sc_hd__buf_1
+XFILLER_54_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42436_ _42811_/A VGND VGND VPWR VPWR _42461_/A sky130_fd_sc_hd__inv_8
+XPHY_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73256_ _73253_/A _73253_/B _64222_/A VGND VGND VPWR VPWR _73256_/Y sky130_fd_sc_hd__nand3_2
+X_61270_ _52319_/A _61113_/X VGND VGND VPWR VPWR _61270_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_708_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_34_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70468_ _70465_/X _70468_/B VGND VGND VPWR VPWR _70468_/X sky130_fd_sc_hd__and2_2
+XFILLER_195_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_195_0_u_core.clock clkbuf_7_97_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_391_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_338_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_158_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_575_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60221_ _60221_/A _59571_/B VGND VGND VPWR VPWR _60223_/B sky130_fd_sc_hd__nor2_2
+XPHY_1482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72207_ _72183_/X _72190_/X _68278_/A VGND VGND VPWR VPWR _72208_/B sky130_fd_sc_hd__nand3_2
+XPHY_15862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_506_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45155_ _45141_/Y _45153_/Y _45154_/X VGND VGND VPWR VPWR _45155_/Y sky130_fd_sc_hd__nor3_2
+XPHY_33230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_3068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42367_ _42320_/X _42389_/B _42399_/A _42343_/Y VGND VGND VPWR VPWR _42368_/B sky130_fd_sc_hd__o22a_4
+XFILLER_372_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_67_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73187_ _75003_/A _73191_/B VGND VGND VPWR VPWR _73187_/X sky130_fd_sc_hd__or2_2
+XFILLER_655_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70399_ _70396_/X VGND VGND VPWR VPWR _70399_/Y sky130_fd_sc_hd__inv_8
+XFILLER_494_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44106_ _44073_/X _44105_/Y VGND VGND VPWR VPWR _44106_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_274_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79803_ _79775_/CLK _79803_/D VGND VGND VPWR VPWR _66503_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_453_3092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41318_ _41304_/A _41315_/B _58013_/A VGND VGND VPWR VPWR _41319_/B sky130_fd_sc_hd__nand3_2
+XPHY_33274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60152_ _60152_/A _59833_/B VGND VGND VPWR VPWR _60153_/C sky130_fd_sc_hd__nor2_2
+X_72138_ _71722_/B _72061_/Y VGND VGND VPWR VPWR _72138_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_531_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49963_ _49994_/A _49967_/B VGND VGND VPWR VPWR _49963_/X sky130_fd_sc_hd__or2_2
+XFILLER_455_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45086_ _45086_/A VGND VGND VPWR VPWR _45095_/A sky130_fd_sc_hd__buf_1
+XPHY_33285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42298_ _41887_/A _42298_/B VGND VGND VPWR VPWR _42298_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_668_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77995_ _78002_/CLK _77995_/D VGND VGND VPWR VPWR _50737_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_354_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44037_ _44037_/A VGND VGND VPWR VPWR _44038_/A sky130_fd_sc_hd__inv_8
+X_48914_ _62922_/A _48893_/B VGND VGND VPWR VPWR _48916_/A sky130_fd_sc_hd__nand2_2
+XFILLER_217_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_531_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79734_ _79750_/CLK _79734_/D VGND VGND VPWR VPWR _56977_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_432_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41249_ _41120_/X _41256_/B VGND VGND VPWR VPWR _41252_/A sky130_fd_sc_hd__or2_2
+XFILLER_694_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64960_ _64438_/A VGND VGND VPWR VPWR _65808_/A sky130_fd_sc_hd__buf_1
+X_60083_ _59763_/A _60083_/B _60082_/Y VGND VGND VPWR VPWR _60083_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_338_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_488_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76946_ _76975_/CLK _76946_/D VGND VGND VPWR VPWR _59943_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_22061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72069_ _72061_/Y _72068_/Y VGND VGND VPWR VPWR _72141_/A sky130_fd_sc_hd__nor2_2
+X_49894_ _49910_/B VGND VGND VPWR VPWR _49907_/B sky130_fd_sc_hd__buf_1
+XFILLER_471_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_436_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_315_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_174_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_100_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_298_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_136_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63911_ _78803_/Q _63911_/B VGND VGND VPWR VPWR _63913_/B sky130_fd_sc_hd__nor2_2
+XFILLER_410_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48845_ _48845_/A _48845_/B VGND VGND VPWR VPWR _48845_/X sky130_fd_sc_hd__or2_2
+XPHY_21360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79665_ _79626_/CLK _79665_/D VGND VGND VPWR VPWR _64790_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_48_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64891_ _64496_/X _64889_/Y _64890_/Y VGND VGND VPWR VPWR _64891_/Y sky130_fd_sc_hd__nor3_2
+XPHY_31894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76877_ _77252_/CLK _54962_/Y VGND VGND VPWR VPWR _59201_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_351_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_285_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_484_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66630_ _64959_/A VGND VGND VPWR VPWR _66982_/A sky130_fd_sc_hd__buf_1
+X_78616_ _78589_/CLK _48354_/Y VGND VGND VPWR VPWR _78616_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_117_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63842_ _59468_/A _63842_/B _63842_/C VGND VGND VPWR VPWR _63850_/B sky130_fd_sc_hd__nor3_2
+X_75828_ _75841_/A VGND VGND VPWR VPWR _75828_/X sky130_fd_sc_hd__buf_1
+XFILLER_512_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_629_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48776_ _48767_/A VGND VGND VPWR VPWR _48777_/B sky130_fd_sc_hd__buf_1
+XFILLER_614_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79596_ _79568_/CLK _79596_/D VGND VGND VPWR VPWR _79596_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_39_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_25_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45988_ _45891_/A VGND VGND VPWR VPWR _46005_/B sky130_fd_sc_hd__buf_1
+XFILLER_550_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_300_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_466_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_250_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47727_ _47725_/Y _47726_/X VGND VGND VPWR VPWR _47727_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_61_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66561_ _66726_/A _66558_/Y _66561_/C VGND VGND VPWR VPWR _66562_/C sky130_fd_sc_hd__nor3_2
+XFILLER_586_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78547_ _78517_/CLK _78547_/D VGND VGND VPWR VPWR _63846_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_449_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44939_ _44911_/X VGND VGND VPWR VPWR _44939_/X sky130_fd_sc_hd__buf_1
+XFILLER_681_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63773_ _63773_/A _59210_/B VGND VGND VPWR VPWR _63775_/B sky130_fd_sc_hd__nor2_2
+XFILLER_39_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75759_ _75999_/A _75772_/B VGND VGND VPWR VPWR _75761_/A sky130_fd_sc_hd__or2_2
+XFILLER_461_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60985_ _61452_/A _60985_/B _60985_/C VGND VGND VPWR VPWR _61004_/A sky130_fd_sc_hd__nor3_2
+XFILLER_604_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_310_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68300_ _66654_/A VGND VGND VPWR VPWR _68305_/A sky130_fd_sc_hd__buf_1
+XFILLER_455_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65512_ _65335_/A _65512_/B _65512_/C VGND VGND VPWR VPWR _65516_/B sky130_fd_sc_hd__nor3_2
+XFILLER_599_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38460_ _38454_/A _38460_/B _64502_/A VGND VGND VPWR VPWR _38460_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_646_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62724_ _62099_/X _62724_/B _62723_/Y VGND VGND VPWR VPWR _62728_/B sky130_fd_sc_hd__nor3_2
+XFILLER_289_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69280_ _44701_/A _69419_/B VGND VGND VPWR VPWR _69417_/A sky130_fd_sc_hd__nor2_2
+XFILLER_482_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_165_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47658_ _47817_/A VGND VGND VPWR VPWR _47730_/A sky130_fd_sc_hd__buf_1
+X_66492_ _66160_/A _66481_/Y _66491_/Y VGND VGND VPWR VPWR _66493_/B sky130_fd_sc_hd__nor3_2
+XFILLER_349_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78478_ _77937_/CLK _48884_/Y VGND VGND VPWR VPWR _63077_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_253_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_39_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68231_ _68231_/A VGND VGND VPWR VPWR _68903_/B sky130_fd_sc_hd__buf_1
+XFILLER_460_3074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_421_3025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46609_ _46609_/A VGND VGND VPWR VPWR _46609_/X sky130_fd_sc_hd__buf_1
+XFILLER_443_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65443_ _81173_/Q _64919_/B VGND VGND VPWR VPWR _65445_/B sky130_fd_sc_hd__nor2_2
+XFILLER_282_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77429_ _76779_/CLK _52874_/Y VGND VGND VPWR VPWR _60445_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_280_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38391_ _38271_/A _38391_/B VGND VGND VPWR VPWR _38391_/X sky130_fd_sc_hd__or2_2
+XFILLER_603_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62655_ _62655_/A _62189_/X VGND VGND VPWR VPWR _62655_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_588_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47589_ _47647_/A _47582_/X VGND VGND VPWR VPWR _47590_/B sky130_fd_sc_hd__or2_2
+XFILLER_605_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_523_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_241_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_91_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_263_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_601_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_675_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_523_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61606_ _61606_/A _62081_/B VGND VGND VPWR VPWR _61606_/Y sky130_fd_sc_hd__nor2_2
+X_49328_ _62744_/A _49349_/B VGND VGND VPWR VPWR _49328_/Y sky130_fd_sc_hd__nand2_2
+X_80440_ _80408_/CLK _80440_/D VGND VGND VPWR VPWR _76430_/C sky130_fd_sc_hd__dfxtp_4
+X_68162_ _68162_/A _68158_/Y _68162_/C VGND VGND VPWR VPWR _68171_/B sky130_fd_sc_hd__nor3_2
+XFILLER_234_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_675_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65374_ _65866_/A _65374_/B VGND VGND VPWR VPWR _65375_/C sky130_fd_sc_hd__nor2_2
+XFILLER_185_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_703_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62586_ _62426_/A _62586_/B _62585_/Y VGND VGND VPWR VPWR _62587_/C sky130_fd_sc_hd__nor3_2
+XFILLER_62_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_556_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_181_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_163_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67113_ _67113_/A _67112_/Y VGND VGND VPWR VPWR _67114_/B sky130_fd_sc_hd__nor2_2
+XFILLER_410_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64325_ _64075_/A VGND VGND VPWR VPWR _64594_/A sky130_fd_sc_hd__buf_1
+XFILLER_143_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61537_ _52942_/A _61390_/B VGND VGND VPWR VPWR _61537_/Y sky130_fd_sc_hd__nor2_2
+X_49259_ _49230_/A _49259_/B VGND VGND VPWR VPWR _49259_/X sky130_fd_sc_hd__or2_2
+XFILLER_37_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80371_ _80375_/CLK _38450_/Y VGND VGND VPWR VPWR _38449_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_406_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68093_ _68093_/A _67592_/B VGND VGND VPWR VPWR _68093_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_367_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_557_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39012_ _39012_/A _39017_/B _80231_/Q VGND VGND VPWR VPWR _39012_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_194_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_3102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67044_ _67044_/A VGND VGND VPWR VPWR _67214_/A sky130_fd_sc_hd__buf_1
+XFILLER_378_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52270_ _52182_/A _52267_/B VGND VGND VPWR VPWR _52270_/X sky130_fd_sc_hd__or2_2
+XFILLER_383_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64256_ _64255_/X VGND VGND VPWR VPWR _64264_/A sky130_fd_sc_hd__buf_1
+XFILLER_391_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61468_ _60687_/A VGND VGND VPWR VPWR _61469_/B sky130_fd_sc_hd__buf_1
+XFILLER_21_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_159_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_590_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51221_ _51221_/A _51220_/X VGND VGND VPWR VPWR _77862_/D sky130_fd_sc_hd__nand2_2
+X_63207_ _63199_/X _63202_/Y _63206_/Y VGND VGND VPWR VPWR _63207_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_200_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60419_ _62365_/A VGND VGND VPWR VPWR _60419_/X sky130_fd_sc_hd__buf_1
+XFILLER_119_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64187_ _64075_/A VGND VGND VPWR VPWR _64464_/A sky130_fd_sc_hd__buf_1
+XFILLER_219_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61399_ _59818_/X VGND VGND VPWR VPWR _61402_/A sky130_fd_sc_hd__buf_1
+XFILLER_571_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_15_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_653_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51152_ _51152_/A _51155_/B VGND VGND VPWR VPWR _51152_/X sky130_fd_sc_hd__or2_2
+XFILLER_147_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63138_ _63304_/A _63138_/B _63137_/Y VGND VGND VPWR VPWR _63139_/C sky130_fd_sc_hd__nor3_2
+XFILLER_391_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_612_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_294_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68995_ _68669_/A _68995_/B _68994_/Y VGND VGND VPWR VPWR _68996_/C sky130_fd_sc_hd__nor3_2
+XFILLER_390_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_631_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_496_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_701_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50103_ _50091_/A VGND VGND VPWR VPWR _50116_/B sky130_fd_sc_hd__buf_1
+XFILLER_334_2841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39914_ _39914_/A _39914_/B VGND VGND VPWR VPWR _80009_/D sky130_fd_sc_hd__nand2_2
+XFILLER_137_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_200_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_235_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51083_ _51055_/A _51083_/B VGND VGND VPWR VPWR _51083_/X sky130_fd_sc_hd__or2_2
+X_55960_ _55960_/A VGND VGND VPWR VPWR _56097_/A sky130_fd_sc_hd__inv_8
+XFILLER_512_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63069_ _62450_/A _63068_/Y VGND VGND VPWR VPWR _63069_/Y sky130_fd_sc_hd__nor2_2
+X_67946_ _67946_/A _68602_/B VGND VGND VPWR VPWR _67946_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_135_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_540_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_192_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_477_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_391_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_143_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50034_ _50059_/B VGND VGND VPWR VPWR _50049_/B sky130_fd_sc_hd__buf_1
+X_54911_ _54939_/A _54929_/B VGND VGND VPWR VPWR _54912_/B sky130_fd_sc_hd__or2_2
+XFILLER_521_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_478_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39845_ _39211_/A VGND VGND VPWR VPWR _39977_/A sky130_fd_sc_hd__buf_1
+XFILLER_389_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55891_ _55909_/A _55891_/B VGND VGND VPWR VPWR _55891_/Y sky130_fd_sc_hd__nor2_2
+X_67877_ _67877_/A VGND VGND VPWR VPWR _67894_/A sky130_fd_sc_hd__buf_1
+XFILLER_369_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_216 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57630_ _79967_/Q _57708_/B VGND VGND VPWR VPWR _57630_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_311_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69616_ _69630_/B VGND VGND VPWR VPWR _69622_/B sky130_fd_sc_hd__buf_1
+XFILLER_686_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54842_ _54839_/Y _54842_/B VGND VGND VPWR VPWR _54842_/Y sky130_fd_sc_hd__nand2_2
+X_66828_ _66828_/A _66828_/B _66827_/Y VGND VGND VPWR VPWR _66837_/B sky130_fd_sc_hd__nor3_2
+XFILLER_682_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39776_ _76111_/A VGND VGND VPWR VPWR _40281_/A sky130_fd_sc_hd__buf_1
+XFILLER_83_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_524_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_1436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_446_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38727_ _38600_/A _38624_/X VGND VGND VPWR VPWR _38727_/X sky130_fd_sc_hd__or2_2
+XFILLER_2_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57561_ _57561_/A _57561_/B _57561_/C VGND VGND VPWR VPWR _57561_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_57_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69547_ _64341_/A _69547_/B _69547_/C VGND VGND VPWR VPWR _69551_/B sky130_fd_sc_hd__nor3_2
+XFILLER_233_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_131_1535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54773_ _54773_/A _54772_/X VGND VGND VPWR VPWR _54773_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_480_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66759_ _66759_/A _66932_/B VGND VGND VPWR VPWR _66759_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_257_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51985_ _51985_/A _51985_/B VGND VGND VPWR VPWR _77666_/D sky130_fd_sc_hd__nand2_2
+XPHY_5704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_607_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59300_ _63893_/A _59300_/B _59300_/C VGND VGND VPWR VPWR _59301_/C sky130_fd_sc_hd__nor3_2
+XFILLER_2_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56512_ _56433_/A _56509_/Y _56511_/Y VGND VGND VPWR VPWR _56512_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_61_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_79_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53724_ _53724_/A _53724_/B VGND VGND VPWR VPWR _53724_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_434_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50936_ _54758_/A _50908_/B VGND VGND VPWR VPWR _50937_/A sky130_fd_sc_hd__or2_2
+XFILLER_99_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_186_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38658_ _38512_/A _38669_/B VGND VGND VPWR VPWR _38658_/X sky130_fd_sc_hd__or2_2
+XFILLER_508_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57492_ _57492_/A _57411_/B VGND VGND VPWR VPWR _57492_/Y sky130_fd_sc_hd__nor2_2
+X_69478_ _69478_/A _69478_/B _69478_/C VGND VGND VPWR VPWR _69478_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_45_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_245_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_705_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_183_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59231_ _59160_/A VGND VGND VPWR VPWR _62238_/A sky130_fd_sc_hd__buf_1
+XFILLER_96_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_112_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_37518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80707_ _80736_/CLK _75350_/Y VGND VGND VPWR VPWR _75349_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_461_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56443_ _57257_/A VGND VGND VPWR VPWR _56764_/B sky130_fd_sc_hd__buf_1
+XFILLER_309_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68429_ _79591_/Q _68602_/B VGND VGND VPWR VPWR _68431_/B sky130_fd_sc_hd__nor2_2
+XFILLER_16_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_285_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53655_ _53655_/A _53654_/X VGND VGND VPWR VPWR _53655_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_383_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38589_ _38586_/X _38589_/B VGND VGND VPWR VPWR _38589_/Y sky130_fd_sc_hd__nand2_2
+X_50867_ _50867_/A _50867_/B VGND VGND VPWR VPWR _50867_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_650_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_281_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40620_ _40617_/A _40630_/B _56752_/A VGND VGND VPWR VPWR _40621_/B sky130_fd_sc_hd__nand3_2
+XFILLER_146_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52606_ _54517_/A _52670_/B VGND VGND VPWR VPWR _52640_/B sky130_fd_sc_hd__or2_2
+XFILLER_309_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59162_ _77141_/Q _59403_/B VGND VGND VPWR VPWR _59166_/B sky130_fd_sc_hd__nor2_2
+X_71440_ _70325_/X _71380_/B VGND VGND VPWR VPWR _71440_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_225_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_57_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_38_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56374_ _64260_/A _58786_/B VGND VGND VPWR VPWR _56374_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_305_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80638_ _80637_/CLK _80638_/D VGND VGND VPWR VPWR _80638_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_26305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1617 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53586_ _53586_/A _53650_/B VGND VGND VPWR VPWR _53596_/A sky130_fd_sc_hd__or2_2
+XFILLER_129_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_601_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50798_ _50827_/A _50807_/B VGND VGND VPWR VPWR _50799_/B sky130_fd_sc_hd__or2_2
+XFILLER_242_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_16_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_361_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_400_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58113_ _58352_/A _58113_/B _58112_/Y VGND VGND VPWR VPWR _58114_/C sky130_fd_sc_hd__nor3_2
+XFILLER_25_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55325_ _55325_/A VGND VGND VPWR VPWR _55466_/A sky130_fd_sc_hd__buf_1
+XFILLER_246_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40551_ _40549_/X _40551_/B VGND VGND VPWR VPWR _40551_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_12_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_107_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52537_ _60939_/A _52522_/X VGND VGND VPWR VPWR _52537_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_619_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71371_ _71224_/X _71358_/X _71240_/X _42580_/B VGND VGND VPWR VPWR _71372_/A sky130_fd_sc_hd__o22a_4
+X_59093_ _59093_/A VGND VGND VPWR VPWR _59094_/A sky130_fd_sc_hd__buf_1
+XFILLER_90_1530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_181_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80569_ _80543_/CLK _75912_/Y VGND VGND VPWR VPWR _66073_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_600_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_636_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_619_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73110_ _73110_/A VGND VGND VPWR VPWR _73258_/B sky130_fd_sc_hd__buf_1
+XPHY_25637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58044_ _67988_/A _57795_/B VGND VGND VPWR VPWR _58045_/C sky130_fd_sc_hd__nor2_2
+X_70322_ _70226_/A _70321_/X VGND VGND VPWR VPWR _70323_/B sky130_fd_sc_hd__nor2_2
+XPHY_24903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43270_ _71262_/B _43258_/B VGND VGND VPWR VPWR _43270_/X sky130_fd_sc_hd__or2_2
+X_55256_ _55256_/A _44942_/B VGND VGND VPWR VPWR _55310_/A sky130_fd_sc_hd__nor2_2
+X_74090_ _76164_/A VGND VGND VPWR VPWR _74231_/A sky130_fd_sc_hd__buf_1
+XFILLER_373_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40482_ _40480_/X _40482_/B VGND VGND VPWR VPWR _40482_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_724_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52468_ _61254_/A _52458_/X VGND VGND VPWR VPWR _52468_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_495_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_138_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42221_ _79501_/Q VGND VGND VPWR VPWR _45142_/A sky130_fd_sc_hd__buf_1
+XFILLER_142_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54207_ _77080_/Q _54207_/B VGND VGND VPWR VPWR _54207_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_292_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73041_ _73041_/A _73041_/B VGND VGND VPWR VPWR _73041_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_177_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51419_ _51419_/A _51419_/B VGND VGND VPWR VPWR _77817_/D sky130_fd_sc_hd__nand2_2
+XPHY_14424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70253_ _70252_/Y _70242_/Y VGND VGND VPWR VPWR _70254_/A sky130_fd_sc_hd__or2_2
+XFILLER_636_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55187_ _55187_/A _55186_/X VGND VGND VPWR VPWR _76834_/D sky130_fd_sc_hd__or2_2
+XFILLER_396_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_202_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_80 _59612_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_519_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_459_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52399_ _52397_/Y _52399_/B VGND VGND VPWR VPWR _77557_/D sky130_fd_sc_hd__nand2_2
+XFILLER_437_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_181_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_91 _60728_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_197_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_126_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_153_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_450_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_300_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42152_ _42152_/A _42151_/Y _42149_/C VGND VGND VPWR VPWR _79512_/D sky130_fd_sc_hd__nor3_2
+XPHY_14468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54138_ _54138_/A _54138_/B VGND VGND VPWR VPWR _54138_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_315_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_382_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70184_ _70184_/A VGND VGND VPWR VPWR _70184_/X sky130_fd_sc_hd__buf_1
+XPHY_14479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_21_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59995_ _77066_/Q _59685_/B VGND VGND VPWR VPWR _59995_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_613_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_370_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_214_0_u_core.clock clkbuf_9_215_0_u_core.clock/A VGND VGND VPWR VPWR _79175_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_13745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_181_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41103_ _41103_/A _41089_/X VGND VGND VPWR VPWR _41103_/X sky130_fd_sc_hd__or2_2
+XPHY_31124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_370_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76800_ _76800_/CLK _55578_/Y VGND VGND VPWR VPWR _71749_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_84_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46960_ _46960_/A _46960_/B VGND VGND VPWR VPWR _46961_/B sky130_fd_sc_hd__and2_2
+X_42083_ _70213_/A _42090_/B VGND VGND VPWR VPWR _42085_/A sky130_fd_sc_hd__nor2_2
+XPHY_13778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54069_ _60205_/A _54080_/B VGND VGND VPWR VPWR _54069_/Y sky130_fd_sc_hd__nand2_2
+X_58946_ _59073_/A VGND VGND VPWR VPWR _58946_/X sky130_fd_sc_hd__buf_1
+XFILLER_513_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77780_ _77799_/CLK _77780_/D VGND VGND VPWR VPWR _51556_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74992_ _74992_/A _74985_/B VGND VGND VPWR VPWR _74992_/X sky130_fd_sc_hd__or2_2
+XPHY_13789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_390_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41034_ _40837_/A VGND VGND VPWR VPWR _41127_/A sky130_fd_sc_hd__buf_1
+X_45911_ _45840_/X VGND VGND VPWR VPWR _45911_/X sky130_fd_sc_hd__buf_1
+XPHY_30434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76731_ _78860_/CLK _60102_/Y VGND VGND VPWR VPWR _45332_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_7_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73943_ _73943_/A _73943_/B VGND VGND VPWR VPWR _81052_/D sky130_fd_sc_hd__nand2_2
+XFILLER_45_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_657_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_383_0_u_core.clock clkbuf_9_383_0_u_core.clock/A VGND VGND VPWR VPWR _77176_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_46891_ _46882_/A _46890_/X VGND VGND VPWR VPWR _78935_/D sky130_fd_sc_hd__nor2_2
+XFILLER_273_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58877_ _58877_/A _58877_/B _58876_/Y VGND VGND VPWR VPWR _58877_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_133_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_270_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48630_ _48940_/A VGND VGND VPWR VPWR _48721_/A sky130_fd_sc_hd__buf_1
+XFILLER_114_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79450_ _78951_/CLK _79450_/D VGND VGND VPWR VPWR _79450_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_270_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45842_ _45842_/A _45816_/B VGND VGND VPWR VPWR _45848_/B sky130_fd_sc_hd__nor2_2
+X_57828_ _56623_/A VGND VGND VPWR VPWR _57828_/X sky130_fd_sc_hd__buf_1
+XPHY_30489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76662_ _81285_/CLK _76662_/D VGND VGND VPWR VPWR _70390_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_8363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73874_ _73866_/A _73874_/B _69461_/A VGND VGND VPWR VPWR _73875_/B sky130_fd_sc_hd__nand3_2
+XFILLER_434_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_95_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_483_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78401_ _78840_/CLK _49183_/Y VGND VGND VPWR VPWR _78401_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_587_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_48_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75613_ _75620_/A _75626_/B _80648_/Q VGND VGND VPWR VPWR _75613_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_43_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_682_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_40_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48561_ _63599_/A _48554_/B VGND VGND VPWR VPWR _48563_/A sky130_fd_sc_hd__nand2_2
+XFILLER_673_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72825_ _72823_/X _72825_/B VGND VGND VPWR VPWR _72825_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_409_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79381_ _79347_/CLK _43320_/Y VGND VGND VPWR VPWR _79381_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_706_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45773_ _45772_/Y _45695_/X VGND VGND VPWR VPWR _45773_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_208_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57759_ _57759_/A _57516_/B VGND VGND VPWR VPWR _57761_/B sky130_fd_sc_hd__nor2_2
+XFILLER_130_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76593_ VGND VGND VPWR VPWR _76593_/HI la_data_out[89] sky130_fd_sc_hd__conb_1
+XFILLER_94_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42985_ _71947_/B _42971_/B VGND VGND VPWR VPWR _42985_/X sky130_fd_sc_hd__or2_2
+XFILLER_463_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47512_ _47512_/A VGND VGND VPWR VPWR _47838_/A sky130_fd_sc_hd__buf_1
+XFILLER_726_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_130_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78332_ _78332_/CLK _49462_/Y VGND VGND VPWR VPWR _62907_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44724_ _44724_/A _44721_/Y _44723_/X VGND VGND VPWR VPWR _44724_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_286_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75544_ _75534_/A _75528_/X _75544_/C VGND VGND VPWR VPWR _75545_/B sky130_fd_sc_hd__nand3_2
+XFILLER_188_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41936_ _41933_/Y _41935_/X VGND VGND VPWR VPWR _41937_/B sky130_fd_sc_hd__nor2_2
+XPHY_39454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72756_ _72770_/A _72751_/X _72756_/C VGND VGND VPWR VPWR _72756_/Y sky130_fd_sc_hd__nand3_2
+X_60770_ _60625_/A _60765_/Y _60769_/Y VGND VGND VPWR VPWR _60779_/B sky130_fd_sc_hd__nor3_2
+XPHY_6972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48492_ _78580_/Q _48491_/X VGND VGND VPWR VPWR _48492_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_561_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_452_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_524_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_499_0_u_core.clock clkbuf_9_499_0_u_core.clock/A VGND VGND VPWR VPWR _80518_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_62_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_264_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_381_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_75_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_303_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71707_ _71443_/X _71707_/B VGND VGND VPWR VPWR _71708_/D sky130_fd_sc_hd__nor2_2
+X_47443_ _47443_/A _47436_/X VGND VGND VPWR VPWR _47443_/X sky130_fd_sc_hd__or2_2
+XFILLER_559_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59429_ _59429_/A _59429_/B VGND VGND VPWR VPWR _59429_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78263_ _78276_/CLK _49728_/Y VGND VGND VPWR VPWR _78263_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_39498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_522_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44655_ _44655_/A VGND VGND VPWR VPWR _65552_/A sky130_fd_sc_hd__inv_8
+XFILLER_40_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75475_ _74718_/A VGND VGND VPWR VPWR _75988_/A sky130_fd_sc_hd__buf_1
+XFILLER_597_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41867_ _41866_/X VGND VGND VPWR VPWR _41868_/A sky130_fd_sc_hd__buf_1
+XFILLER_189_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72687_ _72684_/X _72686_/Y VGND VGND VPWR VPWR _72687_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_225_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77214_ _77214_/CLK _53702_/Y VGND VGND VPWR VPWR _53700_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_520_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_640_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43606_ _71570_/A _43599_/B VGND VGND VPWR VPWR _43608_/B sky130_fd_sc_hd__nor2_2
+XFILLER_461_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_166_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62440_ _62281_/A _62438_/Y _62440_/C VGND VGND VPWR VPWR _62440_/Y sky130_fd_sc_hd__nor3_2
+X_74426_ _68732_/A VGND VGND VPWR VPWR _74426_/Y sky130_fd_sc_hd__inv_8
+XFILLER_496_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40818_ _40761_/A VGND VGND VPWR VPWR _40823_/A sky130_fd_sc_hd__buf_1
+XFILLER_188_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_600_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_1_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47374_ _47374_/A _47374_/B VGND VGND VPWR VPWR _78857_/D sky130_fd_sc_hd__nand2_2
+XFILLER_442_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71638_ _39184_/A VGND VGND VPWR VPWR _42948_/A sky130_fd_sc_hd__buf_1
+XFILLER_700_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78194_ _78191_/CLK _49989_/Y VGND VGND VPWR VPWR _78194_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_499_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44586_ _44586_/A _44584_/Y _44586_/C VGND VGND VPWR VPWR _44586_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_44_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_147_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41798_ wbs_dat_i[7] VGND VGND VPWR VPWR _41798_/Y sky130_fd_sc_hd__inv_8
+XFILLER_538_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49113_ _49110_/X VGND VGND VPWR VPWR _49114_/B sky130_fd_sc_hd__buf_1
+XFILLER_676_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_594_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46325_ _46323_/X _46325_/B VGND VGND VPWR VPWR _46355_/A sky130_fd_sc_hd__nand2_2
+XFILLER_241_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77145_ _77142_/CLK _53963_/Y VGND VGND VPWR VPWR _53961_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_520_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43537_ _42428_/D _43529_/X _43537_/C _43537_/D VGND VGND VPWR VPWR _43537_/X sky130_fd_sc_hd__and4_2
+XFILLER_529_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62371_ _78233_/Q _61753_/X VGND VGND VPWR VPWR _62373_/B sky130_fd_sc_hd__nor2_2
+X_74357_ _74301_/A VGND VGND VPWR VPWR _74371_/B sky130_fd_sc_hd__buf_1
+XFILLER_349_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40749_ _40505_/X _40755_/B VGND VGND VPWR VPWR _40751_/A sky130_fd_sc_hd__or2_2
+XFILLER_206_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_674_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71569_ _71567_/X _71569_/B VGND VGND VPWR VPWR _71569_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_297_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_438_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64110_ _65207_/A VGND VGND VPWR VPWR _64859_/B sky130_fd_sc_hd__buf_1
+XFILLER_121_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49044_ _49044_/A VGND VGND VPWR VPWR _49052_/B sky130_fd_sc_hd__buf_1
+X_61322_ _59740_/A VGND VGND VPWR VPWR _61323_/B sky130_fd_sc_hd__buf_1
+XFILLER_62_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73308_ _74985_/A _73308_/B VGND VGND VPWR VPWR _73308_/X sky130_fd_sc_hd__or2_2
+XFILLER_15_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_422_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46256_ _41748_/Y _46238_/B VGND VGND VPWR VPWR _46258_/A sky130_fd_sc_hd__nor2_2
+X_65090_ _65090_/A _64919_/B VGND VGND VPWR VPWR _65090_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_364_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77076_ _77061_/CLK _77076_/D VGND VGND VPWR VPWR _54221_/A sky130_fd_sc_hd__dfxtp_4
+X_43468_ _43465_/X _43468_/B VGND VGND VPWR VPWR _43468_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_223_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74288_ _74285_/A _74285_/B _80972_/Q VGND VGND VPWR VPWR _74289_/B sky130_fd_sc_hd__nand3_2
+XFILLER_473_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_653_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_717_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45207_ _45207_/A _45207_/B VGND VGND VPWR VPWR _45207_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_670_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64041_ _59202_/A _64041_/B _64040_/Y VGND VGND VPWR VPWR _64045_/B sky130_fd_sc_hd__nor3_2
+X_76027_ _76020_/A _76027_/B _66232_/A VGND VGND VPWR VPWR _76027_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_175_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_518_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42419_ _43010_/A _42419_/B VGND VGND VPWR VPWR _79485_/D sky130_fd_sc_hd__nor2_2
+XFILLER_86_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61253_ _59355_/A VGND VGND VPWR VPWR _61254_/B sky130_fd_sc_hd__buf_1
+X_73239_ _74968_/A VGND VGND VPWR VPWR _73239_/X sky130_fd_sc_hd__buf_1
+XFILLER_318_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_199_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_160_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_321_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46187_ _46187_/A _46117_/X _46187_/C _46186_/Y VGND VGND VPWR VPWR _46188_/D sky130_fd_sc_hd__nor4_2
+XFILLER_713_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_674_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43399_ _43395_/A _43387_/B _68262_/A VGND VGND VPWR VPWR _43400_/B sky130_fd_sc_hd__nand3_2
+XPHY_15670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_395_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60204_ _60828_/A _60204_/B _60204_/C VGND VGND VPWR VPWR _60208_/B sky130_fd_sc_hd__nor3_2
+XFILLER_572_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45138_ _45082_/A _55540_/B VGND VGND VPWR VPWR _45139_/C sky130_fd_sc_hd__nor2_2
+XFILLER_201_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_10_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61184_ _77401_/Q _61184_/B VGND VGND VPWR VPWR _61184_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_47_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_479_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67800_ _67800_/A _67306_/X VGND VGND VPWR VPWR _67801_/C sky130_fd_sc_hd__nor2_2
+XFILLER_695_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_264_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_144_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60135_ _60135_/A _60135_/B _60134_/Y VGND VGND VPWR VPWR _60135_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_373_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_514_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49946_ _50009_/A _49973_/B VGND VGND VPWR VPWR _49947_/B sky130_fd_sc_hd__or2_2
+X_45069_ _42129_/A _45069_/B VGND VGND VPWR VPWR _45098_/B sky130_fd_sc_hd__nor2_2
+XPHY_32370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68780_ _68780_/A _69362_/B VGND VGND VPWR VPWR _68781_/C sky130_fd_sc_hd__nor2_2
+XFILLER_521_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65992_ _75792_/C _66157_/B VGND VGND VPWR VPWR _65992_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_490_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_158_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77978_ _78494_/CLK _77978_/D VGND VGND VPWR VPWR _50796_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_214_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67731_ _80419_/Q _67731_/B VGND VGND VPWR VPWR _67734_/B sky130_fd_sc_hd__nor2_2
+XFILLER_286_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_1283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79717_ _79653_/CLK _79717_/D VGND VGND VPWR VPWR _68111_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_360_498 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60066_ _59205_/A VGND VGND VPWR VPWR _60390_/A sky130_fd_sc_hd__buf_1
+X_64943_ _64176_/X VGND VGND VPWR VPWR _64944_/B sky130_fd_sc_hd__buf_1
+XFILLER_488_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76929_ _76947_/CLK _54773_/Y VGND VGND VPWR VPWR _59800_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_97_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49877_ _49875_/Y _49877_/B VGND VGND VPWR VPWR _49877_/Y sky130_fd_sc_hd__nand2_2
+XPHY_31680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_629_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39630_ _39633_/A _39633_/B _58601_/A VGND VGND VPWR VPWR _39630_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_113_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_252_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_691_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_607_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48828_ _48828_/A _48101_/B _47422_/A VGND VGND VPWR VPWR _48828_/X sky130_fd_sc_hd__or3_2
+XFILLER_312_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67662_ _67662_/A _67495_/B VGND VGND VPWR VPWR _67662_/Y sky130_fd_sc_hd__nor2_2
+XPHY_21190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79648_ _79610_/CLK _79648_/D VGND VGND VPWR VPWR _67290_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_132_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64874_ _64874_/A _65042_/B VGND VGND VPWR VPWR _64877_/B sky130_fd_sc_hd__nor2_2
+XFILLER_484_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_608_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69401_ _58732_/A _68825_/B VGND VGND VPWR VPWR _69401_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_664_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66613_ _64174_/A VGND VGND VPWR VPWR _66613_/X sky130_fd_sc_hd__buf_1
+XFILLER_548_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39561_ _39185_/A _39558_/B VGND VGND VPWR VPWR _39561_/X sky130_fd_sc_hd__or2_2
+XFILLER_642_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63825_ _63956_/A _63825_/B _63824_/Y VGND VGND VPWR VPWR _63825_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_39_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_230_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48759_ _48730_/A _48733_/X VGND VGND VPWR VPWR _48760_/B sky130_fd_sc_hd__or2_2
+X_67593_ _67105_/A _67590_/Y _67593_/C VGND VGND VPWR VPWR _67593_/Y sky130_fd_sc_hd__nor3_2
+X_79579_ _79584_/CLK _79579_/D VGND VGND VPWR VPWR _66460_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_273_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38512_ _38512_/A _38512_/B VGND VGND VPWR VPWR _38512_/X sky130_fd_sc_hd__or2_2
+XFILLER_443_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69332_ _68887_/X _69332_/B _69332_/C VGND VGND VPWR VPWR _69348_/A sky130_fd_sc_hd__nor3_2
+XFILLER_187_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_416_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66544_ _66544_/A _66709_/B VGND VGND VPWR VPWR _66546_/B sky130_fd_sc_hd__nor2_2
+XFILLER_607_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51770_ _51770_/A VGND VGND VPWR VPWR _51789_/B sky130_fd_sc_hd__buf_1
+XFILLER_462_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39492_ _39490_/X _39491_/Y VGND VGND VPWR VPWR _80111_/D sky130_fd_sc_hd__nand2_2
+XFILLER_254_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_27_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63756_ _63756_/A _63887_/B VGND VGND VPWR VPWR _63756_/Y sky130_fd_sc_hd__nor2_2
+X_60968_ _59373_/A VGND VGND VPWR VPWR _61438_/A sky130_fd_sc_hd__buf_1
+XFILLER_462_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_81 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_705_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38443_ _38439_/A _38443_/B _65413_/A VGND VGND VPWR VPWR _38443_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_323_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50721_ _50836_/A _50725_/B VGND VGND VPWR VPWR _50722_/B sky130_fd_sc_hd__or2_2
+X_62707_ _78131_/Q _62241_/B VGND VGND VPWR VPWR _62708_/C sky130_fd_sc_hd__nor2_2
+XFILLER_599_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69263_ _39386_/C _68825_/B VGND VGND VPWR VPWR _69265_/B sky130_fd_sc_hd__nor2_2
+XFILLER_74_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_241_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_605_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66475_ _66475_/A _66316_/B VGND VGND VPWR VPWR _66475_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_462_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_165_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63687_ _59226_/A _63679_/Y _63687_/C VGND VGND VPWR VPWR _63687_/Y sky130_fd_sc_hd__nor3_2
+XPHY_3609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_384_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60899_ _60899_/A _60738_/B VGND VGND VPWR VPWR _60899_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_282_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68214_ _80454_/Q _67733_/B VGND VGND VPWR VPWR _68215_/C sky130_fd_sc_hd__nor2_2
+XFILLER_345_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_697_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53440_ _53447_/A VGND VGND VPWR VPWR _53441_/B sky130_fd_sc_hd__buf_1
+XFILLER_679_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65426_ _64742_/A _65423_/Y _65426_/C VGND VGND VPWR VPWR _65426_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_423_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50652_ _50652_/A _50648_/X VGND VGND VPWR VPWR _50652_/X sky130_fd_sc_hd__or2_2
+X_38374_ _38374_/A _38385_/B _80392_/Q VGND VGND VPWR VPWR _38374_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_323_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62638_ _62638_/A _62014_/B VGND VGND VPWR VPWR _62638_/Y sky130_fd_sc_hd__nor2_2
+X_69194_ _68887_/X _69194_/B _69194_/C VGND VGND VPWR VPWR _69210_/A sky130_fd_sc_hd__nor3_2
+X_81472_ _81468_/CLK _81472_/D VGND VGND VPWR VPWR _67296_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_247_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_48_0_u_core.clock clkbuf_5_24_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_97_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_345_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_368_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_208_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_499_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80423_ _80421_/CLK _38257_/Y VGND VGND VPWR VPWR _80423_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_585_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68145_ _79973_/Q _67649_/B VGND VGND VPWR VPWR _68146_/C sky130_fd_sc_hd__nor2_2
+XFILLER_718_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53371_ _53457_/A _53371_/B VGND VGND VPWR VPWR _53372_/B sky130_fd_sc_hd__or2_2
+XFILLER_21_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65357_ _65357_/A _65357_/B VGND VGND VPWR VPWR _65357_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_126_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_179_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50583_ _50582_/X VGND VGND VPWR VPWR _50584_/B sky130_fd_sc_hd__buf_1
+XFILLER_475_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62569_ _49688_/A _61941_/X VGND VGND VPWR VPWR _62569_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_263_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_50_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_356_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_323_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55110_ _70206_/A _55109_/X VGND VGND VPWR VPWR _55111_/A sky130_fd_sc_hd__or2_2
+XFILLER_614_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_204 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_143_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52322_ _52320_/X _52338_/B VGND VGND VPWR VPWR _52323_/B sky130_fd_sc_hd__or2_2
+X_64308_ _64124_/A VGND VGND VPWR VPWR _64309_/A sky130_fd_sc_hd__buf_1
+XFILLER_206_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56090_ _56096_/A _56090_/B _56090_/C VGND VGND VPWR VPWR wbs_dat_o[16] sky130_fd_sc_hd__nor3_2
+X_80354_ _80354_/CLK _38528_/Y VGND VGND VPWR VPWR _67552_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_555_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68076_ _68076_/A _68396_/B VGND VGND VPWR VPWR _68077_/C sky130_fd_sc_hd__nor2_2
+XFILLER_323_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_198_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65288_ _65630_/A _65280_/Y _65288_/C VGND VGND VPWR VPWR _65288_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_358_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_148_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55041_ _76854_/Q _55022_/X VGND VGND VPWR VPWR _55041_/Y sky130_fd_sc_hd__nand2_2
+X_67027_ _66872_/X _67027_/B _67027_/C VGND VGND VPWR VPWR _67027_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_182_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52253_ _52276_/B VGND VGND VPWR VPWR _52275_/B sky130_fd_sc_hd__buf_1
+X_64239_ _81359_/Q _64239_/B VGND VGND VPWR VPWR _64240_/C sky130_fd_sc_hd__nor2_2
+XFILLER_355_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80285_ _80289_/CLK _38810_/Y VGND VGND VPWR VPWR _80285_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_102_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_364_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_336_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_699_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_618_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_137_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51204_ _51148_/A _51222_/B VGND VGND VPWR VPWR _51205_/B sky130_fd_sc_hd__or2_2
+XFILLER_614_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_276_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52184_ _60677_/A _52184_/B VGND VGND VPWR VPWR _52188_/A sky130_fd_sc_hd__nand2_2
+XPHY_22819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_336_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_336_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_163_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_293_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_85_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58800_ _56397_/X _58800_/B _58800_/C VGND VGND VPWR VPWR _58804_/B sky130_fd_sc_hd__nor3_2
+XFILLER_416_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_513_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51135_ _61945_/A _51138_/B VGND VGND VPWR VPWR _51137_/A sky130_fd_sc_hd__nand2_2
+XFILLER_89_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59780_ _64002_/A _59780_/B _59779_/Y VGND VGND VPWR VPWR _59784_/B sky130_fd_sc_hd__nor3_2
+XFILLER_172_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68978_ _68646_/X _68976_/Y _68977_/Y VGND VGND VPWR VPWR _68978_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_293_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56992_ _57790_/A VGND VGND VPWR VPWR _57243_/A sky130_fd_sc_hd__buf_1
+XFILLER_89_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_701_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_81_0_u_core.clock clkbuf_7_81_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_81_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_162_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58731_ _80173_/Q _58526_/B VGND VGND VPWR VPWR _58733_/B sky130_fd_sc_hd__nor2_2
+XFILLER_510_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67929_ _68094_/A _67927_/Y _67928_/Y VGND VGND VPWR VPWR _67929_/Y sky130_fd_sc_hd__nor3_2
+X_55943_ _55941_/Y _55943_/B VGND VGND VPWR VPWR _55943_/Y sky130_fd_sc_hd__nor2_2
+X_51066_ _77906_/Q _51058_/X VGND VGND VPWR VPWR _51066_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_639_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_115_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_673_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_115_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_530_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_466_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_131_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_478_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_287_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_77_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_63_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_588_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_549_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50017_ _50014_/Y _50016_/X VGND VGND VPWR VPWR _78186_/D sky130_fd_sc_hd__nand2_2
+XFILLER_486_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39828_ _39827_/X _39828_/B VGND VGND VPWR VPWR _39830_/A sky130_fd_sc_hd__or2_2
+XPHY_10938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58662_ _58662_/A _58661_/Y VGND VGND VPWR VPWR _58662_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_311_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70940_ _70917_/X _70940_/B VGND VGND VPWR VPWR _70940_/X sky130_fd_sc_hd__or2_2
+XFILLER_654_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_249_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55874_ _55904_/A _55874_/B _55873_/X VGND VGND VPWR VPWR _76638_/D sky130_fd_sc_hd__or3_2
+XFILLER_213_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_7_28_0_u_core.clock clkbuf_7_29_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_28_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_107_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_492_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_682_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57613_ _56810_/X VGND VGND VPWR VPWR _57613_/X sky130_fd_sc_hd__buf_1
+XFILLER_608_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54825_ _54825_/A _54824_/X VGND VGND VPWR VPWR _54825_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_2_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39759_ _39744_/X _39738_/X _39759_/C VGND VGND VPWR VPWR _39759_/Y sky130_fd_sc_hd__nand3_2
+X_70871_ _70870_/X VGND VGND VPWR VPWR _70871_/Y sky130_fd_sc_hd__inv_8
+XFILLER_24_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58593_ _58660_/A _58593_/B _58592_/Y VGND VGND VPWR VPWR _58593_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_2_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_642_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_40_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_3077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_330_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_29_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_701_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_565_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72610_ _72608_/X _72610_/B VGND VGND VPWR VPWR _72610_/Y sky130_fd_sc_hd__nand2_2
+XPHY_5512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57544_ _56201_/A VGND VGND VPWR VPWR _57582_/A sky130_fd_sc_hd__buf_1
+XPHY_19903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42770_ _69992_/A _42760_/B VGND VGND VPWR VPWR _42770_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_523_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54756_ _54813_/A _54756_/B VGND VGND VPWR VPWR _54756_/X sky130_fd_sc_hd__or2_2
+XFILLER_18_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73590_ _73590_/A _73589_/Y VGND VGND VPWR VPWR _81145_/D sky130_fd_sc_hd__nand2_2
+XFILLER_426_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51968_ _51968_/A _51968_/B VGND VGND VPWR VPWR _77670_/D sky130_fd_sc_hd__nand2_2
+XFILLER_367_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_245_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_511_0_u_core.clock clkbuf_9_511_0_u_core.clock/A VGND VGND VPWR VPWR _80414_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_641_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41721_ _41721_/A VGND VGND VPWR VPWR _45195_/B sky130_fd_sc_hd__buf_1
+XPHY_37304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53707_ _53716_/A VGND VGND VPWR VPWR _53719_/B sky130_fd_sc_hd__buf_1
+XPHY_4822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72541_ _72663_/A _72549_/B VGND VGND VPWR VPWR _72543_/A sky130_fd_sc_hd__or2_2
+XPHY_5567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50919_ _50919_/A _50928_/B VGND VGND VPWR VPWR _50919_/X sky130_fd_sc_hd__or2_2
+XFILLER_226_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57475_ _57475_/A _57557_/B VGND VGND VPWR VPWR _57475_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_96_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54687_ _54538_/A VGND VGND VPWR VPWR _54804_/A sky130_fd_sc_hd__buf_1
+XPHY_19958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_109_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51899_ _51927_/A _51911_/B VGND VGND VPWR VPWR _51899_/X sky130_fd_sc_hd__or2_2
+XPHY_19969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_224_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59214_ _64012_/A _59214_/B _59213_/Y VGND VGND VPWR VPWR _59223_/B sky130_fd_sc_hd__nor3_2
+XFILLER_622_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44440_ _44546_/A VGND VGND VPWR VPWR _44491_/B sky130_fd_sc_hd__buf_1
+X_56426_ _64665_/A _56669_/B VGND VGND VPWR VPWR _56426_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_281_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75260_ _75242_/A VGND VGND VPWR VPWR _75265_/A sky130_fd_sc_hd__buf_1
+XFILLER_398_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_378_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_77_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53638_ _59541_/A _53642_/B VGND VGND VPWR VPWR _53638_/Y sky130_fd_sc_hd__nand2_2
+X_41652_ wbs_stb_i VGND VGND VPWR VPWR _41663_/A sky130_fd_sc_hd__inv_8
+XPHY_37359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72472_ _72472_/A _72472_/B _69480_/A VGND VGND VPWR VPWR _72472_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_594_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_73_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_8_113_0_u_core.clock clkbuf_7_56_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_227_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_423_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74211_ _74207_/A _74203_/B _67409_/A VGND VGND VPWR VPWR _74212_/B sky130_fd_sc_hd__nand3_2
+XFILLER_402_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_35_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40603_ _40601_/X _40603_/B VGND VGND VPWR VPWR _40603_/Y sky130_fd_sc_hd__nand2_2
+XPHY_26124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_173_0_u_core.clock clkbuf_8_86_0_u_core.clock/X VGND VGND VPWR VPWR _76720_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_693_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59145_ _59878_/A VGND VGND VPWR VPWR _61009_/A sky130_fd_sc_hd__buf_1
+XFILLER_51_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71423_ _71423_/A _71423_/B VGND VGND VPWR VPWR _71423_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_349_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44371_ _44339_/X _44344_/X _44507_/B VGND VGND VPWR VPWR _44371_/X sky130_fd_sc_hd__or3_2
+X_56357_ _56632_/A VGND VGND VPWR VPWR _56358_/B sky130_fd_sc_hd__buf_1
+X_75191_ _75191_/A _75191_/B VGND VGND VPWR VPWR _75191_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_502_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_158_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_246_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_548_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41583_ _41090_/A _41582_/X VGND VGND VPWR VPWR _41586_/A sky130_fd_sc_hd__or2_2
+XPHY_35924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_575_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53569_ _53566_/Y _53568_/X VGND VGND VPWR VPWR _53569_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_122_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_658_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_164_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_281_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_160_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_658_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46110_ _46110_/A _43082_/A VGND VGND VPWR VPWR _46110_/X sky130_fd_sc_hd__or2_2
+XFILLER_725_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_8_61_0_u_core.clock clkbuf_7_30_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_61_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_185_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_493_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43322_ _43266_/X VGND VGND VPWR VPWR _43337_/B sky130_fd_sc_hd__buf_1
+XFILLER_70_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55308_ _55600_/A VGND VGND VPWR VPWR _55457_/A sky130_fd_sc_hd__buf_1
+XFILLER_186_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_636_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74142_ _74013_/A VGND VGND VPWR VPWR _74168_/A sky130_fd_sc_hd__buf_1
+XPHY_35957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40534_ _40386_/A _40549_/B VGND VGND VPWR VPWR _40536_/A sky130_fd_sc_hd__or2_2
+XFILLER_107_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59076_ _59076_/A _59527_/B VGND VGND VPWR VPWR _59076_/Y sky130_fd_sc_hd__nor2_2
+X_47090_ _55855_/A _47090_/B VGND VGND VPWR VPWR _47090_/Y sky130_fd_sc_hd__nor2_2
+X_71354_ _71354_/A VGND VGND VPWR VPWR _71355_/A sky130_fd_sc_hd__inv_8
+XFILLER_201_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_548_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_160_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56288_ _57257_/A VGND VGND VPWR VPWR _56289_/B sky130_fd_sc_hd__buf_1
+XFILLER_519_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_374_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_573_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58027_ _42112_/A VGND VGND VPWR VPWR _58048_/A sky130_fd_sc_hd__buf_1
+X_70305_ _70314_/A VGND VGND VPWR VPWR _70986_/A sky130_fd_sc_hd__inv_8
+X_46041_ _46851_/A _46038_/Y _46041_/C VGND VGND VPWR VPWR _46042_/B sky130_fd_sc_hd__or3_2
+XFILLER_595_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_157_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43253_ _42892_/A _43360_/B VGND VGND VPWR VPWR _43255_/A sky130_fd_sc_hd__or2_2
+XFILLER_693_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78950_ _78890_/CLK _46807_/Y VGND VGND VPWR VPWR _46152_/B sky130_fd_sc_hd__dfxtp_4
+X_55239_ _55239_/A _55239_/B VGND VGND VPWR VPWR _76823_/D sky130_fd_sc_hd__or2_2
+XPHY_14210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74073_ _74067_/A _74073_/B _67088_/A VGND VGND VPWR VPWR _74073_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_298_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40465_ _40597_/A _40456_/X VGND VGND VPWR VPWR _40465_/X sky130_fd_sc_hd__or2_2
+XFILLER_724_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_3005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71285_ _71083_/A VGND VGND VPWR VPWR _71285_/X sky130_fd_sc_hd__buf_1
+XPHY_14221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_455_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_365_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42204_ _45087_/A _42194_/X VGND VGND VPWR VPWR _42204_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_276_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_229_0_u_core.clock clkbuf_7_114_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_459_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_24777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73024_ _73078_/A VGND VGND VPWR VPWR _73024_/X sky130_fd_sc_hd__buf_1
+X_77901_ _77888_/CLK _51084_/Y VGND VGND VPWR VPWR _61812_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_172_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_396_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70236_ _79528_/Q VGND VGND VPWR VPWR _70236_/Y sky130_fd_sc_hd__inv_8
+XFILLER_327_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_504_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43184_ _79450_/Q VGND VGND VPWR VPWR _43184_/Y sky130_fd_sc_hd__inv_8
+XFILLER_652_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_289_0_u_core.clock clkbuf_9_289_0_u_core.clock/A VGND VGND VPWR VPWR _79216_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_275_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78881_ _78890_/CLK _78881_/D VGND VGND VPWR VPWR _46445_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_14265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40396_ _40396_/A VGND VGND VPWR VPWR _40415_/B sky130_fd_sc_hd__buf_1
+XFILLER_292_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_365_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_433_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_299_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49800_ _49800_/A VGND VGND VPWR VPWR _49920_/A sky130_fd_sc_hd__buf_1
+XFILLER_257_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_677_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_126_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42135_ _42117_/A VGND VGND VPWR VPWR _42139_/B sky130_fd_sc_hd__buf_1
+XPHY_13553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77832_ _77854_/CLK _51356_/Y VGND VGND VPWR VPWR _60918_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_343_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70167_ _70095_/C VGND VGND VPWR VPWR _70168_/A sky130_fd_sc_hd__buf_1
+XPHY_13564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_1510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47992_ _47992_/A _47977_/X VGND VGND VPWR VPWR _47995_/A sky130_fd_sc_hd__nand2_2
+XFILLER_452_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_3035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59978_ _59978_/A _59669_/B VGND VGND VPWR VPWR _59981_/B sky130_fd_sc_hd__nor2_2
+XFILLER_355_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_231_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_253_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_472_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_314_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49731_ _49731_/A _49730_/X VGND VGND VPWR VPWR _49731_/Y sky130_fd_sc_hd__nand2_2
+XPHY_30220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46943_ _46943_/A _46943_/B VGND VGND VPWR VPWR _46944_/B sky130_fd_sc_hd__and2_2
+X_42066_ _42066_/A _42066_/B _42063_/C VGND VGND VPWR VPWR _79534_/D sky130_fd_sc_hd__nor3_2
+X_58929_ _47489_/A VGND VGND VPWR VPWR _59077_/A sky130_fd_sc_hd__buf_1
+XFILLER_292_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77763_ _77762_/CLK _77763_/D VGND VGND VPWR VPWR _77763_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_46_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74975_ _74975_/A _74974_/X VGND VGND VPWR VPWR _74975_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_485_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_313_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70098_ _69895_/A _70097_/X VGND VGND VPWR VPWR _70099_/B sky130_fd_sc_hd__nor2_2
+XFILLER_665_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_137_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_430_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79502_ _79500_/CLK _79502_/D VGND VGND VPWR VPWR _79502_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_80_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41017_ _41000_/X VGND VGND VPWR VPWR _41018_/A sky130_fd_sc_hd__buf_1
+XFILLER_673_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76714_ _76714_/CLK _76714_/D VGND VGND VPWR VPWR _70090_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_316_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_496_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61940_ _78262_/Q _61940_/B VGND VGND VPWR VPWR _61940_/Y sky130_fd_sc_hd__nor2_2
+X_49662_ _49659_/Y _49662_/B VGND VGND VPWR VPWR _78281_/D sky130_fd_sc_hd__nand2_2
+XFILLER_669_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73926_ _73926_/A _73923_/B VGND VGND VPWR VPWR _73926_/X sky130_fd_sc_hd__or2_2
+XFILLER_387_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_565_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_7_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46874_ _46882_/A _46874_/B VGND VGND VPWR VPWR _46874_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_164_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77694_ _77193_/CLK _77694_/D VGND VGND VPWR VPWR _77694_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_253_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_657_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_114_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48613_ _48610_/A VGND VGND VPWR VPWR _48614_/B sky130_fd_sc_hd__buf_1
+XFILLER_446_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79433_ _79431_/CLK _42925_/Y VGND VGND VPWR VPWR _67969_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_95_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45825_ _45824_/Y _45810_/B VGND VGND VPWR VPWR _45826_/B sky130_fd_sc_hd__nor2_2
+XPHY_8193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76645_ _76651_/CLK _56496_/Y VGND VGND VPWR VPWR _76645_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_526_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73857_ _73866_/A _73860_/B _81074_/Q VGND VGND VPWR VPWR _73857_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_381_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61871_ _61550_/A _61871_/B _61870_/Y VGND VGND VPWR VPWR _61872_/C sky130_fd_sc_hd__nor3_2
+X_49593_ _49593_/A _49593_/B VGND VGND VPWR VPWR _49593_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_346_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_114_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_41_0_u_core.clock clkbuf_8_20_0_u_core.clock/X VGND VGND VPWR VPWR _79501_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_632_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63610_ _63610_/A _63872_/B VGND VGND VPWR VPWR _63610_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_282_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60822_ _60516_/A _60822_/B _60822_/C VGND VGND VPWR VPWR _60823_/C sky130_fd_sc_hd__nor3_2
+XFILLER_483_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48544_ _62991_/A _48523_/B VGND VGND VPWR VPWR _48546_/A sky130_fd_sc_hd__nand2_2
+XFILLER_466_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72808_ _72801_/A _72812_/B _65457_/A VGND VGND VPWR VPWR _72809_/B sky130_fd_sc_hd__nand3_2
+XFILLER_409_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79364_ _79368_/CLK _43383_/Y VGND VGND VPWR VPWR _68929_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_381_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45756_ _45755_/Y _45715_/B VGND VGND VPWR VPWR _45759_/B sky130_fd_sc_hd__nor2_2
+XFILLER_236_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64590_ _65452_/A VGND VGND VPWR VPWR _64769_/A sky130_fd_sc_hd__buf_1
+XFILLER_583_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76576_ VGND VGND VPWR VPWR _76576_/HI la_data_out[72] sky130_fd_sc_hd__conb_1
+X_42968_ _42968_/A _42968_/B _65986_/A VGND VGND VPWR VPWR _42969_/B sky130_fd_sc_hd__nand3_2
+XFILLER_79_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73788_ _73786_/X _73788_/B VGND VGND VPWR VPWR _81093_/D sky130_fd_sc_hd__nand2_2
+XFILLER_342_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_462_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_75_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_613_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_444_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78315_ _79160_/CLK _78315_/D VGND VGND VPWR VPWR _78315_/Q sky130_fd_sc_hd__dfxtp_4
+X_44707_ _79204_/Q _44707_/B VGND VGND VPWR VPWR _44711_/B sky130_fd_sc_hd__nor2_2
+XPHY_6791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63541_ _63541_/A _63540_/Y VGND VGND VPWR VPWR _76753_/D sky130_fd_sc_hd__nor2_2
+X_75527_ _75904_/A _75518_/B VGND VGND VPWR VPWR _75527_/X sky130_fd_sc_hd__or2_2
+XFILLER_466_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41919_ _41671_/X _41919_/B _41674_/X _41919_/D VGND VGND VPWR VPWR _41919_/X sky130_fd_sc_hd__or4_2
+XPHY_39284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60753_ _51665_/A _61075_/B VGND VGND VPWR VPWR _60755_/B sky130_fd_sc_hd__nor2_2
+XFILLER_346_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_286_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48475_ _48474_/X _48466_/X VGND VGND VPWR VPWR _48475_/X sky130_fd_sc_hd__or2_2
+X_72739_ _72739_/A _72738_/Y VGND VGND VPWR VPWR _81352_/D sky130_fd_sc_hd__nand2_2
+X_79295_ _79285_/CLK _79295_/D VGND VGND VPWR VPWR _43686_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_614_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45687_ _45679_/Y _45687_/B VGND VGND VPWR VPWR _45688_/B sky130_fd_sc_hd__nor2_2
+XFILLER_208_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_641_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_283_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42899_ _42897_/X _42899_/B VGND VGND VPWR VPWR _42899_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_248_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_621_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_244_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_586_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47426_ _47426_/A _53249_/A VGND VGND VPWR VPWR _47427_/A sky130_fd_sc_hd__or2_2
+XFILLER_127_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66260_ _68729_/A VGND VGND VPWR VPWR _66260_/X sky130_fd_sc_hd__buf_1
+XFILLER_381_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78246_ _77387_/CLK _49788_/Y VGND VGND VPWR VPWR _61944_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_38583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44638_ _44610_/A _44638_/B _44638_/C VGND VGND VPWR VPWR _79207_/D sky130_fd_sc_hd__nor3_2
+XFILLER_229_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75458_ _75458_/A _75442_/X VGND VGND VPWR VPWR _75458_/X sky130_fd_sc_hd__or2_2
+X_63472_ _78744_/Q _63873_/B VGND VGND VPWR VPWR _63473_/C sky130_fd_sc_hd__nor2_2
+XPHY_28060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60684_ _60046_/A _60682_/Y _60684_/C VGND VGND VPWR VPWR _60690_/B sky130_fd_sc_hd__nor3_2
+XFILLER_251_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_672_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_659_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_422_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_50_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65211_ _65211_/A _65211_/B _65210_/Y VGND VGND VPWR VPWR _65377_/C sky130_fd_sc_hd__or3_2
+XFILLER_251_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_207_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62423_ _62423_/A _61801_/B VGND VGND VPWR VPWR _62423_/Y sky130_fd_sc_hd__nor2_2
+XPHY_37882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74409_ _69326_/A VGND VGND VPWR VPWR _74410_/A sky130_fd_sc_hd__inv_8
+XFILLER_305_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47357_ _47387_/A _47455_/A _47489_/A VGND VGND VPWR VPWR _47417_/A sky130_fd_sc_hd__or3_2
+XFILLER_73_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66191_ _64169_/A VGND VGND VPWR VPWR _66686_/A sky130_fd_sc_hd__buf_1
+XFILLER_299_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78177_ _78170_/CLK _50048_/Y VGND VGND VPWR VPWR _78177_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_37893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44569_ _44569_/A VGND VGND VPWR VPWR _44570_/B sky130_fd_sc_hd__buf_1
+XPHY_27370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75389_ _75387_/X _75389_/B VGND VGND VPWR VPWR _75389_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_53_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_559_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_578_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46308_ _46667_/A _46308_/B _46308_/C VGND VGND VPWR VPWR _79018_/D sky130_fd_sc_hd__nor3_2
+XFILLER_582_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65142_ _65142_/A VGND VGND VPWR VPWR _65314_/B sky130_fd_sc_hd__buf_1
+XFILLER_199_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77128_ _77128_/CLK _54022_/Y VGND VGND VPWR VPWR _77128_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_676_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62354_ _61891_/X _62354_/B _62353_/Y VGND VGND VPWR VPWR _62358_/B sky130_fd_sc_hd__nor3_2
+XFILLER_340_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_715_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47288_ _49167_/A VGND VGND VPWR VPWR _47289_/B sky130_fd_sc_hd__buf_1
+XFILLER_299_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_34_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_195_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_365_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_457_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_670_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_176_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61305_ _60680_/A _61303_/Y _61305_/C VGND VGND VPWR VPWR _61305_/Y sky130_fd_sc_hd__nor3_2
+X_49027_ _63560_/A _49016_/B VGND VGND VPWR VPWR _49029_/A sky130_fd_sc_hd__nand2_2
+XFILLER_400_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46239_ _79088_/Q VGND VGND VPWR VPWR _46239_/Y sky130_fd_sc_hd__inv_8
+X_65073_ _64742_/A _65071_/Y _65073_/C VGND VGND VPWR VPWR _65077_/B sky130_fd_sc_hd__nor3_2
+XFILLER_474_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_199_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69950_ _69614_/B _69950_/B VGND VGND VPWR VPWR _69951_/A sky130_fd_sc_hd__or2_2
+XFILLER_657_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77059_ _76907_/CLK _54291_/Y VGND VGND VPWR VPWR _77059_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_223_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_121_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_191_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62285_ _61666_/A _62281_/Y _62285_/C VGND VGND VPWR VPWR _62285_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_533_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_653_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_145_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_416_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_117_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_346_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68901_ _68901_/A _69188_/B VGND VGND VPWR VPWR _68901_/Y sky130_fd_sc_hd__nor2_2
+X_64024_ _63893_/A _64024_/B _64023_/Y VGND VGND VPWR VPWR _64028_/B sky130_fd_sc_hd__nor3_2
+XFILLER_121_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61236_ _61236_/A _60611_/B VGND VGND VPWR VPWR _61237_/C sky130_fd_sc_hd__nor2_2
+XFILLER_717_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80070_ _80099_/CLK _39651_/Y VGND VGND VPWR VPWR _68318_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_318_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_236_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69881_ _69881_/A VGND VGND VPWR VPWR _69882_/B sky130_fd_sc_hd__inv_8
+XFILLER_199_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_479_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_357_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_562_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_177_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_305_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_451_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68832_ _38646_/C _68165_/B VGND VGND VPWR VPWR _68833_/C sky130_fd_sc_hd__nor2_2
+XFILLER_353_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61167_ _77777_/Q _61167_/B VGND VGND VPWR VPWR _61167_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_334_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38992_ _38986_/A _38998_/B _69271_/A VGND VGND VPWR VPWR _38992_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_173_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_550_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_144_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60118_ _60276_/A _60118_/B _60118_/C VGND VGND VPWR VPWR _60137_/A sky130_fd_sc_hd__nor3_2
+XFILLER_318_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_171_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_132_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49929_ _62227_/A _49929_/B VGND VGND VPWR VPWR _49931_/A sky130_fd_sc_hd__nand2_2
+XFILLER_353_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_68763_ _66051_/A VGND VGND VPWR VPWR _69365_/A sky130_fd_sc_hd__buf_1
+XFILLER_312_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65975_ _65135_/A VGND VGND VPWR VPWR _66145_/B sky130_fd_sc_hd__buf_1
+XFILLER_28_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61098_ _52442_/A _60943_/B VGND VGND VPWR VPWR _61099_/C sky130_fd_sc_hd__nor2_2
+XFILLER_351_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_514_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_549_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67714_ _67714_/A _67873_/B VGND VGND VPWR VPWR _67714_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_80_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_648_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52940_ _54851_/A _52968_/B VGND VGND VPWR VPWR _52966_/B sky130_fd_sc_hd__or2_2
+X_60049_ _59893_/A _60047_/Y _60048_/Y VGND VGND VPWR VPWR _60049_/Y sky130_fd_sc_hd__nor3_2
+X_64926_ _64926_/A _69311_/B VGND VGND VPWR VPWR _64926_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_334_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_171_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68694_ _68694_/A _68694_/B _68694_/C VGND VGND VPWR VPWR _68698_/B sky130_fd_sc_hd__nor3_2
+XFILLER_585_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80972_ _80969_/CLK _74289_/Y VGND VGND VPWR VPWR _80972_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_312_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_101_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_151_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_1540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_626_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_609_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39613_ _75585_/A _38620_/A VGND VGND VPWR VPWR _39636_/A sky130_fd_sc_hd__or2_2
+XFILLER_691_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_140_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67645_ _57870_/A _67473_/B VGND VGND VPWR VPWR _67647_/B sky130_fd_sc_hd__nor2_2
+XFILLER_41_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52871_ _52871_/A _52871_/B VGND VGND VPWR VPWR _77430_/D sky130_fd_sc_hd__nand2_2
+XFILLER_58_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64857_ _64857_/A _65025_/B VGND VGND VPWR VPWR _64861_/A sky130_fd_sc_hd__nor2_2
+XFILLER_85_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_80_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_100_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54610_ _54619_/A VGND VGND VPWR VPWR _54615_/B sky130_fd_sc_hd__buf_1
+XFILLER_273_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51822_ _51820_/Y _51822_/B VGND VGND VPWR VPWR _77709_/D sky130_fd_sc_hd__nand2_2
+XFILLER_481_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39544_ _39544_/A _39544_/B VGND VGND VPWR VPWR _39544_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_429_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_664_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63808_ _63808_/A _58998_/B VGND VGND VPWR VPWR _63809_/C sky130_fd_sc_hd__nor2_2
+X_55590_ _55607_/A _55589_/X VGND VGND VPWR VPWR _55591_/B sky130_fd_sc_hd__nor2_2
+XFILLER_724_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67576_ _67752_/A _67576_/B _67576_/C VGND VGND VPWR VPWR _67577_/C sky130_fd_sc_hd__nor3_2
+XFILLER_230_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64788_ _64788_/A _64786_/Y _64788_/C VGND VGND VPWR VPWR _64788_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_269_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_509_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_509_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_505_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_616_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_2_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69315_ _68717_/A _69315_/B _69314_/Y VGND VGND VPWR VPWR _69316_/B sky130_fd_sc_hd__nor3_2
+XFILLER_97_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54541_ _76991_/Q _54541_/B VGND VGND VPWR VPWR _54544_/A sky130_fd_sc_hd__nand2_2
+XFILLER_345_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66527_ _66857_/A _66527_/B VGND VGND VPWR VPWR _66528_/C sky130_fd_sc_hd__nor2_2
+XFILLER_282_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63739_ _63739_/A _63149_/B VGND VGND VPWR VPWR _63739_/Y sky130_fd_sc_hd__nor2_2
+X_51753_ _51809_/A _51753_/B VGND VGND VPWR VPWR _51754_/B sky130_fd_sc_hd__or2_2
+XFILLER_481_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39475_ _39460_/X _39472_/B _65356_/A VGND VGND VPWR VPWR _39476_/B sky130_fd_sc_hd__nand3_2
+XPHY_18509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38426_ _38426_/A _38426_/B VGND VGND VPWR VPWR _38426_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_560_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50704_ _50823_/A _50727_/B VGND VGND VPWR VPWR _50705_/B sky130_fd_sc_hd__or2_2
+X_57260_ _57408_/A _57254_/Y _57260_/C VGND VGND VPWR VPWR _57260_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_388_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_282_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69246_ _80044_/Q _64262_/X VGND VGND VPWR VPWR _69246_/Y sky130_fd_sc_hd__nor2_2
+XPHY_3428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54472_ _54469_/Y _54471_/X VGND VGND VPWR VPWR _77010_/D sky130_fd_sc_hd__nand2_2
+XFILLER_576_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_82_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66458_ _57369_/A _65793_/B VGND VGND VPWR VPWR _66459_/C sky130_fd_sc_hd__nor2_2
+XFILLER_161_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51684_ _51684_/A _51676_/X VGND VGND VPWR VPWR _51687_/A sky130_fd_sc_hd__nand2_2
+XFILLER_56_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_53_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_145_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_282_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56211_ _56304_/A VGND VGND VPWR VPWR _56616_/A sky130_fd_sc_hd__inv_8
+XFILLER_620_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53423_ _77288_/Q _53413_/B VGND VGND VPWR VPWR _53423_/Y sky130_fd_sc_hd__nand2_2
+XPHY_35209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65409_ _65582_/A _65405_/Y _65409_/C VGND VGND VPWR VPWR _65419_/B sky130_fd_sc_hd__nor3_2
+XPHY_2727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38357_ _38357_/A VGND VGND VPWR VPWR _38415_/A sky130_fd_sc_hd__buf_1
+X_50635_ _61720_/A _50635_/B VGND VGND VPWR VPWR _50637_/A sky130_fd_sc_hd__nand2_2
+X_57191_ _57191_/A VGND VGND VPWR VPWR _57288_/A sky130_fd_sc_hd__buf_1
+XFILLER_228_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69177_ _68717_/A _69177_/B _69177_/C VGND VGND VPWR VPWR _69178_/B sky130_fd_sc_hd__nor3_2
+XFILLER_503_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81455_ _81468_/CLK _81455_/D VGND VGND VPWR VPWR _64300_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_35_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66389_ _67043_/A _66389_/B _66389_/C VGND VGND VPWR VPWR _66397_/B sky130_fd_sc_hd__nor3_2
+XFILLER_369_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_263_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_597_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_518_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_241_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56142_ _45268_/Y _56121_/X VGND VGND VPWR VPWR _56143_/B sky130_fd_sc_hd__nor2_2
+X_80406_ _80408_/CLK _80406_/D VGND VGND VPWR VPWR _38318_/C sky130_fd_sc_hd__dfxtp_4
+X_68128_ _64579_/X VGND VGND VPWR VPWR _68285_/B sky130_fd_sc_hd__buf_1
+XFILLER_104_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53354_ _53354_/A VGND VGND VPWR VPWR _53370_/B sky130_fd_sc_hd__buf_1
+XFILLER_298_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_538_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38288_ _38284_/X _38287_/Y VGND VGND VPWR VPWR _80415_/D sky130_fd_sc_hd__nand2_2
+XFILLER_572_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50566_ _62194_/A _50548_/B VGND VGND VPWR VPWR _50569_/A sky130_fd_sc_hd__nand2_2
+XFILLER_636_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81386_ _81352_/CLK _72610_/Y VGND VGND VPWR VPWR _81386_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_202_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_545_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_52_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_577_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52305_ _77581_/Q _52305_/B VGND VGND VPWR VPWR _52305_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_139_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_573_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56073_ _56081_/A _56071_/Y _56072_/Y VGND VGND VPWR VPWR _56074_/C sky130_fd_sc_hd__nor3_2
+XFILLER_210_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68059_ _67894_/A _68059_/B _68058_/Y VGND VGND VPWR VPWR _68060_/B sky130_fd_sc_hd__nor3_2
+XFILLER_573_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80337_ _80370_/CLK _38606_/Y VGND VGND VPWR VPWR _64727_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_456_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_475_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53285_ _77323_/Q _53292_/B VGND VGND VPWR VPWR _53285_/Y sky130_fd_sc_hd__nand2_2
+XPHY_33829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_183_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50497_ _50495_/Y _50496_/X VGND VGND VPWR VPWR _78059_/D sky130_fd_sc_hd__nand2_2
+XFILLER_219_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55024_ _55021_/Y _55024_/B VGND VGND VPWR VPWR _76860_/D sky130_fd_sc_hd__nand2_2
+X_59901_ _53599_/A _59741_/B VGND VGND VPWR VPWR _59903_/B sky130_fd_sc_hd__nor2_2
+XFILLER_544_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40250_ _40260_/A VGND VGND VPWR VPWR _40354_/B sky130_fd_sc_hd__buf_1
+XFILLER_371_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52236_ _52172_/A _52245_/B VGND VGND VPWR VPWR _52237_/B sky130_fd_sc_hd__or2_2
+XFILLER_590_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71070_ _70077_/X _70101_/Y VGND VGND VPWR VPWR _71071_/B sky130_fd_sc_hd__nor2_2
+XFILLER_324_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80268_ _80239_/CLK _80268_/D VGND VGND VPWR VPWR _38873_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_22605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_159_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_9_301_0_u_core.clock clkbuf_9_301_0_u_core.clock/A VGND VGND VPWR VPWR _81397_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_319_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_590_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_688_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_391_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_659_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70021_ _70223_/A _70232_/A _70021_/C VGND VGND VPWR VPWR _70021_/X sky130_fd_sc_hd__or3_2
+XPHY_12104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59832_ _59831_/X VGND VGND VPWR VPWR _59833_/B sky130_fd_sc_hd__buf_1
+XPHY_12115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40181_ _40184_/A _40184_/B _79937_/Q VGND VGND VPWR VPWR _40181_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_120_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52167_ _52167_/A _52187_/B VGND VGND VPWR VPWR _52167_/X sky130_fd_sc_hd__or2_2
+XPHY_21904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80199_ _80195_/CLK _39140_/Y VGND VGND VPWR VPWR _68498_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_85_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_454_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_330_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51118_ _51118_/A _51117_/X VGND VGND VPWR VPWR _77892_/D sky130_fd_sc_hd__nand2_2
+XFILLER_150_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59763_ _59763_/A _59763_/B _59762_/Y VGND VGND VPWR VPWR _59763_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_369_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_1530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_470_0_u_core.clock clkbuf_9_471_0_u_core.clock/A VGND VGND VPWR VPWR _80867_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_52098_ _52098_/A _52098_/B VGND VGND VPWR VPWR _52098_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_351_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56975_ _65645_/A _56812_/B VGND VGND VPWR VPWR _56975_/Y sky130_fd_sc_hd__nor2_2
+XPHY_21959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_666_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_584_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_137_1552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58714_ _58341_/X _58680_/X _58713_/X _74413_/Y _58424_/X VGND VGND VPWR VPWR _76673_/D
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_632_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_1_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_686_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43940_ _43940_/A _43937_/Y _43940_/C VGND VGND VPWR VPWR _43940_/Y sky130_fd_sc_hd__nor3_2
+X_51049_ _51019_/A _51049_/B VGND VGND VPWR VPWR _51049_/X sky130_fd_sc_hd__or2_2
+XFILLER_24_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55926_ _45657_/X _55926_/B VGND VGND VPWR VPWR _55927_/D sky130_fd_sc_hd__nor2_2
+X_74760_ _76164_/A VGND VGND VPWR VPWR _75256_/A sky130_fd_sc_hd__buf_1
+XFILLER_451_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_438_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_252_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71972_ _71965_/Y _71972_/B _71971_/Y VGND VGND VPWR VPWR _71972_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_608_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59694_ _60001_/A _59687_/Y _59694_/C VGND VGND VPWR VPWR _59694_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_133_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_647_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_9_417_0_u_core.clock clkbuf_9_417_0_u_core.clock/A VGND VGND VPWR VPWR _79947_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_238_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73711_ _73709_/X _73711_/B VGND VGND VPWR VPWR _73711_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_59_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_77_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_647_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58645_ _58645_/A _58644_/Y VGND VGND VPWR VPWR _58645_/Y sky130_fd_sc_hd__nor2_2
+X_70923_ _70613_/X _71794_/B VGND VGND VPWR VPWR _70926_/A sky130_fd_sc_hd__nor2_2
+XFILLER_480_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43871_ _43871_/A _43871_/B _43870_/Y VGND VGND VPWR VPWR _43871_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_77_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55857_ _44922_/B _55857_/B VGND VGND VPWR VPWR _55858_/B sky130_fd_sc_hd__nor2_2
+X_74691_ _75322_/A _74685_/X VGND VGND VPWR VPWR _74693_/A sky130_fd_sc_hd__or2_2
+XPHY_10779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_58_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_480_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_467_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45610_ _45618_/A _45610_/B _45610_/C VGND VGND VPWR VPWR _79123_/D sky130_fd_sc_hd__nor3_2
+XFILLER_599_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76430_ _76430_/A _76426_/B _76430_/C VGND VGND VPWR VPWR _76430_/Y sky130_fd_sc_hd__nand3_2
+XPHY_6043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42822_ _43812_/A _42821_/X VGND VGND VPWR VPWR _79453_/D sky130_fd_sc_hd__nor2_2
+X_54808_ _54808_/A _54807_/X VGND VGND VPWR VPWR _54808_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_407_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73642_ _73642_/A VGND VGND VPWR VPWR _73655_/B sky130_fd_sc_hd__buf_1
+XFILLER_264_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46590_ _42862_/X _46585_/B VGND VGND VPWR VPWR _46590_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58576_ _58710_/A _58576_/B _58575_/Y VGND VGND VPWR VPWR _58576_/Y sky130_fd_sc_hd__nor3_2
+X_70854_ _70853_/Y _70642_/X VGND VGND VPWR VPWR _70925_/B sky130_fd_sc_hd__nand2_2
+XFILLER_480_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_463_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55788_ _55786_/Y _55787_/X VGND VGND VPWR VPWR _76776_/D sky130_fd_sc_hd__nand2_2
+XPHY_19700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_640_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_508_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_58_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_73_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_185_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_445_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_559_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_480_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45541_ _79141_/Q _45541_/B VGND VGND VPWR VPWR _45545_/B sky130_fd_sc_hd__nor2_2
+X_57527_ _58463_/A VGND VGND VPWR VPWR _57527_/X sky130_fd_sc_hd__buf_1
+X_76361_ _76359_/X _76360_/Y VGND VGND VPWR VPWR _76361_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_346_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42753_ _45633_/A VGND VGND VPWR VPWR _65379_/A sky130_fd_sc_hd__inv_8
+XFILLER_248_1536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73573_ _73814_/A _73560_/B VGND VGND VPWR VPWR _73576_/A sky130_fd_sc_hd__or2_2
+X_54739_ _76938_/Q _54731_/X VGND VGND VPWR VPWR _54742_/A sky130_fd_sc_hd__nand2_2
+XFILLER_57_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_84_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_510 _64911_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_70785_ _70785_/A VGND VGND VPWR VPWR _70785_/X sky130_fd_sc_hd__buf_1
+XFILLER_441_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_610_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_521 _65021_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_2_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78100_ _78671_/CLK _50344_/Y VGND VGND VPWR VPWR _78100_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_185_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75312_ _75312_/A _75312_/B VGND VGND VPWR VPWR _75312_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_568_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_508_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_532 _67027_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_595_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41704_ _41693_/A _41703_/Y VGND VGND VPWR VPWR _41704_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_720_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48260_ _48260_/A _48259_/X VGND VGND VPWR VPWR _48263_/A sky130_fd_sc_hd__nand2_2
+XFILLER_406_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72524_ _72509_/X _72521_/B _67268_/A VGND VGND VPWR VPWR _72524_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_363_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79080_ _79100_/CLK _79080_/D VGND VGND VPWR VPWR _79080_/Q sky130_fd_sc_hd__dfxtp_4
+XANTENNA_543 _68345_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45472_ _69622_/B _45489_/B VGND VGND VPWR VPWR _45472_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57458_ _40954_/C _57218_/X VGND VGND VPWR VPWR _57460_/B sky130_fd_sc_hd__nor2_2
+XPHY_37145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76292_ _76290_/X _76292_/B VGND VGND VPWR VPWR _76292_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42684_ _42682_/X _70540_/X _70539_/X _42683_/X VGND VGND VPWR VPWR _42684_/X sky130_fd_sc_hd__o22a_4
+XANTENNA_554 ANTENNA_554/DIODE VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_127_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_188_0_u_core.clock clkbuf_7_94_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_377_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_76_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47211_ _46438_/B _47211_/B VGND VGND VPWR VPWR _47211_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_246_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78031_ _78046_/CLK _78031_/D VGND VGND VPWR VPWR _62032_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_232_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44423_ _44567_/A VGND VGND VPWR VPWR _44508_/C sky130_fd_sc_hd__buf_1
+XFILLER_300_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2840 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56409_ _56409_/A _56408_/Y VGND VGND VPWR VPWR _56409_/Y sky130_fd_sc_hd__nor2_2
+X_75243_ _75243_/A VGND VGND VPWR VPWR _75247_/B sky130_fd_sc_hd__buf_1
+XFILLER_378_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41635_ _41633_/Y _41634_/X VGND VGND VPWR VPWR _41861_/A sky130_fd_sc_hd__nand2_2
+XFILLER_70_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_583_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48191_ _48159_/X _48194_/B VGND VGND VPWR VPWR _48192_/B sky130_fd_sc_hd__or2_2
+X_72455_ _72455_/A _72454_/Y VGND VGND VPWR VPWR _81426_/D sky130_fd_sc_hd__nand2_2
+XFILLER_359_340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_673_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_226_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_461_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_144_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57389_ _57635_/A _57389_/B _57388_/Y VGND VGND VPWR VPWR _57390_/C sky130_fd_sc_hd__nor3_2
+XPHY_3973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59128_ _59551_/A _59118_/Y _59128_/C VGND VGND VPWR VPWR _59129_/C sky130_fd_sc_hd__nor3_2
+X_71406_ _71434_/A _42922_/A VGND VGND VPWR VPWR _71408_/A sky130_fd_sc_hd__or2_2
+X_47142_ _46326_/A _47146_/A VGND VGND VPWR VPWR _47143_/C sky130_fd_sc_hd__nor2_2
+XFILLER_14_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_278_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44354_ _79213_/Q VGND VGND VPWR VPWR _44354_/Y sky130_fd_sc_hd__inv_8
+XFILLER_126_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75174_ _75174_/A _75173_/Y VGND VGND VPWR VPWR _80753_/D sky130_fd_sc_hd__nand2_2
+XFILLER_619_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41566_ _41528_/X VGND VGND VPWR VPWR _41566_/X sky130_fd_sc_hd__buf_1
+XPHY_35754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72386_ _72386_/A _72386_/B VGND VGND VPWR VPWR _72386_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_298_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_548_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43305_ _43301_/A _43319_/B _57694_/A VGND VGND VPWR VPWR _43306_/B sky130_fd_sc_hd__nand3_2
+XFILLER_204_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74125_ _74125_/A _74125_/B VGND VGND VPWR VPWR _81013_/D sky130_fd_sc_hd__nand2_2
+X_40517_ _40517_/A _40517_/B VGND VGND VPWR VPWR _40517_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_199_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47073_ _47043_/A _47073_/B _47073_/C VGND VGND VPWR VPWR _47074_/B sky130_fd_sc_hd__or3_2
+XFILLER_201_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59059_ _59684_/A VGND VGND VPWR VPWR _59082_/A sky130_fd_sc_hd__buf_1
+X_71337_ _71337_/A VGND VGND VPWR VPWR _71337_/X sky130_fd_sc_hd__buf_1
+XFILLER_220_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44285_ _44285_/A _44285_/B _44285_/C VGND VGND VPWR VPWR _79243_/D sky130_fd_sc_hd__nor3_2
+XPHY_24530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79982_ _79947_/CLK _40013_/Y VGND VGND VPWR VPWR _40012_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_632_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41497_ _41503_/A _41503_/B _56717_/A VGND VGND VPWR VPWR _41498_/B sky130_fd_sc_hd__nand3_2
+XFILLER_537_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46024_ _46848_/A _46024_/B VGND VGND VPWR VPWR _46024_/X sky130_fd_sc_hd__or2_2
+XFILLER_294_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_2291 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43236_ _43227_/X _43236_/B _43235_/Y VGND VGND VPWR VPWR _43236_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_196_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62070_ _62070_/A _62066_/Y _62069_/Y VGND VGND VPWR VPWR _62079_/B sky130_fd_sc_hd__nor3_2
+XFILLER_374_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_357_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74056_ _74047_/A _74047_/B _67575_/A VGND VGND VPWR VPWR _74056_/Y sky130_fd_sc_hd__nand3_2
+X_78933_ _78935_/CLK _78933_/D VGND VGND VPWR VPWR _46904_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_554_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40448_ _40538_/A VGND VGND VPWR VPWR _40449_/A sky130_fd_sc_hd__buf_1
+XFILLER_199_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71268_ _71268_/A _69563_/A VGND VGND VPWR VPWR _71274_/A sky130_fd_sc_hd__and2_2
+XFILLER_712_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_491_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_671_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61021_ _60236_/A VGND VGND VPWR VPWR _61171_/B sky130_fd_sc_hd__buf_1
+X_73007_ _73007_/A _73007_/B VGND VGND VPWR VPWR _73007_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_177_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_48_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_721_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70219_ _70211_/X _70218_/X VGND VGND VPWR VPWR _70219_/X sky130_fd_sc_hd__and2_2
+XPHY_14084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_64_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43167_ _46152_/B VGND VGND VPWR VPWR _46163_/B sky130_fd_sc_hd__inv_8
+XFILLER_177_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78864_ _78867_/CLK _78864_/D VGND VGND VPWR VPWR _63525_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_14095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40379_ _39747_/A VGND VGND VPWR VPWR _40891_/A sky130_fd_sc_hd__buf_1
+XFILLER_259_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_491_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71199_ _71792_/A VGND VGND VPWR VPWR _71500_/A sky130_fd_sc_hd__buf_1
+XFILLER_142_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_9_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42118_ _79521_/Q _42118_/B VGND VGND VPWR VPWR _42118_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_708_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77815_ _77814_/CLK _77815_/D VGND VGND VPWR VPWR _60883_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_138_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47975_ _48228_/A _47819_/A VGND VGND VPWR VPWR _49941_/A sky130_fd_sc_hd__or2_2
+XFILLER_452_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_25_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43098_ _43098_/A VGND VGND VPWR VPWR _43098_/Y sky130_fd_sc_hd__inv_8
+XFILLER_142_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78795_ _77891_/CLK _78795_/D VGND VGND VPWR VPWR _63909_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_190_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_237_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_469_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49714_ _49714_/A _49732_/B VGND VGND VPWR VPWR _49715_/B sky130_fd_sc_hd__or2_2
+XPHY_30050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_325_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46926_ _46917_/A _46926_/B _46926_/C VGND VGND VPWR VPWR _46927_/B sky130_fd_sc_hd__or3_2
+X_42049_ _43666_/A VGND VGND VPWR VPWR _46074_/A sky130_fd_sc_hd__inv_8
+X_65760_ _65081_/A _65758_/Y _65759_/Y VGND VGND VPWR VPWR _65767_/B sky130_fd_sc_hd__nor3_2
+X_77746_ _77762_/CLK _77746_/D VGND VGND VPWR VPWR _51684_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_268_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74958_ _74958_/A _74958_/B VGND VGND VPWR VPWR _74958_/X sky130_fd_sc_hd__or2_2
+X_62972_ _59831_/X VGND VGND VPWR VPWR _63582_/B sky130_fd_sc_hd__buf_1
+XFILLER_311_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_250_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64711_ _74932_/C _64453_/X VGND VGND VPWR VPWR _64713_/B sky130_fd_sc_hd__nor2_2
+XPHY_30094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_116_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_619_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_708_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61923_ _61923_/A _62235_/B VGND VGND VPWR VPWR _61924_/C sky130_fd_sc_hd__nor2_2
+XFILLER_368_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49645_ _49645_/A _49644_/X VGND VGND VPWR VPWR _49645_/Y sky130_fd_sc_hd__nand2_2
+X_73909_ _73907_/X _73909_/B VGND VGND VPWR VPWR _73909_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_387_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46857_ _70138_/X _46850_/B VGND VGND VPWR VPWR _46858_/C sky130_fd_sc_hd__and2_2
+XFILLER_706_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65691_ _65691_/A _65854_/B VGND VGND VPWR VPWR _65692_/C sky130_fd_sc_hd__nor2_2
+XFILLER_67_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_633_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77677_ _77686_/CLK _77677_/D VGND VGND VPWR VPWR _60513_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_268_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74889_ _74889_/A _74889_/B VGND VGND VPWR VPWR _80829_/D sky130_fd_sc_hd__nand2_2
+XFILLER_485_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_168_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67430_ _66774_/A _67425_/Y _67429_/Y VGND VGND VPWR VPWR _67430_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_634_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79416_ _80046_/CLK _79416_/D VGND VGND VPWR VPWR _65149_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_661_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45808_ _45734_/Y _45792_/X VGND VGND VPWR VPWR _45813_/A sky130_fd_sc_hd__nor2_2
+XFILLER_661_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_561_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64642_ _64642_/A _69369_/B VGND VGND VPWR VPWR _64642_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_114_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76628_ VGND VGND VPWR VPWR _76628_/HI la_data_out[124] sky130_fd_sc_hd__conb_1
+XFILLER_428_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_383_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61854_ _61854_/A _61851_/Y _61853_/Y VGND VGND VPWR VPWR _61854_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_231_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_329_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49576_ _78303_/Q _49576_/B VGND VGND VPWR VPWR _49576_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_82_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46788_ _46783_/B _46788_/B _46787_/X VGND VGND VPWR VPWR _46788_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_599_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_545_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_94_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_129_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_545_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_307_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_544_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60805_ _60011_/A VGND VGND VPWR VPWR _60806_/B sky130_fd_sc_hd__buf_1
+X_48527_ _48525_/Y _48526_/X VGND VGND VPWR VPWR _48527_/Y sky130_fd_sc_hd__nand2_2
+X_67361_ _80673_/Q _67037_/B VGND VGND VPWR VPWR _67362_/C sky130_fd_sc_hd__nor2_2
+X_79347_ _79347_/CLK _79347_/D VGND VGND VPWR VPWR _79347_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_361_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45739_ _42216_/Y _46009_/B _45731_/X _45738_/Y VGND VGND VPWR VPWR _45739_/X sky130_fd_sc_hd__o22a_4
+XPHY_39070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64573_ _64153_/A VGND VGND VPWR VPWR _68205_/A sky130_fd_sc_hd__buf_1
+XFILLER_36_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76559_ VGND VGND VPWR VPWR _76559_/HI la_data_out[55] sky130_fd_sc_hd__conb_1
+XFILLER_97_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_602_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61785_ _61785_/A _61784_/Y VGND VGND VPWR VPWR _61786_/B sky130_fd_sc_hd__nor2_2
+XPHY_39081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_365_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_209_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_561_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_561_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69100_ _68627_/A _69100_/B _69100_/C VGND VGND VPWR VPWR _69101_/C sky130_fd_sc_hd__nor3_2
+XFILLER_440_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_559_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_342_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66312_ _66470_/A _66309_/Y _66312_/C VGND VGND VPWR VPWR _66312_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_613_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39260_ _39248_/X _39273_/B _80173_/Q VGND VGND VPWR VPWR _39260_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_64_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_225_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_91_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63524_ _59252_/A VGND VGND VPWR VPWR _64050_/B sky130_fd_sc_hd__buf_1
+XFILLER_596_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_75_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60736_ _60267_/A _60736_/B _60735_/Y VGND VGND VPWR VPWR _60736_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_24_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48458_ _48456_/X _48485_/B VGND VGND VPWR VPWR _48459_/B sky130_fd_sc_hd__or2_2
+XFILLER_208_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_225_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67292_ _67788_/A _67290_/Y _67291_/Y VGND VGND VPWR VPWR _67292_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_244_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79278_ _81446_/CLK _43941_/Y VGND VGND VPWR VPWR _79278_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_162_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_162_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_522_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38211_ _38211_/A _38210_/Y VGND VGND VPWR VPWR _80435_/D sky130_fd_sc_hd__nand2_2
+XFILLER_424_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_211_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69031_ _38363_/C _68878_/B VGND VGND VPWR VPWR _69031_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_457_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47409_ _47446_/A _47399_/X VGND VGND VPWR VPWR _47410_/B sky130_fd_sc_hd__or2_2
+X_66243_ _66566_/A _66240_/Y _66243_/C VGND VGND VPWR VPWR _66243_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_402_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78229_ _77689_/CLK _78229_/D VGND VGND VPWR VPWR _78229_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_324_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_225_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39191_ _39195_/A _39195_/B _66683_/A VGND VGND VPWR VPWR _39191_/Y sky130_fd_sc_hd__nand3_2
+X_63455_ _63455_/A _63454_/X VGND VGND VPWR VPWR _63455_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_222_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60667_ _60667_/A _60353_/B VGND VGND VPWR VPWR _60667_/Y sky130_fd_sc_hd__nor2_2
+X_48389_ _48389_/A _48388_/X VGND VGND VPWR VPWR _78606_/D sky130_fd_sc_hd__nand2_2
+XFILLER_244_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_659_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50420_ _50417_/Y _50419_/X VGND VGND VPWR VPWR _78080_/D sky130_fd_sc_hd__nand2_2
+X_62406_ _62406_/A _62405_/Y VGND VGND VPWR VPWR _62407_/B sky130_fd_sc_hd__nor2_2
+X_81240_ _81211_/CLK _73220_/Y VGND VGND VPWR VPWR _65938_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_320_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_177_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_384_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_71_1539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66174_ _57161_/A _65518_/X VGND VGND VPWR VPWR _66176_/B sky130_fd_sc_hd__nor2_2
+XFILLER_554_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63386_ _63231_/A _63386_/B VGND VGND VPWR VPWR _63386_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_53_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60598_ _51966_/A _60281_/B VGND VGND VPWR VPWR _60599_/C sky130_fd_sc_hd__nor2_2
+XFILLER_338_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_203_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_457_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65125_ _65124_/X VGND VGND VPWR VPWR _65126_/B sky130_fd_sc_hd__buf_1
+XFILLER_394_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50351_ _50348_/Y _50350_/X VGND VGND VPWR VPWR _50351_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_359_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62337_ _59765_/A VGND VGND VPWR VPWR _62337_/X sky130_fd_sc_hd__buf_1
+XFILLER_717_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81171_ _81385_/CLK _73489_/Y VGND VGND VPWR VPWR _65090_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_179_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_34_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_379_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80122_ _80123_/CLK _39454_/Y VGND VGND VPWR VPWR _57253_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_392_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65056_ _65056_/A _65580_/B VGND VGND VPWR VPWR _65057_/C sky130_fd_sc_hd__nor2_2
+XFILLER_570_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53070_ _53070_/A _53069_/X VGND VGND VPWR VPWR _77376_/D sky130_fd_sc_hd__nand2_2
+X_69933_ _69913_/A _69929_/Y _70075_/A VGND VGND VPWR VPWR _69933_/X sky130_fd_sc_hd__or3_2
+X_50282_ _50278_/Y _50281_/X VGND VGND VPWR VPWR _50282_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_273_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_513_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62268_ _61641_/X _62266_/Y _62267_/Y VGND VGND VPWR VPWR _62268_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_492_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_615_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_366_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52021_ _77656_/Q _52012_/B VGND VGND VPWR VPWR _52024_/A sky130_fd_sc_hd__nand2_2
+XFILLER_392_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64007_ _47978_/A _63745_/B VGND VGND VPWR VPWR _64009_/B sky130_fd_sc_hd__nor2_2
+XFILLER_121_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61219_ _76779_/Q _61218_/X VGND VGND VPWR VPWR _61220_/C sky130_fd_sc_hd__nor2_2
+XFILLER_541_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80053_ _80101_/CLK _39712_/Y VGND VGND VPWR VPWR _39711_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_392_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_318_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69864_ _71309_/A VGND VGND VPWR VPWR _71301_/A sky130_fd_sc_hd__inv_8
+XPHY_9608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62199_ _62199_/A _61562_/B VGND VGND VPWR VPWR _62201_/B sky130_fd_sc_hd__nor2_2
+XFILLER_648_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_172_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_279_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_254_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_522_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_392_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68815_ _68815_/A _68312_/X VGND VGND VPWR VPWR _68815_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_726_3218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_416_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38975_ _39034_/A VGND VGND VPWR VPWR _38986_/A sky130_fd_sc_hd__buf_1
+XFILLER_689_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69795_ _69770_/X _42133_/A VGND VGND VPWR VPWR _69796_/A sky130_fd_sc_hd__nor2_2
+XFILLER_585_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_353_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_321_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_412_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_232_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56760_ _65357_/A _56679_/B VGND VGND VPWR VPWR _56760_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_334_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68746_ _68746_/A _69199_/B VGND VGND VPWR VPWR _68747_/C sky130_fd_sc_hd__nor2_2
+XFILLER_448_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53972_ _53970_/Y _53971_/X VGND VGND VPWR VPWR _53972_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_28_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_302_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65958_ _65471_/A _65956_/Y _65958_/C VGND VGND VPWR VPWR _65962_/B sky130_fd_sc_hd__nor3_2
+XFILLER_464_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_48_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_648_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_369_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55711_ io_out[24] _55711_/B VGND VGND VPWR VPWR _55711_/Y sky130_fd_sc_hd__nor2_2
+X_52923_ _52923_/A _52923_/B VGND VGND VPWR VPWR _52923_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_219_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64909_ _64553_/A VGND VGND VPWR VPWR _68230_/A sky130_fd_sc_hd__buf_1
+XFILLER_60_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56691_ _56946_/A _56689_/Y _56690_/Y VGND VGND VPWR VPWR _56692_/C sky130_fd_sc_hd__nor3_2
+XFILLER_475_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80955_ _80887_/CLK _80955_/D VGND VGND VPWR VPWR _57351_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_219_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68677_ _68677_/A _68677_/B VGND VGND VPWR VPWR _68677_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_636_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_622_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65889_ _65889_/A _66393_/B VGND VGND VPWR VPWR _65889_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_463_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_288_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_3052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_581_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_563_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_429_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58430_ _58430_/A _58428_/Y _58430_/C VGND VGND VPWR VPWR _58434_/B sky130_fd_sc_hd__nor3_2
+XFILLER_447_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55642_ _55624_/A _55642_/B _55641_/Y VGND VGND VPWR VPWR _55642_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_186_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67628_ _65145_/A VGND VGND VPWR VPWR _67628_/X sky130_fd_sc_hd__buf_1
+XFILLER_683_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52854_ _52883_/A _52866_/B VGND VGND VPWR VPWR _52854_/X sky130_fd_sc_hd__or2_2
+XFILLER_3_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_532_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_41_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80886_ _80969_/CLK _74638_/Y VGND VGND VPWR VPWR _80886_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_435_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_76_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_462_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51805_ _51795_/X VGND VGND VPWR VPWR _51818_/B sky130_fd_sc_hd__buf_1
+X_39527_ _39508_/A VGND VGND VPWR VPWR _39542_/B sky130_fd_sc_hd__buf_1
+XFILLER_386_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58361_ _58361_/A _58360_/Y VGND VGND VPWR VPWR _58361_/Y sky130_fd_sc_hd__nor2_2
+XPHY_19029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_243_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55573_ _55605_/A _55696_/B VGND VGND VPWR VPWR _55573_/Y sky130_fd_sc_hd__nor2_2
+X_67559_ _67235_/A _67559_/B _67558_/Y VGND VGND VPWR VPWR _67560_/B sky130_fd_sc_hd__nor3_2
+XFILLER_605_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52785_ _52842_/A _52785_/B VGND VGND VPWR VPWR _52785_/X sky130_fd_sc_hd__or2_2
+XFILLER_269_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_450_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_462_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_407_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_600_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_505_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57312_ _56228_/A VGND VGND VPWR VPWR _57635_/A sky130_fd_sc_hd__buf_1
+XFILLER_262_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_423_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54524_ _76995_/Q _54533_/B VGND VGND VPWR VPWR _54527_/A sky130_fd_sc_hd__nand2_2
+XFILLER_389_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51736_ _51736_/A VGND VGND VPWR VPWR _51850_/A sky130_fd_sc_hd__buf_1
+X_39458_ _39208_/A _39445_/B VGND VGND VPWR VPWR _39462_/A sky130_fd_sc_hd__or2_2
+XPHY_3214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58292_ _80327_/Q _58063_/B VGND VGND VPWR VPWR _58294_/B sky130_fd_sc_hd__nor2_2
+XFILLER_364_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70570_ _70570_/A VGND VGND VPWR VPWR _70571_/A sky130_fd_sc_hd__inv_8
+XFILLER_282_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_200_0_u_core.clock clkbuf_7_100_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_401_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_17616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38409_ _76287_/A _38405_/B VGND VGND VPWR VPWR _38411_/A sky130_fd_sc_hd__or2_2
+Xclkbuf_9_260_0_u_core.clock clkbuf_9_260_0_u_core.clock/A VGND VGND VPWR VPWR _77241_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_74_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69229_ _69229_/A _64724_/B VGND VGND VPWR VPWR _69230_/C sky130_fd_sc_hd__nor2_2
+X_81507_ _81499_/CLK _71438_/Y VGND VGND VPWR VPWR _67792_/A sky130_fd_sc_hd__dfxtp_4
+X_57243_ _57243_/A _57243_/B _57242_/Y VGND VGND VPWR VPWR _57248_/B sky130_fd_sc_hd__nor3_2
+XPHY_2513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54455_ _54483_/A _54455_/B VGND VGND VPWR VPWR _54456_/B sky130_fd_sc_hd__or2_2
+XFILLER_199_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_599_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39389_ _39426_/A VGND VGND VPWR VPWR _39401_/B sky130_fd_sc_hd__buf_1
+X_51667_ _51667_/A _51667_/B VGND VGND VPWR VPWR _51667_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_341_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_579_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41420_ _41180_/A _41408_/B VGND VGND VPWR VPWR _41420_/X sky130_fd_sc_hd__or2_2
+XFILLER_260_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53406_ _53406_/A _53405_/X VGND VGND VPWR VPWR _53406_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_54_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_39_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72240_ _72268_/A VGND VGND VPWR VPWR _72263_/A sky130_fd_sc_hd__buf_1
+XPHY_16937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_620_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50618_ _50616_/Y _50618_/B VGND VGND VPWR VPWR _78027_/D sky130_fd_sc_hd__nand2_2
+X_57174_ _39064_/C _57261_/B VGND VGND VPWR VPWR _57176_/B sky130_fd_sc_hd__nor2_2
+XFILLER_659_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81438_ _81351_/CLK _81438_/D VGND VGND VPWR VPWR _66939_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_54_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54386_ _54474_/A _54398_/B VGND VGND VPWR VPWR _54387_/B sky130_fd_sc_hd__or2_2
+XFILLER_357_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_503_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_208_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51598_ _51596_/Y _51598_/B VGND VGND VPWR VPWR _77771_/D sky130_fd_sc_hd__nand2_2
+XFILLER_17_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_207_0_u_core.clock clkbuf_9_207_0_u_core.clock/A VGND VGND VPWR VPWR _76657_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_557_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_538_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56125_ _56131_/A _56125_/B VGND VGND VPWR VPWR _56125_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_707_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41351_ _41097_/X _41358_/B VGND VGND VPWR VPWR _41351_/X sky130_fd_sc_hd__or2_2
+XPHY_33604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53337_ _53337_/A VGND VGND VPWR VPWR _53639_/A sky130_fd_sc_hd__buf_1
+XFILLER_393_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72171_ _72166_/A _72160_/X _69228_/A VGND VGND VPWR VPWR _72172_/B sky130_fd_sc_hd__nand3_2
+XPHY_1867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50549_ _50847_/A VGND VGND VPWR VPWR _50642_/A sky130_fd_sc_hd__buf_1
+XPHY_33615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81369_ _81305_/CLK _72672_/Y VGND VGND VPWR VPWR _66120_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_384_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_590_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_139_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40302_ _40302_/A _40302_/B VGND VGND VPWR VPWR _40302_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_377_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71122_ _71391_/A _71074_/B _71121_/Y VGND VGND VPWR VPWR _71122_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_722_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_354_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44070_ _76073_/A _44069_/X VGND VGND VPWR VPWR _44072_/A sky130_fd_sc_hd__nor2_2
+XFILLER_291_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56056_ _56004_/X _56055_/X io_in[30] _55980_/A VGND VGND VPWR VPWR wbs_dat_o[11]
++ sky130_fd_sc_hd__o22a_4
+XFILLER_393_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41282_ _41013_/A _41274_/B VGND VGND VPWR VPWR _41282_/X sky130_fd_sc_hd__or2_2
+XPHY_32914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53268_ _77327_/Q _53271_/B VGND VGND VPWR VPWR _53268_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_633_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_376_0_u_core.clock clkbuf_9_377_0_u_core.clock/A VGND VGND VPWR VPWR _77193_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_573_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_129_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43021_ _43028_/B VGND VGND VPWR VPWR _43022_/B sky130_fd_sc_hd__inv_8
+XFILLER_414_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55007_ _59678_/A _54992_/X VGND VGND VPWR VPWR _55007_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_174_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40233_ _39994_/A _40236_/B VGND VGND VPWR VPWR _40233_/X sky130_fd_sc_hd__or2_2
+XFILLER_313_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_13_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52219_ _60484_/A _52197_/X VGND VGND VPWR VPWR _52219_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_325_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75930_ _75928_/X _75929_/Y VGND VGND VPWR VPWR _80564_/D sky130_fd_sc_hd__nand2_2
+XPHY_23169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71053_ _71053_/A VGND VGND VPWR VPWR _39362_/A sky130_fd_sc_hd__inv_8
+XFILLER_653_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_159_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53199_ _77347_/Q _53209_/B VGND VGND VPWR VPWR _53201_/A sky130_fd_sc_hd__nand2_2
+XFILLER_152_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70004_ _55164_/A VGND VGND VPWR VPWR _70007_/B sky130_fd_sc_hd__inv_8
+XFILLER_108_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59815_ _59815_/A _59815_/B VGND VGND VPWR VPWR _59816_/B sky130_fd_sc_hd__nor2_2
+XFILLER_273_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40164_ _40162_/X _40163_/Y VGND VGND VPWR VPWR _79942_/D sky130_fd_sc_hd__nand2_2
+X_75861_ _75897_/A VGND VGND VPWR VPWR _75876_/B sky130_fd_sc_hd__buf_1
+XPHY_22479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_124_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_332_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_11233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77600_ _77606_/CLK _52240_/Y VGND VGND VPWR VPWR _77600_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_532_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_300_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_150_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74812_ _74795_/X _74680_/B _74812_/C VGND VGND VPWR VPWR _74813_/B sky130_fd_sc_hd__nand3_2
+XFILLER_434_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_47_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47760_ _47759_/X VGND VGND VPWR VPWR _47761_/B sky130_fd_sc_hd__buf_1
+XFILLER_123_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59746_ _59578_/A _59746_/B _59745_/Y VGND VGND VPWR VPWR _59755_/B sky130_fd_sc_hd__nor3_2
+XFILLER_137_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78580_ _78580_/CLK _78580_/D VGND VGND VPWR VPWR _78580_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_482_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40095_ _40095_/A _40094_/Y VGND VGND VPWR VPWR _79960_/D sky130_fd_sc_hd__nand2_2
+X_44972_ _44978_/A VGND VGND VPWR VPWR _44972_/Y sky130_fd_sc_hd__inv_8
+X_56958_ _75799_/C _56707_/B VGND VGND VPWR VPWR _56958_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_215_1535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75792_ _75803_/A _75792_/B _75792_/C VGND VGND VPWR VPWR _75793_/B sky130_fd_sc_hd__nand3_2
+XPHY_21789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_43_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_439_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_352_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_134_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_627_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_46_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46711_ _46711_/A _46711_/B VGND VGND VPWR VPWR _46712_/B sky130_fd_sc_hd__or2_2
+XFILLER_130_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_725_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77531_ _77528_/CLK _77531_/D VGND VGND VPWR VPWR _77531_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_707_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43923_ _43923_/A _43923_/B _43937_/C VGND VGND VPWR VPWR _43923_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_89_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55909_ _55909_/A _55909_/B _55909_/C VGND VGND VPWR VPWR _55910_/C sky130_fd_sc_hd__or3_2
+X_74743_ _74720_/A VGND VGND VPWR VPWR _74749_/B sky130_fd_sc_hd__buf_1
+XFILLER_562_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59677_ _77080_/Q _59677_/B VGND VGND VPWR VPWR _59679_/B sky130_fd_sc_hd__nor2_2
+X_47691_ _47753_/A _47674_/X VGND VGND VPWR VPWR _47692_/B sky130_fd_sc_hd__or2_2
+X_71955_ _70757_/A _71227_/A VGND VGND VPWR VPWR _71960_/A sky130_fd_sc_hd__nor2_2
+XFILLER_232_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56889_ _56785_/X _56889_/B _56888_/Y VGND VGND VPWR VPWR _56889_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_93_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_20_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_670_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49430_ _49428_/A VGND VGND VPWR VPWR _49446_/B sky130_fd_sc_hd__buf_1
+XFILLER_616_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_643_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58628_ _58628_/A _58628_/B VGND VGND VPWR VPWR _58629_/B sky130_fd_sc_hd__nor2_2
+X_46642_ _78980_/Q VGND VGND VPWR VPWR _58866_/A sky130_fd_sc_hd__inv_8
+X_70906_ _71389_/A _70903_/Y _70906_/C _70905_/Y VGND VGND VPWR VPWR _70906_/X sky130_fd_sc_hd__or4_2
+XFILLER_111_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77462_ _77438_/CLK _77462_/D VGND VGND VPWR VPWR _60579_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_482_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43854_ _43854_/A _43854_/B _43854_/C VGND VGND VPWR VPWR _43855_/C sky130_fd_sc_hd__nor3_2
+XFILLER_64_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74674_ _74674_/A VGND VGND VPWR VPWR _74725_/A sky130_fd_sc_hd__buf_1
+XFILLER_523_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_428_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71886_ _71886_/A VGND VGND VPWR VPWR _74120_/A sky130_fd_sc_hd__inv_8
+XFILLER_267_2657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_605_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79201_ _79201_/CLK _79201_/D VGND VGND VPWR VPWR _44727_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_253_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_38_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_621_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76413_ _76413_/A _76413_/B VGND VGND VPWR VPWR _76413_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_441_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_287_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42805_ _42474_/X _42804_/X VGND VGND VPWR VPWR _42805_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_98_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49361_ _61963_/A _49355_/B VGND VGND VPWR VPWR _49362_/B sky130_fd_sc_hd__nand2_2
+XFILLER_707_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73625_ _73625_/A VGND VGND VPWR VPWR _75947_/A sky130_fd_sc_hd__buf_1
+XFILLER_599_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46573_ _46583_/A _46573_/B _46572_/Y VGND VGND VPWR VPWR _46574_/C sky130_fd_sc_hd__nor3_2
+XFILLER_562_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58559_ _58484_/A _58557_/Y _58558_/Y VGND VGND VPWR VPWR _58559_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_284_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70837_ _71969_/A _70836_/X VGND VGND VPWR VPWR _70838_/C sky130_fd_sc_hd__or2_2
+XFILLER_18_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77393_ _77755_/CLK _53011_/Y VGND VGND VPWR VPWR _77393_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_4_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43785_ _43769_/B _43797_/A VGND VGND VPWR VPWR _43785_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40997_ _40514_/A _40994_/B VGND VGND VPWR VPWR _40997_/X sky130_fd_sc_hd__or2_2
+XFILLER_98_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_703_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_463_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_166_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48312_ _48312_/A _48311_/X VGND VGND VPWR VPWR _78625_/D sky130_fd_sc_hd__nand2_2
+XPHY_5172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79132_ _76708_/CLK _79132_/D VGND VGND VPWR VPWR _42573_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_245_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_527_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_163_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45524_ _45514_/A _45522_/Y _45523_/Y VGND VGND VPWR VPWR _45524_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_185_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_73_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76344_ _76366_/A VGND VGND VPWR VPWR _76353_/B sky130_fd_sc_hd__buf_1
+XFILLER_343_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42736_ _42628_/A VGND VGND VPWR VPWR _42736_/X sky130_fd_sc_hd__buf_1
+XFILLER_699_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61570_ _61109_/A _61570_/B _61570_/C VGND VGND VPWR VPWR _61578_/B sky130_fd_sc_hd__nor3_2
+XFILLER_280_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73556_ _73538_/A VGND VGND VPWR VPWR _73556_/X sky130_fd_sc_hd__buf_1
+XFILLER_480_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_441_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49292_ _49290_/Y _49292_/B VGND VGND VPWR VPWR _49292_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_59_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70768_ _70772_/A _70011_/B VGND VGND VPWR VPWR _70769_/B sky130_fd_sc_hd__xor2_2
+XFILLER_280_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_340 _51145_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_406_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_283_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_351 _51164_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_2_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_241_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_362 _50116_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_480_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_185_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_720_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_124_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60521_ _60828_/A _60521_/B _60520_/Y VGND VGND VPWR VPWR _60525_/B sky130_fd_sc_hd__nor3_2
+X_48243_ _48214_/A _48252_/B VGND VGND VPWR VPWR _48244_/B sky130_fd_sc_hd__or2_2
+X_72507_ _72217_/A _72500_/X VGND VGND VPWR VPWR _72512_/A sky130_fd_sc_hd__or2_2
+XPHY_18862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79063_ _79057_/CLK _79063_/D VGND VGND VPWR VPWR _41646_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_568_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_373 _53056_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_127_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45455_ _45450_/A _45453_/Y _45454_/Y VGND VGND VPWR VPWR _45455_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76275_ _76275_/A VGND VGND VPWR VPWR _76287_/B sky130_fd_sc_hd__buf_1
+XFILLER_621_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42667_ _42645_/A _42666_/X VGND VGND VPWR VPWR _42667_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_226_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73487_ _73484_/A _73494_/B _65090_/A VGND VGND VPWR VPWR _73489_/A sky130_fd_sc_hd__nand3_2
+XANTENNA_384 _52767_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_18_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_500_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_92_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70699_ _70699_/A VGND VGND VPWR VPWR _70699_/X sky130_fd_sc_hd__buf_1
+XFILLER_638_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_395 _54989_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_378_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_348_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_204_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78014_ _77863_/CLK _78014_/D VGND VGND VPWR VPWR _61853_/A sky130_fd_sc_hd__dfxtp_4
+X_44406_ _44521_/A VGND VGND VPWR VPWR _44406_/X sky130_fd_sc_hd__buf_1
+XFILLER_159_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63240_ _63240_/A _63238_/Y _63240_/C VGND VGND VPWR VPWR _63240_/Y sky130_fd_sc_hd__nor3_2
+X_75226_ _75239_/A _75225_/X _67865_/A VGND VGND VPWR VPWR _75227_/B sky130_fd_sc_hd__nand3_2
+XFILLER_70_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41618_ _41618_/A _41629_/B VGND VGND VPWR VPWR _41622_/A sky130_fd_sc_hd__or2_2
+XFILLER_105_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48174_ _48171_/X VGND VGND VPWR VPWR _48196_/B sky130_fd_sc_hd__buf_1
+X_60452_ _77829_/Q _60452_/B VGND VGND VPWR VPWR _60453_/C sky130_fd_sc_hd__nor2_2
+XPHY_3792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72438_ _72438_/A _72437_/Y VGND VGND VPWR VPWR _72438_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_536_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45386_ _45385_/Y _45059_/A VGND VGND VPWR VPWR _45386_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_200_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42598_ _45582_/A VGND VGND VPWR VPWR _67688_/A sky130_fd_sc_hd__inv_8
+XFILLER_398_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47125_ _46328_/Y _47124_/X VGND VGND VPWR VPWR _47125_/X sky130_fd_sc_hd__or2_2
+XFILLER_174_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_196_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_376_3077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_347_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63171_ _62864_/A _63168_/Y _63170_/Y VGND VGND VPWR VPWR _63175_/B sky130_fd_sc_hd__nor3_2
+XFILLER_458_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44337_ _44486_/A VGND VGND VPWR VPWR _44337_/Y sky130_fd_sc_hd__inv_8
+X_75157_ _75155_/X _75156_/Y VGND VGND VPWR VPWR _80758_/D sky130_fd_sc_hd__nand2_2
+XFILLER_394_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_673_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_655_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41549_ _41548_/X _41538_/B _68264_/A VGND VGND VPWR VPWR _41550_/B sky130_fd_sc_hd__nand3_2
+XPHY_35584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72369_ _72346_/X VGND VGND VPWR VPWR _72443_/A sky130_fd_sc_hd__buf_1
+X_60383_ _60065_/A _60383_/B _60383_/C VGND VGND VPWR VPWR _60391_/B sky130_fd_sc_hd__nor3_2
+XFILLER_278_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_524_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_159_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_474_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74108_ _74108_/A _74108_/B VGND VGND VPWR VPWR _81016_/D sky130_fd_sc_hd__nand2_2
+XFILLER_70_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62122_ _78359_/Q _62592_/B VGND VGND VPWR VPWR _62122_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_157_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47056_ _46972_/A VGND VGND VPWR VPWR _47064_/B sky130_fd_sc_hd__buf_1
+XFILLER_220_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44268_ _44268_/A _44268_/B _44268_/C VGND VGND VPWR VPWR _44269_/C sky130_fd_sc_hd__nor3_2
+XPHY_24360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75088_ _75088_/A _75096_/B _68536_/A VGND VGND VPWR VPWR _75088_/Y sky130_fd_sc_hd__nand3_2
+X_79965_ _80031_/CLK _79965_/D VGND VGND VPWR VPWR _79965_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_34894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_354_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46007_ _46064_/A _46007_/B _46007_/C VGND VGND VPWR VPWR _79046_/D sky130_fd_sc_hd__nor3_2
+XFILLER_591_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43219_ _43219_/A _43219_/B _43218_/Y VGND VGND VPWR VPWR _43219_/Y sky130_fd_sc_hd__nor3_2
+X_66930_ _67091_/A _66930_/B _66930_/C VGND VGND VPWR VPWR _66946_/A sky130_fd_sc_hd__nor3_2
+XFILLER_350_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62053_ _58968_/X VGND VGND VPWR VPWR _62053_/X sky130_fd_sc_hd__buf_1
+X_74039_ _74714_/A VGND VGND VPWR VPWR _74195_/A sky130_fd_sc_hd__buf_1
+XFILLER_523_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78916_ _78919_/CLK _78916_/D VGND VGND VPWR VPWR _47049_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_573_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_142_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_6_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44199_ _43856_/A VGND VGND VPWR VPWR _44521_/A sky130_fd_sc_hd__buf_1
+XFILLER_155_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_233_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79896_ _80144_/CLK _79896_/D VGND VGND VPWR VPWR _57117_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_55_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_318_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_315_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61004_ _61004_/A _61003_/Y VGND VGND VPWR VPWR _61005_/B sky130_fd_sc_hd__nor2_2
+XFILLER_684_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_141_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66861_ _64079_/X VGND VGND VPWR VPWR _66861_/X sky130_fd_sc_hd__buf_1
+X_78847_ _76729_/CLK _47410_/Y VGND VGND VPWR VPWR _63369_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_88_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_170_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_449_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_233_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_684_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68600_ _68600_/A _68600_/B _68599_/Y VGND VGND VPWR VPWR _68600_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_9_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_87_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65812_ _80951_/Q _65314_/B VGND VGND VPWR VPWR _65814_/B sky130_fd_sc_hd__nor2_2
+XFILLER_29_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38760_ _38768_/A _38760_/B _80298_/Q VGND VGND VPWR VPWR _38760_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_133_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_610_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69580_ _69580_/A VGND VGND VPWR VPWR _69581_/B sky130_fd_sc_hd__inv_8
+XFILLER_667_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47958_ _47958_/A _47958_/B VGND VGND VPWR VPWR _78714_/D sky130_fd_sc_hd__nand2_2
+XFILLER_528_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66792_ _64282_/A VGND VGND VPWR VPWR _66792_/X sky130_fd_sc_hd__buf_1
+X_78778_ _78766_/CLK _78778_/D VGND VGND VPWR VPWR _63771_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_250_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_330_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_350_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_418_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_387_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68531_ _66884_/A VGND VGND VPWR VPWR _68531_/X sky130_fd_sc_hd__buf_1
+XFILLER_61_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46909_ _44448_/A VGND VGND VPWR VPWR _46910_/A sky130_fd_sc_hd__buf_1
+X_65743_ _65743_/A _65741_/Y _65743_/C VGND VGND VPWR VPWR _65743_/Y sky130_fd_sc_hd__nor3_2
+X_77729_ _77729_/CLK _51754_/Y VGND VGND VPWR VPWR _61128_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_649_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38691_ _38672_/A VGND VGND VPWR VPWR _38692_/B sky130_fd_sc_hd__buf_1
+XFILLER_528_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62955_ _62955_/A _62952_/Y _62955_/C VGND VGND VPWR VPWR _62956_/C sky130_fd_sc_hd__nor3_2
+XFILLER_618_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_645_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_64_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47889_ _64001_/A _47896_/B VGND VGND VPWR VPWR _47892_/A sky130_fd_sc_hd__nand2_2
+XFILLER_473_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_96_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_725_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_42_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_283_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61906_ _78214_/Q _62064_/B VGND VGND VPWR VPWR _61908_/B sky130_fd_sc_hd__nor2_2
+X_80740_ _80736_/CLK _80740_/D VGND VGND VPWR VPWR _67865_/A sky130_fd_sc_hd__dfxtp_4
+X_49628_ _62420_/A _49628_/B VGND VGND VPWR VPWR _49630_/A sky130_fd_sc_hd__nand2_2
+XFILLER_673_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68462_ _75738_/C _68461_/X VGND VGND VPWR VPWR _68463_/C sky130_fd_sc_hd__nor2_2
+X_65674_ _65335_/A _65674_/B _65674_/C VGND VGND VPWR VPWR _65678_/B sky130_fd_sc_hd__nor3_2
+XFILLER_352_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62886_ _59743_/A VGND VGND VPWR VPWR _63507_/B sky130_fd_sc_hd__buf_1
+XFILLER_77_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_445_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67413_ _67577_/A _67406_/Y _67413_/C VGND VGND VPWR VPWR _67414_/C sky130_fd_sc_hd__nor3_2
+XFILLER_444_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64625_ _69385_/A _64623_/Y _64624_/Y VGND VGND VPWR VPWR _64632_/B sky130_fd_sc_hd__nor3_2
+XFILLER_243_217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_168_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_383_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61837_ _61215_/A _61837_/B _61836_/Y VGND VGND VPWR VPWR _61841_/B sky130_fd_sc_hd__nor3_2
+X_49559_ _78308_/Q _49573_/B VGND VGND VPWR VPWR _49559_/Y sky130_fd_sc_hd__nand2_2
+X_80671_ _80637_/CLK _75504_/Y VGND VGND VPWR VPWR _80671_/Q sky130_fd_sc_hd__dfxtp_4
+X_68393_ _68393_/A _68393_/B _68392_/Y VGND VGND VPWR VPWR _68402_/B sky130_fd_sc_hd__nor3_2
+XFILLER_266_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_621_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_424_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_224_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39312_ _39175_/A _39316_/B VGND VGND VPWR VPWR _39315_/A sky130_fd_sc_hd__or2_2
+XFILLER_244_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_346_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_604_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67344_ _80224_/Q _67344_/B VGND VGND VPWR VPWR _67345_/C sky130_fd_sc_hd__nor2_2
+XFILLER_721_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_361_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64556_ _64911_/A VGND VGND VPWR VPWR _64557_/B sky130_fd_sc_hd__buf_1
+X_52570_ _52570_/A _52569_/X VGND VGND VPWR VPWR _52570_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_329_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61768_ _58991_/X VGND VGND VPWR VPWR _62083_/A sky130_fd_sc_hd__buf_1
+XFILLER_36_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_561_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_320_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39243_ _39243_/A _39103_/X VGND VGND VPWR VPWR _39243_/X sky130_fd_sc_hd__or2_2
+X_51521_ _60551_/A _51518_/B VGND VGND VPWR VPWR _51523_/A sky130_fd_sc_hd__nand2_2
+XFILLER_184_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63507_ _63507_/A _63507_/B VGND VGND VPWR VPWR _63508_/C sky130_fd_sc_hd__nor2_2
+XFILLER_55_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60719_ _60719_/A _60719_/B _60719_/C VGND VGND VPWR VPWR _60719_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_244_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67275_ _66945_/A _67267_/Y _67274_/Y VGND VGND VPWR VPWR _67275_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_71_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_630_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64487_ _76338_/C _64487_/B VGND VGND VPWR VPWR _64487_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_459_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_307_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_691_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_402_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61699_ _61699_/A _61233_/B VGND VGND VPWR VPWR _61700_/C sky130_fd_sc_hd__nor2_2
+XFILLER_142_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69014_ _68860_/A _69014_/B _69014_/C VGND VGND VPWR VPWR _69022_/B sky130_fd_sc_hd__nor3_2
+XFILLER_719_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_11_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54240_ _54538_/A VGND VGND VPWR VPWR _54240_/X sky130_fd_sc_hd__buf_1
+XFILLER_36_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66226_ _66226_/A _66393_/B VGND VGND VPWR VPWR _66228_/B sky130_fd_sc_hd__nor2_2
+XFILLER_479_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51452_ _51449_/Y _51452_/B VGND VGND VPWR VPWR _77810_/D sky130_fd_sc_hd__nand2_2
+XFILLER_225_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_676_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39174_ _39174_/A VGND VGND VPWR VPWR _39175_/A sky130_fd_sc_hd__buf_1
+XFILLER_14_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63438_ _63435_/X _63436_/Y _63437_/Y VGND VGND VPWR VPWR _63438_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_127_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_222_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_557_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_14_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50403_ _50403_/A _50402_/X VGND VGND VPWR VPWR _50403_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_535_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81223_ _81190_/CLK _73297_/Y VGND VGND VPWR VPWR _68406_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_390_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_447_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54171_ _54164_/A VGND VGND VPWR VPWR _54178_/B sky130_fd_sc_hd__buf_1
+XFILLER_101_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66157_ _75788_/C _66157_/B VGND VGND VPWR VPWR _66158_/C sky130_fd_sc_hd__nor2_2
+XFILLER_177_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_394_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51383_ _51383_/A _51383_/B VGND VGND VPWR VPWR _51383_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_515_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_359_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63369_ _63369_/A _62900_/B VGND VGND VPWR VPWR _63371_/B sky130_fd_sc_hd__nor2_2
+XFILLER_594_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_554_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_393_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_292_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_74_0_u_core.clock clkbuf_7_75_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_74_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_53122_ _53066_/A _53131_/B VGND VGND VPWR VPWR _53123_/B sky130_fd_sc_hd__or2_2
+XFILLER_88_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65108_ _65629_/A _65108_/B _65108_/C VGND VGND VPWR VPWR _65108_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_394_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50334_ _50276_/A _50334_/B VGND VGND VPWR VPWR _50334_/X sky130_fd_sc_hd__or2_2
+XFILLER_140_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_582_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81154_ _81156_/CLK _81154_/D VGND VGND VPWR VPWR _81154_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_492_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_457_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_34_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66088_ _66734_/A _66088_/B _66088_/C VGND VGND VPWR VPWR _66089_/C sky130_fd_sc_hd__nor3_2
+XFILLER_515_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_296_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_3054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_307_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80105_ _80104_/CLK _39520_/Y VGND VGND VPWR VPWR _58453_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_121_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53053_ _53053_/A VGND VGND VPWR VPWR _53061_/B sky130_fd_sc_hd__buf_1
+XFILLER_380_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57930_ _56389_/A VGND VGND VPWR VPWR _58255_/A sky130_fd_sc_hd__buf_1
+XFILLER_238_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65039_ _65039_/A _64453_/X VGND VGND VPWR VPWR _65041_/B sky130_fd_sc_hd__nor2_2
+XPHY_31509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69916_ _69915_/X VGND VGND VPWR VPWR _70888_/A sky130_fd_sc_hd__inv_8
+XFILLER_697_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50265_ _50865_/A VGND VGND VPWR VPWR _50266_/A sky130_fd_sc_hd__buf_1
+XFILLER_689_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81085_ _81083_/CLK _81085_/D VGND VGND VPWR VPWR _73815_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_322_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_307_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_333_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_492_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_685_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52004_ _51706_/A VGND VGND VPWR VPWR _52041_/A sky130_fd_sc_hd__buf_1
+XFILLER_371_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_333_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_238_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80036_ _79940_/CLK _80036_/D VGND VGND VPWR VPWR _67981_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_59_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57861_ _57861_/A _57860_/Y VGND VGND VPWR VPWR _57861_/Y sky130_fd_sc_hd__nor2_2
+XPHY_30819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69847_ _43527_/A _55291_/A _46033_/A VGND VGND VPWR VPWR _69847_/X sky130_fd_sc_hd__o21a_4
+XPHY_9438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50196_ _50108_/A _50202_/B VGND VGND VPWR VPWR _50197_/B sky130_fd_sc_hd__or2_2
+XFILLER_273_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_156_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_175_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_495_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_82_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59600_ _59441_/A _59600_/B _59599_/Y VGND VGND VPWR VPWR _59600_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_133_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_449_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56812_ _65306_/A _56812_/B VGND VGND VPWR VPWR _56812_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_59_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38958_ _39034_/A VGND VGND VPWR VPWR _38963_/A sky130_fd_sc_hd__buf_1
+XFILLER_388_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57792_ _79841_/Q _57874_/B VGND VGND VPWR VPWR _57793_/C sky130_fd_sc_hd__nor2_2
+XFILLER_310_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69778_ _69770_/X _69755_/X VGND VGND VPWR VPWR _69778_/Y sky130_fd_sc_hd__nor2_2
+XPHY_8748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_684_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_504_0_u_core.clock clkbuf_9_505_0_u_core.clock/A VGND VGND VPWR VPWR _80375_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_511_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_43_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_247_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59531_ _59531_/A _59531_/B _59530_/Y VGND VGND VPWR VPWR _59531_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_671_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56743_ _40228_/C _56742_/X VGND VGND VPWR VPWR _56744_/C sky130_fd_sc_hd__nor2_2
+XFILLER_119_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68729_ _68729_/A VGND VGND VPWR VPWR _69325_/B sky130_fd_sc_hd__buf_1
+XFILLER_726_1613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53955_ _53921_/A _53951_/X VGND VGND VPWR VPWR _53956_/B sky130_fd_sc_hd__or2_2
+XFILLER_21_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_288_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38889_ _38498_/A _38876_/X VGND VGND VPWR VPWR _38891_/A sky130_fd_sc_hd__or2_2
+XFILLER_101_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_169_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_652_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40920_ _40917_/A _40906_/B _68112_/A VGND VGND VPWR VPWR _40920_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_86_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52906_ _77420_/Q _52924_/B VGND VGND VPWR VPWR _52906_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_247_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59462_ _54749_/A _58916_/B VGND VGND VPWR VPWR _59464_/B sky130_fd_sc_hd__nor2_2
+X_71740_ _71642_/X _71708_/X _71650_/X _42700_/B VGND VGND VPWR VPWR _71741_/A sky130_fd_sc_hd__o22a_4
+XFILLER_407_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56674_ _56433_/A _56672_/Y _56674_/C VGND VGND VPWR VPWR _56674_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_262_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80938_ _80911_/CLK _80938_/D VGND VGND VPWR VPWR _68901_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_29305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_429_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53886_ _53886_/A _53885_/X VGND VGND VPWR VPWR _53889_/A sky130_fd_sc_hd__nand2_2
+XFILLER_115_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_585_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_99_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_106_0_u_core.clock clkbuf_7_53_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_213_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_663_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_546_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_609_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58413_ _58329_/X _58410_/Y _58412_/Y VGND VGND VPWR VPWR _58413_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_60_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_284_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_9_166_0_u_core.clock clkbuf_8_83_0_u_core.clock/X VGND VGND VPWR VPWR _76798_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_640_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55625_ _55710_/A _55620_/X _55621_/Y _55625_/D VGND VGND VPWR VPWR _55625_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_186_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_540_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40851_ _40849_/X _40850_/Y VGND VGND VPWR VPWR _40851_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52837_ _52837_/A _52836_/X VGND VGND VPWR VPWR _77440_/D sky130_fd_sc_hd__nand2_2
+XPHY_29349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59393_ _62852_/A VGND VGND VPWR VPWR _59393_/X sky130_fd_sc_hd__buf_1
+X_71671_ _71640_/A _71640_/B _66633_/A VGND VGND VPWR VPWR _71671_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_3_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80869_ _80867_/CLK _74717_/Y VGND VGND VPWR VPWR _80869_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_578_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_624_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_661_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_54_0_u_core.clock clkbuf_7_27_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_54_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_360_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73410_ _73408_/Y _73409_/X VGND VGND VPWR VPWR _73410_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_309_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_284_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_3022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_389_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70622_ _70694_/A VGND VGND VPWR VPWR _70706_/A sky130_fd_sc_hd__buf_1
+XFILLER_199_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58344_ _79976_/Q _58648_/B VGND VGND VPWR VPWR _58347_/B sky130_fd_sc_hd__nor2_2
+XFILLER_696_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43570_ _71226_/A _43577_/B VGND VGND VPWR VPWR _43570_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_147_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55556_ io_in[30] _55711_/B VGND VGND VPWR VPWR _55557_/B sky130_fd_sc_hd__or2_2
+X_74390_ _74147_/A _74394_/B VGND VGND VPWR VPWR _74390_/X sky130_fd_sc_hd__or2_2
+XFILLER_42_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40782_ _40758_/X VGND VGND VPWR VPWR _40801_/A sky130_fd_sc_hd__buf_1
+XPHY_27914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52768_ _52789_/B VGND VGND VPWR VPWR _52785_/B sky130_fd_sc_hd__buf_1
+XFILLER_522_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_407_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42521_ _42561_/A _42505_/Y _42520_/Y VGND VGND VPWR VPWR _79481_/D sky130_fd_sc_hd__nor3_2
+X_54507_ _54505_/Y _54506_/X VGND VGND VPWR VPWR _77000_/D sky130_fd_sc_hd__nand2_2
+XFILLER_677_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73341_ _74968_/A VGND VGND VPWR VPWR _73377_/A sky130_fd_sc_hd__buf_1
+XPHY_27947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51719_ _77737_/Q _51710_/B VGND VGND VPWR VPWR _51722_/A sky130_fd_sc_hd__nand2_2
+XPHY_17424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58275_ _68478_/A _58357_/B VGND VGND VPWR VPWR _58275_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_70_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70553_ _70552_/X VGND VGND VPWR VPWR _70553_/X sky130_fd_sc_hd__buf_1
+XFILLER_501_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_522_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_58_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55487_ _55265_/Y _55273_/B _55250_/X VGND VGND VPWR VPWR _55487_/X sky130_fd_sc_hd__and3_2
+XFILLER_420_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52699_ _52724_/B VGND VGND VPWR VPWR _52714_/B sky130_fd_sc_hd__buf_1
+XPHY_27969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45240_ _45240_/A VGND VGND VPWR VPWR _45241_/A sky130_fd_sc_hd__inv_8
+XFILLER_501_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_376_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57226_ _57226_/A _57226_/B _57225_/Y VGND VGND VPWR VPWR _57226_/X sky130_fd_sc_hd__or3_2
+XFILLER_348_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_208_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76060_ _75940_/A _76057_/B VGND VGND VPWR VPWR _76062_/A sky130_fd_sc_hd__or2_2
+XPHY_2343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54438_ _60151_/A _54448_/B VGND VGND VPWR VPWR _54438_/Y sky130_fd_sc_hd__nand2_2
+XPHY_17468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42452_ _69420_/A _42633_/A VGND VGND VPWR VPWR _42466_/A sky130_fd_sc_hd__nor2_2
+XFILLER_518_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73272_ _73268_/A _73268_/B _69334_/A VGND VGND VPWR VPWR _73274_/A sky130_fd_sc_hd__nand3_2
+XFILLER_70_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_638_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70484_ _70484_/A _70484_/B _70484_/C VGND VGND VPWR VPWR _70485_/C sky130_fd_sc_hd__nor3_2
+XFILLER_325_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_674_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75011_ _75009_/Y _75010_/X VGND VGND VPWR VPWR _75011_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_718_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41403_ _41013_/A _41394_/B VGND VGND VPWR VPWR _41405_/A sky130_fd_sc_hd__or2_2
+XFILLER_240_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72223_ _72754_/A _72205_/X VGND VGND VPWR VPWR _72223_/X sky130_fd_sc_hd__or2_2
+XPHY_1642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_329_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45171_ _45171_/A _45170_/X VGND VGND VPWR VPWR _45171_/X sky130_fd_sc_hd__or2_2
+XPHY_34135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57157_ _57157_/A _56837_/X VGND VGND VPWR VPWR _57157_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_672_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_391_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42383_ _42382_/X VGND VGND VPWR VPWR _42383_/X sky130_fd_sc_hd__buf_1
+XFILLER_123_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54369_ _54402_/B VGND VGND VPWR VPWR _54388_/B sky130_fd_sc_hd__buf_1
+XFILLER_455_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_356_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_317_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44122_ _44185_/A _44117_/Y _44122_/C VGND VGND VPWR VPWR _79263_/D sky130_fd_sc_hd__nor3_2
+XPHY_1686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56108_ _56088_/A _56108_/B VGND VGND VPWR VPWR _56109_/B sky130_fd_sc_hd__nor2_2
+XFILLER_535_2840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41334_ _41334_/A _41334_/B VGND VGND VPWR VPWR _79647_/D sky130_fd_sc_hd__nand2_2
+XPHY_33434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_633_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72154_ _72331_/B _72154_/B VGND VGND VPWR VPWR _72154_/X sky130_fd_sc_hd__or2_2
+XPHY_1697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57088_ _39580_/C _57006_/B VGND VGND VPWR VPWR _57090_/B sky130_fd_sc_hd__nor2_2
+XFILLER_344_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_633_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_616_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71105_ _71188_/A _71188_/B _69229_/A VGND VGND VPWR VPWR _71106_/B sky130_fd_sc_hd__nand3_2
+XFILLER_711_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48930_ _48930_/A _48921_/B VGND VGND VPWR VPWR _48934_/A sky130_fd_sc_hd__nand2_2
+X_44053_ _68181_/A _68025_/A _44052_/X VGND VGND VPWR VPWR _44053_/X sky130_fd_sc_hd__or3_4
+XFILLER_32_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56039_ _55992_/A _45224_/B VGND VGND VPWR VPWR _56039_/X sky130_fd_sc_hd__or2_2
+XFILLER_512_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79750_ _79750_/CLK _79750_/D VGND VGND VPWR VPWR _58256_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_183_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41265_ _41265_/A _41264_/Y VGND VGND VPWR VPWR _79665_/D sky130_fd_sc_hd__nand2_2
+XPHY_33489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76962_ _76963_/CLK _76962_/D VGND VGND VPWR VPWR _59936_/A sky130_fd_sc_hd__dfxtp_4
+X_72085_ _71310_/B _72084_/X VGND VGND VPWR VPWR _72086_/C sky130_fd_sc_hd__nor2_2
+XFILLER_633_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_65_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43004_ _43010_/A _43004_/B VGND VGND VPWR VPWR _43004_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_174_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78701_ _78202_/CLK _48011_/Y VGND VGND VPWR VPWR _78701_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_32777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40216_ _39977_/A _40216_/B VGND VGND VPWR VPWR _40216_/X sky130_fd_sc_hd__or2_2
+XFILLER_123_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75913_ _75913_/A _75898_/B VGND VGND VPWR VPWR _75915_/A sky130_fd_sc_hd__or2_2
+X_71036_ _41007_/A VGND VGND VPWR VPWR _42888_/A sky130_fd_sc_hd__buf_1
+XFILLER_65_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_459_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_152_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48861_ _48861_/A VGND VGND VPWR VPWR _48873_/B sky130_fd_sc_hd__buf_1
+XPHY_21520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_688_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79681_ _79610_/CLK _41207_/Y VGND VGND VPWR VPWR _67449_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_668_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_152_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41196_ _41192_/A _41196_/B _58096_/A VGND VGND VPWR VPWR _41197_/B sky130_fd_sc_hd__nand3_2
+XPHY_32799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76893_ _76893_/CLK _54906_/Y VGND VGND VPWR VPWR _59245_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_371_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_340_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_34_0_u_core.clock clkbuf_8_17_0_u_core.clock/X VGND VGND VPWR VPWR _78903_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_152_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_139_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_269_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47812_ _47753_/A _47799_/X VGND VGND VPWR VPWR _47812_/X sky130_fd_sc_hd__or2_2
+XFILLER_273_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78632_ _78121_/CLK _78632_/D VGND VGND VPWR VPWR _78632_/Q sky130_fd_sc_hd__dfxtp_4
+X_40147_ _40145_/X _40146_/Y VGND VGND VPWR VPWR _79946_/D sky130_fd_sc_hd__nand2_2
+XPHY_9961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75844_ _75844_/A _75844_/B _69024_/A VGND VGND VPWR VPWR _75844_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_430_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_273_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48792_ _48948_/A VGND VGND VPWR VPWR _48883_/A sky130_fd_sc_hd__buf_1
+XPHY_9972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_312_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_649_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47743_ _63639_/A _47731_/X VGND VGND VPWR VPWR _47745_/A sky130_fd_sc_hd__nand2_2
+XFILLER_215_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59729_ _59729_/A _59407_/B VGND VGND VPWR VPWR _59729_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_300_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78563_ _78836_/CLK _48556_/Y VGND VGND VPWR VPWR _48554_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_670_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40078_ _40060_/A VGND VGND VPWR VPWR _40079_/B sky130_fd_sc_hd__buf_1
+X_44955_ _45053_/A _44954_/Y VGND VGND VPWR VPWR _44955_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_447_3056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75775_ _75775_/A _75775_/B VGND VGND VPWR VPWR _80605_/D sky130_fd_sc_hd__nand2_2
+XFILLER_349_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72987_ _72987_/A VGND VGND VPWR VPWR _73093_/B sky130_fd_sc_hd__buf_1
+XFILLER_284_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_113_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_228_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77514_ _77515_/CLK _77514_/D VGND VGND VPWR VPWR _61227_/A sky130_fd_sc_hd__dfxtp_4
+X_43906_ _43906_/A VGND VGND VPWR VPWR _43907_/B sky130_fd_sc_hd__inv_8
+XFILLER_627_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62740_ _60396_/X VGND VGND VPWR VPWR _62901_/B sky130_fd_sc_hd__buf_1
+XFILLER_655_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74726_ _74739_/A _74720_/X _80867_/Q VGND VGND VPWR VPWR _74726_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_568_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_384_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47674_ _47661_/X VGND VGND VPWR VPWR _47674_/X sky130_fd_sc_hd__buf_1
+XFILLER_172_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71938_ _70972_/D _70973_/A VGND VGND VPWR VPWR _71940_/B sky130_fd_sc_hd__nor2_2
+X_78494_ _78494_/CLK _48824_/Y VGND VGND VPWR VPWR _48822_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_267_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44886_ _44663_/A _44882_/Y _44517_/B _44885_/X VGND VGND VPWR VPWR _44887_/B sky130_fd_sc_hd__o22a_4
+XFILLER_703_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_564_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_549_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_387_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_281_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49413_ _49341_/X _49419_/B VGND VGND VPWR VPWR _49413_/X sky130_fd_sc_hd__or2_2
+XFILLER_219_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_345_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46625_ _46623_/Y _46624_/X VGND VGND VPWR VPWR _46625_/X sky130_fd_sc_hd__or2_2
+XFILLER_111_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77445_ _77438_/CLK _52818_/Y VGND VGND VPWR VPWR _77445_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_39_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43837_ _43855_/A _43831_/Y _43836_/Y VGND VGND VPWR VPWR _43837_/Y sky130_fd_sc_hd__nor3_2
+X_62671_ _62986_/A _62671_/B _62670_/Y VGND VGND VPWR VPWR _62682_/B sky130_fd_sc_hd__nor3_2
+XFILLER_643_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74657_ _74670_/A _74660_/B _74657_/C VGND VGND VPWR VPWR _74657_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_679_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_37_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71869_ _76796_/Q VGND VGND VPWR VPWR _71870_/A sky130_fd_sc_hd__inv_8
+XFILLER_627_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_3005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_263_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64410_ _69149_/B VGND VGND VPWR VPWR _64410_/X sky130_fd_sc_hd__buf_1
+XPHY_29861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_365_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73608_ _73608_/A _73607_/Y VGND VGND VPWR VPWR _81140_/D sky130_fd_sc_hd__nand2_2
+X_61622_ _61782_/A _61620_/Y _61621_/Y VGND VGND VPWR VPWR _61622_/Y sky130_fd_sc_hd__nor3_2
+X_49344_ _49344_/A _49344_/B VGND VGND VPWR VPWR _49344_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_447_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46556_ _46554_/X _46556_/B VGND VGND VPWR VPWR _46556_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_94_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65390_ _80725_/Q _65390_/B VGND VGND VPWR VPWR _65391_/C sky130_fd_sc_hd__nor2_2
+XFILLER_98_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77376_ _77112_/CLK _77376_/D VGND VGND VPWR VPWR _61000_/A sky130_fd_sc_hd__dfxtp_4
+X_43768_ _43767_/X VGND VGND VPWR VPWR _43797_/A sky130_fd_sc_hd__inv_8
+XFILLER_343_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74588_ _74588_/A _74587_/Y VGND VGND VPWR VPWR _80900_/D sky130_fd_sc_hd__nand2_2
+XFILLER_18_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_245_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79115_ _79268_/CLK _45639_/Y VGND VGND VPWR VPWR _42764_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_72_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45507_ _45506_/X _45340_/B VGND VGND VPWR VPWR _45509_/B sky130_fd_sc_hd__nor2_2
+XFILLER_577_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64341_ _64341_/A _64341_/B _64341_/C VGND VGND VPWR VPWR _64342_/C sky130_fd_sc_hd__nor3_2
+X_76327_ _76327_/A _76312_/B VGND VGND VPWR VPWR _76329_/A sky130_fd_sc_hd__or2_2
+XPHY_19393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42719_ _65871_/A _42634_/B VGND VGND VPWR VPWR _42721_/B sky130_fd_sc_hd__nor2_2
+XFILLER_248_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_59_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61553_ _61553_/A _61553_/B VGND VGND VPWR VPWR _61554_/C sky130_fd_sc_hd__nor2_2
+X_49275_ _49246_/A _49265_/X VGND VGND VPWR VPWR _49276_/B sky130_fd_sc_hd__or2_2
+XFILLER_612_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73539_ _73539_/A _73531_/B _68388_/A VGND VGND VPWR VPWR _73540_/B sky130_fd_sc_hd__nand3_2
+XFILLER_460_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46487_ _46472_/Y _46486_/X VGND VGND VPWR VPWR _46487_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_244_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_170 _72051_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_181_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43699_ _43880_/A VGND VGND VPWR VPWR _43699_/Y sky130_fd_sc_hd__inv_8
+XPHY_18670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_198_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_695_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_578_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_181 _74746_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_37_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_192 _75947_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_33_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60504_ _60185_/A _60504_/B _60503_/Y VGND VGND VPWR VPWR _60504_/Y sky130_fd_sc_hd__nor3_2
+X_48226_ _48284_/A _48200_/X VGND VGND VPWR VPWR _48226_/X sky130_fd_sc_hd__or2_2
+XFILLER_324_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67060_ _66727_/A _67060_/B _67060_/C VGND VGND VPWR VPWR _67070_/B sky130_fd_sc_hd__nor3_2
+XPHY_18692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79046_ _79074_/CLK _79046_/D VGND VGND VPWR VPWR _46002_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_568_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45438_ _45409_/X _45438_/B _45437_/Y VGND VGND VPWR VPWR _45438_/Y sky130_fd_sc_hd__nor3_2
+X_76258_ _38259_/A _76272_/B VGND VGND VPWR VPWR _76260_/A sky130_fd_sc_hd__or2_2
+X_64272_ _64272_/A VGND VGND VPWR VPWR _64623_/B sky130_fd_sc_hd__buf_1
+XFILLER_476_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61484_ _61484_/A _61484_/B _61483_/Y VGND VGND VPWR VPWR _61484_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_18_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_187_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_398_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_163_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_419_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_656_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66011_ _66011_/A _65843_/X VGND VGND VPWR VPWR _66011_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75209_ _75203_/X _75209_/B VGND VGND VPWR VPWR _75209_/Y sky130_fd_sc_hd__nand2_2
+X_63223_ _60091_/A VGND VGND VPWR VPWR _63223_/X sky130_fd_sc_hd__buf_1
+XFILLER_14_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_267_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48157_ _48157_/A _48157_/B VGND VGND VPWR VPWR _48157_/Y sky130_fd_sc_hd__nand2_2
+X_60435_ _63177_/A VGND VGND VPWR VPWR _60436_/B sky130_fd_sc_hd__buf_1
+XFILLER_493_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45369_ _45368_/X VGND VGND VPWR VPWR _45370_/A sky130_fd_sc_hd__buf_1
+XPHY_35370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76189_ _76176_/A _76171_/B _76189_/C VGND VGND VPWR VPWR _76189_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_187_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_296_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_347_173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47108_ _46412_/B _47108_/B VGND VGND VPWR VPWR _47109_/B sky130_fd_sc_hd__or2_2
+XFILLER_713_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_525_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_698_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63154_ _63154_/A _63154_/B _63154_/C VGND VGND VPWR VPWR _63155_/C sky130_fd_sc_hd__nor3_2
+XFILLER_458_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60366_ _77156_/Q _60044_/X VGND VGND VPWR VPWR _60367_/C sky130_fd_sc_hd__nor2_2
+XFILLER_534_3073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48088_ _48086_/Y _48087_/X VGND VGND VPWR VPWR _48088_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_147_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_198_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_204_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_439_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_278_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_651_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_391_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62105_ _59743_/A VGND VGND VPWR VPWR _62105_/X sky130_fd_sc_hd__buf_1
+XFILLER_323_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39930_ _39985_/A VGND VGND VPWR VPWR _39945_/B sky130_fd_sc_hd__buf_1
+XFILLER_679_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47039_ _47048_/A _47039_/B VGND VGND VPWR VPWR _47044_/A sky130_fd_sc_hd__or2_2
+XFILLER_701_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67962_ _67962_/A _68279_/B VGND VGND VPWR VPWR _67962_/Y sky130_fd_sc_hd__nor2_2
+X_79948_ _79947_/CLK _79948_/D VGND VGND VPWR VPWR _79948_/Q sky130_fd_sc_hd__dfxtp_4
+X_63085_ _76759_/Q _63401_/B VGND VGND VPWR VPWR _63086_/C sky130_fd_sc_hd__nor2_2
+XFILLER_137_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_505_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60297_ _60135_/A _60297_/B _60297_/C VGND VGND VPWR VPWR _60298_/C sky130_fd_sc_hd__nor3_2
+XFILLER_274_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_514_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_157_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69701_ _69704_/A _69724_/B VGND VGND VPWR VPWR _69701_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_362_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50050_ _49994_/A _50044_/B VGND VGND VPWR VPWR _50051_/B sky130_fd_sc_hd__or2_2
+X_66913_ _66913_/A _66912_/Y VGND VGND VPWR VPWR _66914_/B sky130_fd_sc_hd__nor2_2
+X_62036_ _50537_/A _61556_/X VGND VGND VPWR VPWR _62037_/C sky130_fd_sc_hd__nor2_2
+XFILLER_694_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39861_ _39363_/A VGND VGND VPWR VPWR _40240_/A sky130_fd_sc_hd__buf_1
+XFILLER_217_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67893_ _67393_/A _67889_/Y _67893_/C VGND VGND VPWR VPWR _67894_/C sky130_fd_sc_hd__nor3_2
+XFILLER_432_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79879_ _80010_/CLK _40407_/Y VGND VGND VPWR VPWR _68481_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_337_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_174_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_289_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_114_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38812_ _38554_/A _38812_/B VGND VGND VPWR VPWR _38812_/X sky130_fd_sc_hd__or2_2
+XFILLER_430_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69632_ _69632_/A _69664_/A _69632_/C VGND VGND VPWR VPWR _64087_/A sky130_fd_sc_hd__or3_2
+XFILLER_170_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66844_ _67181_/A _66842_/Y _66844_/C VGND VGND VPWR VPWR _66845_/C sky130_fd_sc_hd__nor3_2
+XFILLER_350_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39792_ _39815_/A VGND VGND VPWR VPWR _39792_/X sky130_fd_sc_hd__buf_1
+XFILLER_589_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_331_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_9_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_269_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38743_ _38763_/A VGND VGND VPWR VPWR _38850_/B sky130_fd_sc_hd__buf_1
+XFILLER_610_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_9_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69563_ _69563_/A _72989_/A VGND VGND VPWR VPWR _76718_/D sky130_fd_sc_hd__and2_2
+XFILLER_580_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66775_ _66945_/A _66764_/Y _66775_/C VGND VGND VPWR VPWR _66775_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_229_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_667_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63987_ _59327_/A _63987_/B _63987_/C VGND VGND VPWR VPWR _63988_/C sky130_fd_sc_hd__nor3_2
+XFILLER_116_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_464_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_681_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68514_ _64108_/X VGND VGND VPWR VPWR _68514_/X sky130_fd_sc_hd__buf_1
+XFILLER_68_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53740_ _53740_/A _53740_/B VGND VGND VPWR VPWR _53740_/Y sky130_fd_sc_hd__nand2_2
+X_65726_ _65726_/A _66393_/B VGND VGND VPWR VPWR _65726_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_383_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_28_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38674_ _38670_/A _38670_/B _80321_/Q VGND VGND VPWR VPWR _38674_/Y sky130_fd_sc_hd__nand3_2
+X_50952_ _62162_/A _50949_/B VGND VGND VPWR VPWR _50952_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_300_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62938_ _62920_/X _62927_/Y _62938_/C VGND VGND VPWR VPWR _62938_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_487_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_366_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69494_ _79598_/Q _64623_/B VGND VGND VPWR VPWR _69496_/B sky130_fd_sc_hd__nor2_2
+XPHY_5908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_96_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_348_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_417_155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_580_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80723_ _80742_/CLK _80723_/D VGND VGND VPWR VPWR _80723_/Q sky130_fd_sc_hd__dfxtp_4
+X_68445_ _65135_/A VGND VGND VPWR VPWR _68945_/B sky130_fd_sc_hd__buf_1
+XFILLER_485_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53671_ _53671_/A _53670_/X VGND VGND VPWR VPWR _53671_/Y sky130_fd_sc_hd__nand2_2
+X_65657_ _65657_/A _65657_/B _65657_/C VGND VGND VPWR VPWR _65658_/C sky130_fd_sc_hd__nor3_2
+XFILLER_465_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50883_ _50906_/B VGND VGND VPWR VPWR _50899_/B sky130_fd_sc_hd__buf_1
+XFILLER_77_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62869_ _62869_/A _62397_/B VGND VGND VPWR VPWR _62871_/B sky130_fd_sc_hd__nor2_2
+XFILLER_606_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_37_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_266_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55410_ _55409_/X _45319_/B VGND VGND VPWR VPWR _55410_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_460_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52622_ _77497_/Q _52607_/X VGND VGND VPWR VPWR _52622_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_578_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64608_ _69552_/A _64586_/Y _64608_/C VGND VGND VPWR VPWR _64608_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_541_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56390_ _56344_/A VGND VGND VPWR VPWR _58517_/A sky130_fd_sc_hd__buf_1
+XFILLER_24_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68376_ _68376_/A _68052_/B VGND VGND VPWR VPWR _68376_/Y sky130_fd_sc_hd__nor2_2
+X_80654_ _80685_/CLK _80654_/D VGND VGND VPWR VPWR _69426_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_271_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65588_ _65911_/A _65586_/Y _65588_/C VGND VGND VPWR VPWR _65589_/C sky130_fd_sc_hd__nor3_2
+XFILLER_576_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_246_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_541_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_506_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55341_ _55341_/A VGND VGND VPWR VPWR _55403_/A sky130_fd_sc_hd__buf_1
+XFILLER_224_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_481_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67327_ _57717_/A _67327_/B VGND VGND VPWR VPWR _67327_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_77_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_252_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52553_ _52553_/A _52574_/B VGND VGND VPWR VPWR _52553_/X sky130_fd_sc_hd__or2_2
+XPHY_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_604_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64539_ _64539_/A _69019_/B VGND VGND VPWR VPWR _64540_/C sky130_fd_sc_hd__nor2_2
+XPHY_26509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_263_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_90_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80585_ _80584_/CLK _75854_/Y VGND VGND VPWR VPWR _68702_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_181_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_298_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_458_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_52_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_358_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51504_ _51504_/A _51504_/B VGND VGND VPWR VPWR _51504_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_244_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_656_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39226_ _39226_/A _39212_/X VGND VGND VPWR VPWR _39226_/X sky130_fd_sc_hd__or2_2
+XPHY_16019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58060_ _68011_/A _57810_/B VGND VGND VPWR VPWR _58061_/C sky130_fd_sc_hd__nor2_2
+XFILLER_40_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55272_ _55494_/A _55486_/B VGND VGND VPWR VPWR _55273_/B sky130_fd_sc_hd__nand2_2
+XFILLER_244_1561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67258_ _67915_/A _67258_/B _67257_/Y VGND VGND VPWR VPWR _67276_/A sky130_fd_sc_hd__nor3_2
+XFILLER_107_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_55_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_307_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_61_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52484_ _52484_/A _52483_/X VGND VGND VPWR VPWR _52484_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_498_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_142_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57011_ _39072_/C _57261_/B VGND VGND VPWR VPWR _57013_/B sky130_fd_sc_hd__nor2_2
+XFILLER_719_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54223_ _54232_/A VGND VGND VPWR VPWR _54243_/B sky130_fd_sc_hd__buf_1
+XFILLER_120_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66209_ _44366_/A _66209_/B VGND VGND VPWR VPWR _66212_/A sky130_fd_sc_hd__nor2_2
+XFILLER_322_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_177_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39157_ _38862_/X VGND VGND VPWR VPWR _39181_/A sky130_fd_sc_hd__buf_1
+X_51435_ _60569_/A _51435_/B VGND VGND VPWR VPWR _51439_/A sky130_fd_sc_hd__nand2_2
+XFILLER_359_3083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67189_ _66853_/A _67189_/B _67189_/C VGND VGND VPWR VPWR _67190_/C sky130_fd_sc_hd__nor3_2
+XFILLER_32_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_367_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_175_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_716_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81206_ _81206_/CLK _81206_/D VGND VGND VPWR VPWR _65616_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_354_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54154_ _54152_/Y _54154_/B VGND VGND VPWR VPWR _77095_/D sky130_fd_sc_hd__nand2_2
+XFILLER_374_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_101_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_193_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_637_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39088_ _39071_/A VGND VGND VPWR VPWR _39089_/A sky130_fd_sc_hd__buf_1
+XFILLER_394_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51366_ _51524_/A VGND VGND VPWR VPWR _53052_/B sky130_fd_sc_hd__buf_1
+XFILLER_222_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_463_0_u_core.clock clkbuf_9_463_0_u_core.clock/A VGND VGND VPWR VPWR _80685_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_353_121 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53105_ _60481_/A _53105_/B VGND VGND VPWR VPWR _53105_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_419_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_1512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_359_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50317_ _62668_/A _50312_/X VGND VGND VPWR VPWR _50319_/A sky130_fd_sc_hd__nand2_2
+X_81137_ _81081_/CLK _81137_/D VGND VGND VPWR VPWR _81137_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_457_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54085_ _59720_/A _54080_/B VGND VGND VPWR VPWR _54089_/A sky130_fd_sc_hd__nand2_2
+XFILLER_381_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58962_ _58962_/A VGND VGND VPWR VPWR _59115_/A sky130_fd_sc_hd__buf_1
+XFILLER_101_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51297_ _51297_/A _51297_/B VGND VGND VPWR VPWR _77849_/D sky130_fd_sc_hd__nand2_2
+XFILLER_333_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_152_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_450_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41050_ _41048_/X _41050_/B VGND VGND VPWR VPWR _79717_/D sky130_fd_sc_hd__nand2_2
+XFILLER_513_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57913_ _38911_/C _57912_/X VGND VGND VPWR VPWR _57914_/C sky130_fd_sc_hd__nor2_2
+XFILLER_453_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53036_ _53036_/A _53036_/B VGND VGND VPWR VPWR _77386_/D sky130_fd_sc_hd__nand2_2
+XFILLER_171_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50248_ _49494_/A VGND VGND VPWR VPWR _50852_/A sky130_fd_sc_hd__buf_1
+XFILLER_496_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_257_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81068_ _81162_/CLK _73882_/Y VGND VGND VPWR VPWR _69183_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_697_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58893_ _47489_/A VGND VGND VPWR VPWR _59096_/A sky130_fd_sc_hd__buf_1
+XFILLER_489_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_47_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_669_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_27_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40001_ _40001_/A _39997_/B VGND VGND VPWR VPWR _40001_/X sky130_fd_sc_hd__or2_2
+XFILLER_118_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_0_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72910_ _73811_/A _72910_/B VGND VGND VPWR VPWR _72912_/A sky130_fd_sc_hd__or2_2
+XPHY_8512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80019_ _80147_/CLK _80019_/D VGND VGND VPWR VPWR _39868_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_20115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57844_ _56244_/X VGND VGND VPWR VPWR _58164_/B sky130_fd_sc_hd__buf_1
+XPHY_8523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50179_ _61770_/A _50173_/B VGND VGND VPWR VPWR _50181_/A sky130_fd_sc_hd__nand2_2
+XFILLER_161_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73890_ _73888_/X _73890_/B VGND VGND VPWR VPWR _81066_/D sky130_fd_sc_hd__nand2_2
+XPHY_8534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_251_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_368_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_667_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_656_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_587_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72841_ _74001_/A VGND VGND VPWR VPWR _73638_/A sky130_fd_sc_hd__buf_1
+XPHY_8567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57775_ _40939_/C _57617_/X VGND VGND VPWR VPWR _57777_/B sky130_fd_sc_hd__nor2_2
+XFILLER_583_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54987_ _54987_/A _54986_/X VGND VGND VPWR VPWR _54987_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_311_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_216_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_114_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1527 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_248_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_483_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59514_ _77079_/Q _59677_/B VGND VGND VPWR VPWR _59516_/B sky130_fd_sc_hd__nor2_2
+XFILLER_47_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_43_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44740_ _44696_/B _44740_/B _44740_/C VGND VGND VPWR VPWR _44741_/C sky130_fd_sc_hd__nor3_2
+XFILLER_25_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56726_ _56389_/A VGND VGND VPWR VPWR _56729_/A sky130_fd_sc_hd__buf_1
+XPHY_7866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75560_ _75560_/A _75554_/B _65216_/A VGND VGND VPWR VPWR _75560_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_464_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53938_ _53938_/A _53934_/B VGND VGND VPWR VPWR _53939_/B sky130_fd_sc_hd__or2_2
+X_41952_ _79048_/Q VGND VGND VPWR VPWR _41952_/Y sky130_fd_sc_hd__inv_8
+XPHY_39614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72772_ _72251_/A _72772_/B VGND VGND VPWR VPWR _72772_/X sky130_fd_sc_hd__or2_2
+XPHY_7877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_346_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_374_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_268_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74511_ _74508_/X _74511_/B VGND VGND VPWR VPWR _74511_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_451_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_130_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40903_ _40394_/A _40900_/B VGND VGND VPWR VPWR _40907_/A sky130_fd_sc_hd__or2_2
+XFILLER_264_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71723_ _71321_/B VGND VGND VPWR VPWR _71724_/B sky130_fd_sc_hd__buf_1
+XFILLER_444_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59445_ _77302_/Q _59604_/B VGND VGND VPWR VPWR _59445_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_366_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44671_ _44835_/A _44837_/C VGND VGND VPWR VPWR _44797_/B sky130_fd_sc_hd__nand2_2
+XFILLER_235_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56657_ _56649_/Y _56656_/Y VGND VGND VPWR VPWR _56657_/Y sky130_fd_sc_hd__nor2_2
+X_75491_ _75517_/A VGND VGND VPWR VPWR _75501_/B sky130_fd_sc_hd__buf_1
+XFILLER_436_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41883_ _41883_/A VGND VGND VPWR VPWR _41883_/X sky130_fd_sc_hd__buf_1
+XFILLER_147_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53869_ _53841_/A _53866_/B VGND VGND VPWR VPWR _53870_/B sky130_fd_sc_hd__or2_2
+XFILLER_327_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46410_ _45130_/A _46410_/B VGND VGND VPWR VPWR _46434_/A sky130_fd_sc_hd__nor2_2
+XPHY_38946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77230_ _77230_/CLK _53645_/Y VGND VGND VPWR VPWR _59382_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43622_ _43548_/A VGND VGND VPWR VPWR _43622_/X sky130_fd_sc_hd__buf_1
+XFILLER_186_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55608_ _55605_/Y _55608_/B _55608_/C VGND VGND VPWR VPWR _55608_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_622_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74442_ _74195_/A _74425_/B VGND VGND VPWR VPWR _74445_/A sky130_fd_sc_hd__or2_2
+XFILLER_340_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40834_ _40832_/X _40834_/B VGND VGND VPWR VPWR _79771_/D sky130_fd_sc_hd__nand2_2
+XFILLER_720_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47390_ _47415_/B VGND VGND VPWR VPWR _47390_/X sky130_fd_sc_hd__buf_1
+XFILLER_640_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59376_ _59376_/A _59374_/Y _59376_/C VGND VGND VPWR VPWR _59384_/B sky130_fd_sc_hd__nor3_2
+XPHY_29179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71654_ _71653_/A _71652_/X VGND VGND VPWR VPWR _71654_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_186_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_641_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56588_ _56433_/A _56586_/Y _56587_/Y VGND VGND VPWR VPWR _56588_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_223_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_700_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46341_ _46333_/X _46340_/Y VGND VGND VPWR VPWR _46345_/A sky130_fd_sc_hd__or2_2
+X_58327_ _58568_/A _58327_/B _58327_/C VGND VGND VPWR VPWR _58328_/C sky130_fd_sc_hd__nor3_2
+X_70605_ _76653_/Q _70558_/B VGND VGND VPWR VPWR _70607_/A sky130_fd_sc_hd__nand2_2
+XFILLER_696_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77161_ _77139_/CLK _53899_/Y VGND VGND VPWR VPWR _77161_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_27733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_407_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55539_ _45134_/Y _55522_/X VGND VGND VPWR VPWR _55539_/Y sky130_fd_sc_hd__nor2_2
+X_43553_ _42489_/B _43560_/B VGND VGND VPWR VPWR _43553_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_167_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74373_ _74253_/A _74381_/B VGND VGND VPWR VPWR _74377_/A sky130_fd_sc_hd__or2_2
+XFILLER_422_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_621_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40765_ _40765_/A _40765_/B VGND VGND VPWR VPWR _40765_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_696_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71585_ _70931_/Y _71584_/X VGND VGND VPWR VPWR _71585_/X sky130_fd_sc_hd__or2_2
+XPHY_17221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_2657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76112_ _76136_/A VGND VGND VPWR VPWR _76132_/B sky130_fd_sc_hd__buf_1
+XFILLER_557_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42504_ _42562_/A VGND VGND VPWR VPWR _42561_/A sky130_fd_sc_hd__buf_1
+X_73324_ _73361_/A VGND VGND VPWR VPWR _73324_/X sky130_fd_sc_hd__buf_1
+X_49060_ _49060_/A _49053_/X VGND VGND VPWR VPWR _49060_/X sky130_fd_sc_hd__or2_2
+XFILLER_670_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_577_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46272_ _41775_/Y _41981_/A VGND VGND VPWR VPWR _46273_/B sky130_fd_sc_hd__nor2_2
+XFILLER_187_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58258_ _58015_/X _58258_/B _58258_/C VGND VGND VPWR VPWR _58259_/C sky130_fd_sc_hd__nor3_2
+XFILLER_70_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70536_ _79516_/Q VGND VGND VPWR VPWR _70536_/Y sky130_fd_sc_hd__inv_8
+X_77092_ _77379_/CLK _77092_/D VGND VGND VPWR VPWR _77092_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43484_ _43484_/A VGND VGND VPWR VPWR _55480_/A sky130_fd_sc_hd__buf_1
+XFILLER_297_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40696_ _40693_/A _40685_/B _67325_/A VGND VGND VPWR VPWR _40696_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_223_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_340_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_204_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48011_ _48011_/A _48010_/X VGND VGND VPWR VPWR _48011_/Y sky130_fd_sc_hd__nand2_2
+XPHY_2162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45223_ _45223_/A _45222_/Y VGND VGND VPWR VPWR _45224_/B sky130_fd_sc_hd__nor2_2
+XFILLER_243_1071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57209_ _57051_/X _57209_/B _57209_/C VGND VGND VPWR VPWR _57210_/C sky130_fd_sc_hd__nor3_2
+X_76043_ _75552_/A _76036_/B VGND VGND VPWR VPWR _76043_/X sky130_fd_sc_hd__or2_2
+XFILLER_594_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42435_ _42434_/X VGND VGND VPWR VPWR _42811_/A sky130_fd_sc_hd__buf_1
+XFILLER_592_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73255_ _73253_/Y _73254_/X VGND VGND VPWR VPWR _73255_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_376_279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58189_ _57790_/A VGND VGND VPWR VPWR _58516_/A sky130_fd_sc_hd__buf_1
+X_70467_ _69960_/X _70467_/B _70364_/Y VGND VGND VPWR VPWR _70468_/B sky130_fd_sc_hd__or3_2
+XPHY_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_3025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_128_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_690_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60220_ _60536_/A _60220_/B VGND VGND VPWR VPWR _60259_/B sky130_fd_sc_hd__nor2_2
+XFILLER_50_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72206_ _72206_/A _72205_/X VGND VGND VPWR VPWR _72206_/X sky130_fd_sc_hd__or2_2
+XFILLER_338_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45154_ _44980_/A VGND VGND VPWR VPWR _45154_/X sky130_fd_sc_hd__buf_1
+XFILLER_652_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42366_ _79488_/Q VGND VGND VPWR VPWR _42389_/B sky130_fd_sc_hd__inv_8
+XFILLER_580_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73186_ _74069_/A VGND VGND VPWR VPWR _75003_/A sky130_fd_sc_hd__buf_1
+XPHY_1494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70398_ _70389_/Y VGND VGND VPWR VPWR _70398_/Y sky130_fd_sc_hd__inv_8
+XFILLER_506_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44105_ _43826_/X _44103_/C VGND VGND VPWR VPWR _44105_/Y sky130_fd_sc_hd__nor2_2
+XPHY_15896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79802_ _79775_/CLK _79802_/D VGND VGND VPWR VPWR _57245_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_472_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_414_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41317_ _41056_/X _41307_/B VGND VGND VPWR VPWR _41317_/X sky130_fd_sc_hd__or2_2
+XFILLER_635_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60151_ _60151_/A _59975_/B VGND VGND VPWR VPWR _60151_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_291_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_295_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72137_ _70085_/X _72137_/B _72137_/C VGND VGND VPWR VPWR _72137_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_570_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49962_ _49813_/A VGND VGND VPWR VPWR _49994_/A sky130_fd_sc_hd__buf_1
+XFILLER_475_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45085_ _45085_/A _45448_/B VGND VGND VPWR VPWR _45097_/A sky130_fd_sc_hd__nor2_2
+XFILLER_651_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42297_ _42297_/A VGND VGND VPWR VPWR _42298_/B sky130_fd_sc_hd__inv_8
+XFILLER_183_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77994_ _78002_/CLK _50743_/Y VGND VGND VPWR VPWR _62496_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_372_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_679_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_570_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_518_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_516_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44036_ _64860_/A _44036_/B VGND VGND VPWR VPWR _44039_/C sky130_fd_sc_hd__or2_2
+X_48913_ _48911_/Y _48912_/X VGND VGND VPWR VPWR _48913_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_676_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79733_ _79794_/CLK _79733_/D VGND VGND VPWR VPWR _65479_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_722_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41248_ _41244_/X _41248_/B VGND VGND VPWR VPWR _41248_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_459_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60082_ _59440_/A _60082_/B _60081_/Y VGND VGND VPWR VPWR _60082_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_334_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76945_ _76975_/CLK _54717_/Y VGND VGND VPWR VPWR _59788_/A sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_6_12_0_u_core.clock ANTENNA_557/DIODE VGND VGND VPWR VPWR clkbuf_7_25_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_72068_ _72067_/X VGND VGND VPWR VPWR _72068_/Y sky130_fd_sc_hd__inv_8
+XPHY_22051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49893_ _78218_/Q _49885_/X VGND VGND VPWR VPWR _49893_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_152_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_313_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_317_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_629_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63910_ _59202_/A _63910_/B _63909_/Y VGND VGND VPWR VPWR _63910_/Y sky130_fd_sc_hd__nor3_2
+XPHY_22084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71019_ _70252_/A _71284_/A VGND VGND VPWR VPWR _71019_/X sky130_fd_sc_hd__or2_2
+XFILLER_135_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_174_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48844_ _63546_/A _48844_/B VGND VGND VPWR VPWR _48846_/A sky130_fd_sc_hd__nand2_2
+XFILLER_664_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79664_ _79694_/CLK _41268_/Y VGND VGND VPWR VPWR _41267_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_298_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41179_ _41175_/X _41178_/Y VGND VGND VPWR VPWR _79689_/D sky130_fd_sc_hd__nand2_2
+XFILLER_136_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64890_ _64890_/A _65246_/B VGND VGND VPWR VPWR _64890_/Y sky130_fd_sc_hd__nor2_2
+XPHY_31884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76876_ _76876_/CLK _54968_/Y VGND VGND VPWR VPWR _76876_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_301_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_43_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78615_ _78619_/CLK _78615_/D VGND VGND VPWR VPWR _48355_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_9791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_111_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63841_ _59516_/A _63839_/Y _63840_/Y VGND VGND VPWR VPWR _63842_/C sky130_fd_sc_hd__nor3_2
+X_75827_ _75827_/A _75827_/B VGND VGND VPWR VPWR _75841_/A sky130_fd_sc_hd__or2_2
+XFILLER_300_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_80_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_586_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48775_ _48931_/A VGND VGND VPWR VPWR _48842_/A sky130_fd_sc_hd__buf_1
+XPHY_20660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79595_ _79599_/CLK _41531_/Y VGND VGND VPWR VPWR _79595_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_212_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45987_ _45984_/X _45987_/B _45987_/C VGND VGND VPWR VPWR _79051_/D sky130_fd_sc_hd__nor3_2
+XFILLER_566_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_239_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_616_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_550_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_484_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47726_ _47756_/A _47701_/A VGND VGND VPWR VPWR _47726_/X sky130_fd_sc_hd__or2_2
+X_66560_ _80508_/Q _66725_/B VGND VGND VPWR VPWR _66561_/C sky130_fd_sc_hd__nor2_2
+XFILLER_289_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78546_ _78517_/CLK _48624_/Y VGND VGND VPWR VPWR _63715_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_583_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63772_ _59143_/A _63772_/B _63771_/Y VGND VGND VPWR VPWR _63776_/B sky130_fd_sc_hd__nor3_2
+X_44938_ _44938_/A VGND VGND VPWR VPWR _44938_/X sky130_fd_sc_hd__buf_1
+XFILLER_627_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75758_ _75794_/A VGND VGND VPWR VPWR _75772_/B sky130_fd_sc_hd__buf_1
+XFILLER_294_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60984_ _60516_/A _60984_/B _60984_/C VGND VGND VPWR VPWR _60985_/C sky130_fd_sc_hd__nor3_2
+XFILLER_722_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_18_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_61_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_560_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_289_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_427_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_408_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_644_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65511_ _39857_/C _65673_/B VGND VGND VPWR VPWR _65512_/C sky130_fd_sc_hd__nor2_2
+XFILLER_604_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74709_ _74709_/A VGND VGND VPWR VPWR _74711_/A sky130_fd_sc_hd__buf_1
+X_62723_ _78275_/Q _63038_/B VGND VGND VPWR VPWR _62723_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_130_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_705_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_447_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47657_ _47657_/A _47656_/X VGND VGND VPWR VPWR _47657_/Y sky130_fd_sc_hd__nand2_2
+X_66491_ _66329_/A _66486_/Y _66491_/C VGND VGND VPWR VPWR _66491_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_130_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78477_ _78477_/CLK _48887_/Y VGND VGND VPWR VPWR _62924_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_282_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44869_ _44657_/A _44883_/B _44867_/B VGND VGND VPWR VPWR _44869_/X sky130_fd_sc_hd__or3_2
+XFILLER_408_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75689_ _76050_/A _75678_/B VGND VGND VPWR VPWR _75691_/A sky130_fd_sc_hd__or2_2
+XFILLER_442_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_93_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_280_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_187_1559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68230_ _68230_/A VGND VGND VPWR VPWR _68905_/A sky130_fd_sc_hd__buf_1
+XFILLER_345_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46608_ _46563_/A _46606_/X _46608_/C VGND VGND VPWR VPWR _46608_/X sky130_fd_sc_hd__or3_2
+XFILLER_542_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65442_ _65442_/A VGND VGND VPWR VPWR _65445_/A sky130_fd_sc_hd__buf_1
+XFILLER_53_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_408_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_380_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77428_ _76779_/CLK _52881_/Y VGND VGND VPWR VPWR _77428_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_620_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38390_ _38387_/X _38389_/Y VGND VGND VPWR VPWR _80388_/D sky130_fd_sc_hd__nand2_2
+X_62654_ _59502_/X VGND VGND VPWR VPWR _62974_/A sky130_fd_sc_hd__buf_1
+XFILLER_697_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_679_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_202_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47588_ _63514_/A _47573_/X VGND VGND VPWR VPWR _47590_/A sky130_fd_sc_hd__nand2_2
+XFILLER_327_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_95_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_605_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_423_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_241_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61605_ _60824_/A VGND VGND VPWR VPWR _62081_/B sky130_fd_sc_hd__buf_1
+XFILLER_91_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49327_ _49355_/B _49434_/A VGND VGND VPWR VPWR _49329_/A sky130_fd_sc_hd__or2_2
+XFILLER_597_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68161_ _67667_/A _68161_/B _68161_/C VGND VGND VPWR VPWR _68162_/C sky130_fd_sc_hd__nor3_2
+XFILLER_182_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_700_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46539_ _46537_/X _46539_/B VGND VGND VPWR VPWR _79006_/D sky130_fd_sc_hd__nand2_2
+X_65373_ _65373_/A _65373_/B VGND VGND VPWR VPWR _65374_/B sky130_fd_sc_hd__nor2_2
+X_77359_ _77028_/CLK _53129_/Y VGND VGND VPWR VPWR _77359_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_718_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62585_ _63049_/A _62582_/Y _62584_/Y VGND VGND VPWR VPWR _62585_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_542_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67112_ _66945_/A _67101_/Y _67112_/C VGND VGND VPWR VPWR _67112_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_62_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_503_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_441_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64324_ _64324_/A _69369_/B VGND VGND VPWR VPWR _64324_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_556_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61536_ _60919_/A _61536_/B _61535_/Y VGND VGND VPWR VPWR _61540_/B sky130_fd_sc_hd__nor3_2
+X_49258_ _49255_/X VGND VGND VPWR VPWR _49259_/B sky130_fd_sc_hd__buf_1
+XFILLER_146_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80370_ _80370_/CLK _80370_/D VGND VGND VPWR VPWR _64890_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_403_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68092_ _81413_/Q _68092_/B VGND VGND VPWR VPWR _68094_/B sky130_fd_sc_hd__nor2_2
+XFILLER_163_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_241_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_421_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_575_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39011_ _38503_/A _38995_/X VGND VGND VPWR VPWR _39011_/X sky130_fd_sc_hd__or2_2
+XFILLER_499_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48209_ _63754_/A _48201_/X VGND VGND VPWR VPWR _48212_/A sky130_fd_sc_hd__nand2_2
+X_67043_ _67043_/A _67043_/B _67042_/Y VGND VGND VPWR VPWR _67052_/B sky130_fd_sc_hd__nor3_2
+XFILLER_222_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79029_ _78899_/CLK _79029_/D VGND VGND VPWR VPWR _43135_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_15_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64255_ _64208_/A VGND VGND VPWR VPWR _64255_/X sky130_fd_sc_hd__buf_1
+XFILLER_473_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_194_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_673_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61467_ _61467_/A _62092_/B VGND VGND VPWR VPWR _61470_/B sky130_fd_sc_hd__nor2_2
+XFILLER_206_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_653_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49189_ _49187_/Y _49188_/X VGND VGND VPWR VPWR _78399_/D sky130_fd_sc_hd__nand2_2
+XFILLER_536_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_473_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63206_ _63203_/X _63204_/Y _63206_/C VGND VGND VPWR VPWR _63206_/Y sky130_fd_sc_hd__nor3_2
+X_51220_ _51164_/A _51220_/B VGND VGND VPWR VPWR _51220_/X sky130_fd_sc_hd__or2_2
+XFILLER_335_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_60418_ _58881_/A VGND VGND VPWR VPWR _60616_/A sky130_fd_sc_hd__buf_1
+XFILLER_124_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64186_ _38342_/C _64186_/B VGND VGND VPWR VPWR _64191_/B sky130_fd_sc_hd__nor2_2
+XFILLER_438_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61398_ _59289_/X VGND VGND VPWR VPWR _61551_/A sky130_fd_sc_hd__buf_1
+XFILLER_200_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51151_ _51142_/A VGND VGND VPWR VPWR _51155_/B sky130_fd_sc_hd__buf_1
+XFILLER_85_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_714_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63137_ _63137_/A _62837_/X VGND VGND VPWR VPWR _63137_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_725_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60349_ _61913_/A VGND VGND VPWR VPWR _60352_/A sky130_fd_sc_hd__buf_1
+XFILLER_395_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_31_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68994_ _68497_/X _68992_/Y _68993_/Y VGND VGND VPWR VPWR _68994_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_391_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_334_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_252_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50102_ _49804_/A VGND VGND VPWR VPWR _50104_/A sky130_fd_sc_hd__buf_1
+XFILLER_317_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39913_ _39907_/X _39912_/X _68803_/A VGND VGND VPWR VPWR _39914_/B sky130_fd_sc_hd__nand3_2
+XFILLER_48_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67945_ _64272_/A VGND VGND VPWR VPWR _68602_/B sky130_fd_sc_hd__buf_1
+X_63068_ _63068_/A _63067_/Y VGND VGND VPWR VPWR _63068_/Y sky130_fd_sc_hd__nor2_2
+X_51082_ _61812_/A _51082_/B VGND VGND VPWR VPWR _51084_/A sky130_fd_sc_hd__nand2_2
+XFILLER_137_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_686_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_196_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_569_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50033_ _50033_/A _49977_/B VGND VGND VPWR VPWR _50059_/B sky130_fd_sc_hd__or2_2
+X_54910_ _54908_/A VGND VGND VPWR VPWR _54929_/B sky130_fd_sc_hd__buf_1
+XFILLER_521_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62019_ _61704_/A _62015_/Y _62019_/C VGND VGND VPWR VPWR _62019_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_27_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39844_ _39844_/A _39843_/Y VGND VGND VPWR VPWR _80024_/D sky130_fd_sc_hd__nand2_2
+XFILLER_118_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_694_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55890_ _55890_/A _55890_/B _55890_/C _55890_/D VGND VGND VPWR VPWR _55891_/B sky130_fd_sc_hd__nor4_2
+X_67876_ _67876_/A _67876_/B _67875_/Y VGND VGND VPWR VPWR _67895_/A sky130_fd_sc_hd__nor3_2
+XFILLER_413_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_311_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_369_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_154_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69615_ _69615_/A VGND VGND VPWR VPWR _69625_/A sky130_fd_sc_hd__buf_1
+XFILLER_248_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54841_ _54955_/A _54841_/B VGND VGND VPWR VPWR _54842_/B sky130_fd_sc_hd__or2_2
+XFILLER_311_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_150_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66827_ _66992_/A _66825_/Y _66826_/Y VGND VGND VPWR VPWR _66827_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_628_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39775_ _39773_/X _39774_/Y VGND VGND VPWR VPWR _80039_/D sky130_fd_sc_hd__nand2_2
+XPHY_7129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_723_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_29_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38726_ _38726_/A _38726_/B VGND VGND VPWR VPWR _38726_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_311_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69546_ _38626_/C _68990_/B VGND VGND VPWR VPWR _69547_/C sky130_fd_sc_hd__nor2_2
+X_57560_ _57560_/A _57645_/B VGND VGND VPWR VPWR _57561_/C sky130_fd_sc_hd__nor2_2
+XPHY_6428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_135_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54772_ _54801_/A _54775_/B VGND VGND VPWR VPWR _54772_/X sky130_fd_sc_hd__or2_2
+XFILLER_113_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66758_ _81181_/Q _67259_/B VGND VGND VPWR VPWR _66760_/B sky130_fd_sc_hd__nor2_2
+XFILLER_446_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_645_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51984_ _51927_/A _51993_/B VGND VGND VPWR VPWR _51985_/B sky130_fd_sc_hd__or2_2
+XFILLER_328_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_84_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_645_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56511_ _64824_/A _56510_/X VGND VGND VPWR VPWR _56511_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_582_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_253_0_u_core.clock clkbuf_9_253_0_u_core.clock/A VGND VGND VPWR VPWR _79788_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_229_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53723_ _53667_/A _53729_/B VGND VGND VPWR VPWR _53724_/B sky130_fd_sc_hd__or2_2
+XFILLER_484_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65709_ _65709_/A _65204_/B VGND VGND VPWR VPWR _65709_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38657_ _38657_/A _38656_/Y VGND VGND VPWR VPWR _80326_/D sky130_fd_sc_hd__nand2_2
+XFILLER_528_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50935_ _50933_/Y _50934_/X VGND VGND VPWR VPWR _77941_/D sky130_fd_sc_hd__nand2_2
+XFILLER_2_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57491_ _39048_/C _57410_/B VGND VGND VPWR VPWR _57493_/B sky130_fd_sc_hd__nor2_2
+XFILLER_480_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69477_ _69477_/A _65064_/B VGND VGND VPWR VPWR _69478_/C sky130_fd_sc_hd__nor2_2
+XFILLER_211_1560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66689_ _66857_/A _66689_/B VGND VGND VPWR VPWR _66690_/C sky130_fd_sc_hd__nor2_2
+XFILLER_96_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_367_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_246_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59230_ _59230_/A VGND VGND VPWR VPWR _63758_/A sky130_fd_sc_hd__buf_1
+XFILLER_92_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56442_ _80080_/Q _56596_/B VGND VGND VPWR VPWR _56445_/B sky130_fd_sc_hd__nor2_2
+XFILLER_363_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_309_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80706_ _80736_/CLK _80706_/D VGND VGND VPWR VPWR _67528_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_623_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68428_ _68600_/A _68428_/B _68428_/C VGND VGND VPWR VPWR _68428_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_281_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_265_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_99_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53654_ _53739_/A _53672_/B VGND VGND VPWR VPWR _53654_/X sky130_fd_sc_hd__or2_2
+XFILLER_183_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38588_ _38579_/A _38605_/B _65411_/A VGND VGND VPWR VPWR _38589_/B sky130_fd_sc_hd__nand3_2
+XFILLER_506_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50866_ _50925_/A _50857_/X VGND VGND VPWR VPWR _50867_/B sky130_fd_sc_hd__or2_2
+XFILLER_112_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_285_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52605_ _52603_/Y _52604_/X VGND VGND VPWR VPWR _52605_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_326_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59161_ _60834_/A VGND VGND VPWR VPWR _59403_/B sky130_fd_sc_hd__buf_1
+XFILLER_420_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_404_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80637_ _80637_/CLK _75655_/Y VGND VGND VPWR VPWR _80637_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_478_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56373_ _57951_/A VGND VGND VPWR VPWR _58786_/B sky130_fd_sc_hd__buf_1
+XFILLER_322_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_603_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68359_ _68359_/A _68195_/B VGND VGND VPWR VPWR _68359_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_266_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53585_ _53883_/A VGND VGND VPWR VPWR _53650_/B sky130_fd_sc_hd__buf_1
+XFILLER_168_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_623_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50797_ _50813_/B VGND VGND VPWR VPWR _50807_/B sky130_fd_sc_hd__buf_1
+XFILLER_506_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_188_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_658_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_443_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58112_ _68141_/A _58033_/B VGND VGND VPWR VPWR _58112_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_298_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_601_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55324_ _55352_/A VGND VGND VPWR VPWR _55517_/A sky130_fd_sc_hd__buf_1
+XFILLER_224_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40550_ _40554_/A _40559_/B _68480_/A VGND VGND VPWR VPWR _40551_/B sky130_fd_sc_hd__nand3_2
+XFILLER_169_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_52_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52536_ _52536_/A _52536_/B VGND VGND VPWR VPWR _52536_/Y sky130_fd_sc_hd__nand2_2
+X_59092_ _59372_/A VGND VGND VPWR VPWR _59092_/X sky130_fd_sc_hd__buf_1
+XPHY_26339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71370_ _71254_/X _71360_/Y _71362_/Y _71369_/X VGND VGND VPWR VPWR _42580_/B sky130_fd_sc_hd__o22a_4
+XFILLER_201_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_224_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_369_0_u_core.clock clkbuf_9_369_0_u_core.clock/A VGND VGND VPWR VPWR _78209_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_107_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80568_ _80577_/CLK _75915_/Y VGND VGND VPWR VPWR _65899_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_420_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_90_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_537_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_205_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39209_ _39205_/A _39200_/B _57093_/A VGND VGND VPWR VPWR _39210_/B sky130_fd_sc_hd__nand3_2
+XFILLER_358_268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58043_ _67989_/A _57794_/B VGND VGND VPWR VPWR _58045_/B sky130_fd_sc_hd__nor2_2
+X_70321_ _70321_/A VGND VGND VPWR VPWR _70321_/X sky130_fd_sc_hd__buf_1
+XFILLER_184_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_304_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55255_ _43502_/A _45050_/B VGND VGND VPWR VPWR _55255_/Y sky130_fd_sc_hd__nor2_2
+XPHY_15115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_547_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40481_ _40471_/X _40466_/X _65844_/A VGND VGND VPWR VPWR _40482_/B sky130_fd_sc_hd__nand3_2
+XFILLER_185_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52467_ _52464_/Y _52466_/X VGND VGND VPWR VPWR _77539_/D sky130_fd_sc_hd__nand2_2
+XFILLER_240_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80499_ _80518_/CLK _76204_/Y VGND VGND VPWR VPWR _65056_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_367_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_200_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_33_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42220_ _42047_/A _42220_/B _42220_/C VGND VGND VPWR VPWR _79502_/D sky130_fd_sc_hd__nor3_2
+XFILLER_554_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_519_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54206_ _54204_/Y _54206_/B VGND VGND VPWR VPWR _77081_/D sky130_fd_sc_hd__nand2_2
+XFILLER_715_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73040_ _42934_/A _73051_/B VGND VGND VPWR VPWR _73041_/B sky130_fd_sc_hd__or2_2
+XFILLER_689_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51418_ _51482_/A _51412_/X VGND VGND VPWR VPWR _51419_/B sky130_fd_sc_hd__or2_2
+XFILLER_138_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70252_ _70252_/A _70240_/X VGND VGND VPWR VPWR _70252_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_120_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55186_ _55186_/A _55173_/B VGND VGND VPWR VPWR _55186_/X sky130_fd_sc_hd__and2_2
+XFILLER_240_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_70 _56660_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_52398_ _52341_/X _52382_/A VGND VGND VPWR VPWR _52399_/B sky130_fd_sc_hd__or2_2
+XPHY_24959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_515_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_81 _59612_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_370_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_326_143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_92 _60890_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_327_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_382_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42151_ _69604_/A _42141_/B VGND VGND VPWR VPWR _42151_/Y sky130_fd_sc_hd__nor2_2
+XPHY_13713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54137_ _54067_/A _54152_/B VGND VGND VPWR VPWR _54138_/B sky130_fd_sc_hd__or2_2
+XFILLER_272_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_504_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51349_ _51247_/B _51361_/B VGND VGND VPWR VPWR _51350_/B sky130_fd_sc_hd__or2_2
+XFILLER_126_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70183_ _70183_/A _70183_/B _70182_/Y VGND VGND VPWR VPWR _70184_/A sky130_fd_sc_hd__or3_2
+XPHY_14469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59994_ _59846_/A _59988_/Y _59993_/Y VGND VGND VPWR VPWR _59994_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_377_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_372_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41102_ _39202_/A VGND VGND VPWR VPWR _41103_/A sky130_fd_sc_hd__buf_1
+XPHY_31114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_374_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42082_ _42082_/A _42082_/B _42082_/C VGND VGND VPWR VPWR _42082_/Y sky130_fd_sc_hd__nor3_2
+XPHY_13768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54068_ _54068_/A _54067_/X VGND VGND VPWR VPWR _54068_/Y sky130_fd_sc_hd__nand2_2
+X_58945_ _59099_/A _58945_/B _58945_/C VGND VGND VPWR VPWR _58955_/B sky130_fd_sc_hd__nor3_2
+XFILLER_84_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74991_ _74988_/A _74988_/B _67536_/A VGND VGND VPWR VPWR _74993_/A sky130_fd_sc_hd__nand3_2
+XFILLER_341_146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_64_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41033_ _41180_/A _41019_/B VGND VGND VPWR VPWR _41033_/X sky130_fd_sc_hd__or2_2
+X_45910_ _45878_/A _45910_/B _45910_/C VGND VGND VPWR VPWR _79072_/D sky130_fd_sc_hd__nor3_2
+XFILLER_190_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53019_ _53047_/A _53019_/B VGND VGND VPWR VPWR _53019_/X sky130_fd_sc_hd__or2_2
+XFILLER_62_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76730_ _78860_/CLK _59932_/Y VGND VGND VPWR VPWR _45358_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_352_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_323_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73942_ _73949_/A _73930_/X _73942_/C VGND VGND VPWR VPWR _73943_/B sky130_fd_sc_hd__nand3_2
+XFILLER_49_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46890_ _46884_/X _46890_/B VGND VGND VPWR VPWR _46890_/X sky130_fd_sc_hd__and2_2
+XFILLER_630_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58876_ _58876_/A _58873_/A VGND VGND VPWR VPWR _58876_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_669_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_587_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_657_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45841_ _45840_/X VGND VGND VPWR VPWR _45848_/A sky130_fd_sc_hd__buf_1
+X_57827_ _67456_/A _57826_/X VGND VGND VPWR VPWR _57827_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_270_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_62_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76661_ _79201_/CLK _76661_/D VGND VGND VPWR VPWR _70415_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_114_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73873_ _73985_/B VGND VGND VPWR VPWR _73874_/B sky130_fd_sc_hd__buf_1
+XFILLER_628_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_651_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78400_ _78400_/CLK _78400_/D VGND VGND VPWR VPWR _49184_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_248_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_645_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75612_ _75458_/A _75599_/X VGND VGND VPWR VPWR _75612_/X sky130_fd_sc_hd__or2_2
+XFILLER_485_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_153_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72824_ _72812_/A _72824_/B _64602_/A VGND VGND VPWR VPWR _72825_/B sky130_fd_sc_hd__nand3_2
+XPHY_7652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48560_ _48560_/A _48560_/B VGND VGND VPWR VPWR _48560_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_314_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79380_ _79347_/CLK _79380_/D VGND VGND VPWR VPWR _79380_/Q sky130_fd_sc_hd__dfxtp_4
+X_45772_ _70795_/X VGND VGND VPWR VPWR _45772_/Y sky130_fd_sc_hd__inv_8
+XFILLER_251_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57758_ _57683_/A _57758_/B _57757_/Y VGND VGND VPWR VPWR _57762_/B sky130_fd_sc_hd__nor3_2
+XFILLER_507_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76592_ VGND VGND VPWR VPWR _76592_/HI la_data_out[88] sky130_fd_sc_hd__conb_1
+XPHY_7663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42984_ _42981_/X _42983_/Y VGND VGND VPWR VPWR _42984_/Y sky130_fd_sc_hd__nand2_2
+XPHY_39411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_409_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_75_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_626_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47511_ _78825_/Q _47494_/B VGND VGND VPWR VPWR _47515_/A sky130_fd_sc_hd__nand2_2
+XFILLER_436_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78331_ _78823_/CLK _78331_/D VGND VGND VPWR VPWR _62749_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_598_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44723_ _74011_/A _44636_/B _44715_/Y _44722_/Y VGND VGND VPWR VPWR _44723_/X sky130_fd_sc_hd__o22a_4
+XPHY_6951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56709_ _38966_/C _56709_/B VGND VGND VPWR VPWR _56710_/C sky130_fd_sc_hd__nor2_2
+XFILLER_268_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75543_ _75917_/A _75548_/B VGND VGND VPWR VPWR _75545_/A sky130_fd_sc_hd__or2_2
+XFILLER_483_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_409_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41935_ wbs_we_i _55965_/A _43501_/A VGND VGND VPWR VPWR _41935_/X sky130_fd_sc_hd__and3_2
+XPHY_39444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48491_ _48516_/B VGND VGND VPWR VPWR _48491_/X sky130_fd_sc_hd__buf_1
+X_72755_ _72773_/A VGND VGND VPWR VPWR _72770_/A sky130_fd_sc_hd__buf_1
+XFILLER_275_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57689_ _57689_/A _57689_/B VGND VGND VPWR VPWR _57706_/B sky130_fd_sc_hd__nor2_2
+XFILLER_21_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_483_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_542_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_384_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59428_ _60236_/A VGND VGND VPWR VPWR _59429_/B sky130_fd_sc_hd__buf_1
+X_47442_ _63419_/A _47435_/B VGND VGND VPWR VPWR _47444_/A sky130_fd_sc_hd__nand2_2
+XFILLER_35_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71706_ _71706_/A VGND VGND VPWR VPWR _71707_/B sky130_fd_sc_hd__inv_8
+X_78262_ _77762_/CLK _49731_/Y VGND VGND VPWR VPWR _78262_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_38743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44654_ _44654_/A VGND VGND VPWR VPWR _65708_/A sky130_fd_sc_hd__inv_8
+X_75474_ _75474_/A _75473_/Y VGND VGND VPWR VPWR _75474_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_450_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_127_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41866_ _58850_/B _46519_/A VGND VGND VPWR VPWR _41866_/X sky130_fd_sc_hd__or2_2
+XPHY_39499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72686_ _72682_/A _72686_/B _65459_/A VGND VGND VPWR VPWR _72686_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_559_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_602_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_264_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_264_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_263_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_245_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77213_ _77714_/CLK _77213_/D VGND VGND VPWR VPWR _59098_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_16_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43605_ _43591_/X _43605_/B _43604_/Y VGND VGND VPWR VPWR _79319_/D sky130_fd_sc_hd__nor3_2
+XFILLER_539_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74425_ _74179_/A _74425_/B VGND VGND VPWR VPWR _74425_/X sky130_fd_sc_hd__or2_2
+XFILLER_216_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40817_ _40441_/A _40814_/B VGND VGND VPWR VPWR _40817_/X sky130_fd_sc_hd__or2_2
+XPHY_28264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47373_ _47440_/A _47382_/B VGND VGND VPWR VPWR _47374_/B sky130_fd_sc_hd__or2_2
+XFILLER_207_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59359_ _59682_/A _59356_/Y _59359_/C VGND VGND VPWR VPWR _59359_/Y sky130_fd_sc_hd__nor3_2
+X_71637_ _74079_/A VGND VGND VPWR VPWR _39184_/A sky130_fd_sc_hd__buf_1
+XFILLER_262_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78193_ _78191_/CLK _49992_/Y VGND VGND VPWR VPWR _49990_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_389_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_189_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44585_ _74783_/A _44570_/B VGND VGND VPWR VPWR _44586_/C sky130_fd_sc_hd__nor2_2
+XFILLER_284_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41797_ _41793_/X VGND VGND VPWR VPWR _41804_/B sky130_fd_sc_hd__buf_1
+XFILLER_204_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_340_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_203_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49112_ _63960_/A _49112_/B VGND VGND VPWR VPWR _49115_/A sky130_fd_sc_hd__nand2_2
+XPHY_28297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46324_ _43029_/Y _46326_/A VGND VGND VPWR VPWR _46325_/B sky130_fd_sc_hd__or2_2
+XFILLER_327_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77144_ _77719_/CLK _53966_/Y VGND VGND VPWR VPWR _77144_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_565_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43536_ _43535_/X VGND VGND VPWR VPWR _43537_/D sky130_fd_sc_hd__inv_8
+X_62370_ _62370_/A VGND VGND VPWR VPWR _63003_/A sky130_fd_sc_hd__buf_1
+XPHY_17040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74356_ _74559_/A VGND VGND VPWR VPWR _74371_/A sky130_fd_sc_hd__buf_1
+XFILLER_717_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40748_ _40746_/X _40747_/Y VGND VGND VPWR VPWR _79794_/D sky130_fd_sc_hd__nand2_2
+XFILLER_696_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71568_ _71537_/A _71537_/B _67138_/A VGND VGND VPWR VPWR _71569_/B sky130_fd_sc_hd__nand3_2
+XPHY_17051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_692_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_242_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_559_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_176_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_268 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61321_ _61318_/X _61321_/B _61320_/Y VGND VGND VPWR VPWR _61327_/B sky130_fd_sc_hd__nor3_2
+XPHY_26862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73307_ _73305_/X _73306_/X _67918_/A VGND VGND VPWR VPWR _73307_/Y sky130_fd_sc_hd__nand3_2
+X_49043_ _49043_/A _54787_/A VGND VGND VPWR VPWR _49044_/A sky130_fd_sc_hd__or2_2
+XFILLER_240_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_321_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46255_ _45130_/A _46236_/B VGND VGND VPWR VPWR _46255_/Y sky130_fd_sc_hd__nor2_2
+X_70519_ _42132_/A VGND VGND VPWR VPWR _70519_/Y sky130_fd_sc_hd__inv_8
+XFILLER_364_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77075_ _77408_/CLK _77075_/D VGND VGND VPWR VPWR _60171_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_26873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43467_ _43476_/A _43473_/B _65114_/A VGND VGND VPWR VPWR _43468_/B sky130_fd_sc_hd__nand3_2
+XFILLER_121_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74287_ _74167_/A _74284_/B VGND VGND VPWR VPWR _74287_/X sky130_fd_sc_hd__or2_2
+XFILLER_340_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40679_ _40677_/X _40678_/Y VGND VGND VPWR VPWR _40679_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_657_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71499_ _71491_/A _71466_/B VGND VGND VPWR VPWR _71500_/C sky130_fd_sc_hd__nor2_2
+XFILLER_321_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_26895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45206_ _45383_/A _45205_/Y VGND VGND VPWR VPWR _45207_/B sky130_fd_sc_hd__nor2_2
+XFILLER_581_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64040_ _64040_/A _59721_/B VGND VGND VPWR VPWR _64040_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_293_2108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76026_ _76008_/A VGND VGND VPWR VPWR _76027_/B sky130_fd_sc_hd__buf_1
+XFILLER_615_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42418_ _42411_/X _42418_/B VGND VGND VPWR VPWR _42419_/B sky130_fd_sc_hd__and2_2
+XFILLER_490_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61252_ _61095_/A _61250_/Y _61252_/C VGND VGND VPWR VPWR _61252_/Y sky130_fd_sc_hd__nor3_2
+X_73238_ _73238_/A _73238_/B VGND VGND VPWR VPWR _81236_/D sky130_fd_sc_hd__nand2_2
+XFILLER_168_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46186_ _46186_/A _46162_/Y _46185_/Y VGND VGND VPWR VPWR _46186_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_477_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43398_ _42916_/A _43398_/B VGND VGND VPWR VPWR _43400_/A sky130_fd_sc_hd__or2_2
+XPHY_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_373_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_236_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_11_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_143 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_572_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_713_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60203_ _60203_/A _60673_/B VGND VGND VPWR VPWR _60204_/C sky130_fd_sc_hd__nor2_2
+XPHY_15682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_522_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_507_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_711_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45137_ _45108_/A _45136_/Y VGND VGND VPWR VPWR _55540_/B sky130_fd_sc_hd__or2_2
+XPHY_33050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42349_ _42317_/X VGND VGND VPWR VPWR _42349_/X sky130_fd_sc_hd__buf_1
+XFILLER_12_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61183_ _59597_/A VGND VGND VPWR VPWR _61184_/B sky130_fd_sc_hd__buf_1
+X_73169_ _73166_/Y _73168_/X VGND VGND VPWR VPWR _81251_/D sky130_fd_sc_hd__nand2_2
+XPHY_33061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_373_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_436_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_506_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_613_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60134_ _59812_/A _60132_/Y _60133_/Y VGND VGND VPWR VPWR _60134_/Y sky130_fd_sc_hd__nor3_2
+XPHY_14992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_550_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49945_ _49975_/B VGND VGND VPWR VPWR _49973_/B sky130_fd_sc_hd__buf_1
+XFILLER_132_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45068_ _44903_/A _45019_/Y _45067_/Y VGND VGND VPWR VPWR _45068_/Y sky130_fd_sc_hd__nor3_2
+XPHY_32360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_511_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65991_ _66814_/A VGND VGND VPWR VPWR _66157_/B sky130_fd_sc_hd__buf_1
+XFILLER_695_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77977_ _78494_/CLK _77977_/D VGND VGND VPWR VPWR _50800_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_158_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44019_ _44019_/A VGND VGND VPWR VPWR _66535_/A sky130_fd_sc_hd__inv_8
+X_67730_ _66906_/A VGND VGND VPWR VPWR _67731_/B sky130_fd_sc_hd__buf_1
+XFILLER_503_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_214_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79716_ _79716_/CLK _41055_/Y VGND VGND VPWR VPWR _58100_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_84_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60065_ _60065_/A _60065_/B _60065_/C VGND VGND VPWR VPWR _60075_/B sky130_fd_sc_hd__nor3_2
+XFILLER_373_1497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64942_ _56647_/A _64942_/B VGND VGND VPWR VPWR _64942_/Y sky130_fd_sc_hd__nor2_2
+X_76928_ _76941_/CLK _54776_/Y VGND VGND VPWR VPWR _76928_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_252_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_253_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_646_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49876_ _49933_/A _49876_/B VGND VGND VPWR VPWR _49877_/B sky130_fd_sc_hd__or2_2
+XPHY_31670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_316_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_668_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_561_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_609_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_629_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_344_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48827_ _48827_/A _48827_/B VGND VGND VPWR VPWR _78493_/D sky130_fd_sc_hd__nand2_2
+XFILLER_474_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67661_ _65654_/A VGND VGND VPWR VPWR _67664_/A sky130_fd_sc_hd__buf_1
+XPHY_21180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79647_ _79641_/CLK _79647_/D VGND VGND VPWR VPWR _67131_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_234_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64873_ _67371_/A VGND VGND VPWR VPWR _65042_/B sky130_fd_sc_hd__buf_1
+X_76859_ _76941_/CLK _55027_/Y VGND VGND VPWR VPWR _76859_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_21191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_607_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_85_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69400_ _69262_/A _69398_/Y _69399_/Y VGND VGND VPWR VPWR _69400_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_449_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_449_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_26_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66612_ _64939_/X VGND VGND VPWR VPWR _66612_/X sky130_fd_sc_hd__buf_1
+XFILLER_509_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39560_ _39558_/X _39559_/Y VGND VGND VPWR VPWR _39560_/Y sky130_fd_sc_hd__nand2_2
+X_63824_ _63411_/X _63822_/Y _63823_/Y VGND VGND VPWR VPWR _63824_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_79_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48758_ _48758_/A _48740_/B VGND VGND VPWR VPWR _48758_/Y sky130_fd_sc_hd__nand2_2
+X_67592_ _72396_/C _67592_/B VGND VGND VPWR VPWR _67593_/C sky130_fd_sc_hd__nor2_2
+XPHY_20490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_1_1_1_u_core.clock clkbuf_1_1_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_1_1_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_79578_ _79584_/CLK _79578_/D VGND VGND VPWR VPWR _57292_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_646_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_66_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_681_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_113_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38511_ _74714_/A VGND VGND VPWR VPWR _38512_/A sky130_fd_sc_hd__buf_1
+XFILLER_663_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69331_ _69331_/A _69331_/B _69331_/C VGND VGND VPWR VPWR _69332_/C sky130_fd_sc_hd__nor3_2
+XFILLER_616_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47709_ _63771_/A _47706_/B VGND VGND VPWR VPWR _47712_/A sky130_fd_sc_hd__nand2_2
+X_66543_ _67043_/A _66543_/B _66543_/C VGND VGND VPWR VPWR _66554_/B sky130_fd_sc_hd__nor3_2
+XFILLER_650_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78529_ _78517_/CLK _48690_/Y VGND VGND VPWR VPWR _78529_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_484_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63755_ _63333_/A _63753_/Y _63755_/C VGND VGND VPWR VPWR _63759_/B sky130_fd_sc_hd__nor3_2
+X_39491_ _39488_/A _39384_/B _56277_/A VGND VGND VPWR VPWR _39491_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_627_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60967_ _59372_/A VGND VGND VPWR VPWR _61452_/A sky130_fd_sc_hd__buf_1
+XFILLER_466_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48689_ _48718_/A _48686_/B VGND VGND VPWR VPWR _48690_/B sky130_fd_sc_hd__or2_2
+XFILLER_722_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_588_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_94_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_228_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_624_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38442_ _38388_/A VGND VGND VPWR VPWR _38443_/B sky130_fd_sc_hd__buf_1
+X_62706_ _62706_/A _62553_/B VGND VGND VPWR VPWR _62706_/Y sky130_fd_sc_hd__nor2_2
+X_50720_ _50869_/A VGND VGND VPWR VPWR _50836_/A sky130_fd_sc_hd__buf_1
+XFILLER_564_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69262_ _69262_/A _69260_/Y _69261_/Y VGND VGND VPWR VPWR _69262_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_38_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66474_ _57354_/A _66145_/B VGND VGND VPWR VPWR _66474_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_431_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63686_ _59304_/X _63682_/Y _63686_/C VGND VGND VPWR VPWR _63687_/C sky130_fd_sc_hd__nor3_2
+XFILLER_213_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_589_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60898_ _60898_/A _60898_/B _60897_/Y VGND VGND VPWR VPWR _60898_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_599_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_694_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_52_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68213_ _80422_/Q _67731_/B VGND VGND VPWR VPWR _68215_/B sky130_fd_sc_hd__nor2_2
+XFILLER_384_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65425_ _73604_/C _65424_/X VGND VGND VPWR VPWR _65426_/C sky130_fd_sc_hd__nor2_2
+XFILLER_402_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_35_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38373_ _76251_/A _38373_/B VGND VGND VPWR VPWR _38373_/X sky130_fd_sc_hd__or2_2
+XFILLER_362_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50651_ _62312_/A _50651_/B VGND VGND VPWR VPWR _50653_/A sky130_fd_sc_hd__nand2_2
+XFILLER_620_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62637_ _49741_/A _62012_/B VGND VGND VPWR VPWR _62639_/B sky130_fd_sc_hd__nor2_2
+X_69193_ _68906_/A _69193_/B _69193_/C VGND VGND VPWR VPWR _69194_/C sky130_fd_sc_hd__nor3_2
+XFILLER_525_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81471_ _81468_/CLK _72248_/Y VGND VGND VPWR VPWR _67137_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_39_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_408_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_179_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_323_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_67_0_u_core.clock clkbuf_7_67_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_67_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_241_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80422_ _80421_/CLK _38261_/Y VGND VGND VPWR VPWR _80422_/Q sky130_fd_sc_hd__dfxtp_4
+X_68144_ _79941_/Q _67648_/B VGND VGND VPWR VPWR _68144_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_620_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53370_ _77303_/Q _53370_/B VGND VGND VPWR VPWR _53372_/A sky130_fd_sc_hd__nand2_2
+XFILLER_34_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65356_ _65356_/A _65182_/B VGND VGND VPWR VPWR _65356_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_228_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_600_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62568_ _78266_/Q _61940_/B VGND VGND VPWR VPWR _62568_/Y sky130_fd_sc_hd__nor2_2
+X_50582_ _54404_/A _50517_/X VGND VGND VPWR VPWR _50582_/X sky130_fd_sc_hd__or2_2
+XFILLER_499_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_573_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_52_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64307_ _65654_/A VGND VGND VPWR VPWR _69262_/A sky130_fd_sc_hd__buf_1
+X_52321_ _52308_/X VGND VGND VPWR VPWR _52338_/B sky130_fd_sc_hd__buf_1
+XFILLER_556_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80353_ _80393_/CLK _38533_/Y VGND VGND VPWR VPWR _67387_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_421_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61519_ _77444_/Q _61519_/B VGND VGND VPWR VPWR _61519_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_52_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68075_ _80901_/Q _68395_/B VGND VGND VPWR VPWR _68077_/B sky130_fd_sc_hd__nor2_2
+XFILLER_529_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_614_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65287_ _65629_/A _65283_/Y _65287_/C VGND VGND VPWR VPWR _65288_/C sky130_fd_sc_hd__nor3_2
+XFILLER_30_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62499_ _62967_/A _62496_/Y _62498_/Y VGND VGND VPWR VPWR _62499_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_206_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_383_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_618_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55040_ _55038_/Y _55039_/X VGND VGND VPWR VPWR _76855_/D sky130_fd_sc_hd__nand2_2
+XFILLER_85_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67026_ _67026_/A _67026_/B _67026_/C VGND VGND VPWR VPWR _67027_/C sky130_fd_sc_hd__nor3_2
+XFILLER_301_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_397_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_33_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52252_ _61527_/A _52266_/B VGND VGND VPWR VPWR _52255_/A sky130_fd_sc_hd__nand2_2
+XFILLER_276_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64238_ _67932_/A VGND VGND VPWR VPWR _64239_/B sky130_fd_sc_hd__buf_1
+XFILLER_337_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_147_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_653_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_551_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80284_ _80289_/CLK _80284_/D VGND VGND VPWR VPWR _80284_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_525_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_519_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_590_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_726_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_378_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_148_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_198_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_293_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_495_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51203_ _51203_/A _51198_/X VGND VGND VPWR VPWR _51205_/A sky130_fd_sc_hd__nand2_2
+XPHY_13009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_309_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64169_ _64169_/A _64169_/B _64169_/C VGND VGND VPWR VPWR _64193_/B sky130_fd_sc_hd__nor3_2
+XFILLER_525_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52183_ _52183_/A _52182_/X VGND VGND VPWR VPWR _52183_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_375_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_135_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_612_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_219_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_235_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_454_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51134_ _51134_/A _51133_/X VGND VGND VPWR VPWR _77887_/D sky130_fd_sc_hd__nand2_2
+XPHY_12319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_152_0_u_core.clock clkbuf_7_76_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_305_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_330_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_412_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_176_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56991_ _56917_/A _56987_/Y _56991_/C VGND VGND VPWR VPWR _57000_/A sky130_fd_sc_hd__nor3_2
+XFILLER_513_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68977_ _68977_/A _68312_/X VGND VGND VPWR VPWR _68977_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_81_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_432_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_11_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_239_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58730_ _58663_/A _58730_/B VGND VGND VPWR VPWR _58730_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_190_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_8_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_157_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55942_ _70041_/A _69588_/Y _69593_/B _42117_/A VGND VGND VPWR VPWR _55943_/B sky130_fd_sc_hd__and4_2
+X_51065_ _51065_/A _51065_/B VGND VGND VPWR VPWR _77907_/D sky130_fd_sc_hd__nand2_2
+X_67928_ _67928_/A _67592_/B VGND VGND VPWR VPWR _67928_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_28_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_686_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_104_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_317_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_276_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50016_ _49988_/A _50028_/B VGND VGND VPWR VPWR _50016_/X sky130_fd_sc_hd__or2_2
+Xclkbuf_9_159_0_u_core.clock clkbuf_8_79_0_u_core.clock/X VGND VGND VPWR VPWR _77790_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_115_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39827_ _39193_/A VGND VGND VPWR VPWR _39827_/X sky130_fd_sc_hd__buf_1
+XPHY_10928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58661_ _58435_/X _58661_/B _58660_/Y VGND VGND VPWR VPWR _58661_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_287_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55873_ _55856_/C _44978_/C VGND VGND VPWR VPWR _55873_/X sky130_fd_sc_hd__and2_2
+XFILLER_549_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67859_ _64117_/A VGND VGND VPWR VPWR _67939_/A sky130_fd_sc_hd__buf_1
+XPHY_10939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_389_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_629_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_369_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_549_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_47_0_u_core.clock clkbuf_8_47_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_95_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_681_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_118_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_130_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57612_ _57612_/A _57611_/X VGND VGND VPWR VPWR _57615_/B sky130_fd_sc_hd__nor2_2
+XFILLER_367_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54824_ _54942_/A _54843_/B VGND VGND VPWR VPWR _54824_/X sky130_fd_sc_hd__or2_2
+XFILLER_98_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39758_ _40266_/A _39753_/X VGND VGND VPWR VPWR _39758_/X sky130_fd_sc_hd__or2_2
+XFILLER_258_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_465_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58592_ _69112_/A _58793_/B VGND VGND VPWR VPWR _58592_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_287_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70870_ _70870_/A VGND VGND VPWR VPWR _70870_/X sky130_fd_sc_hd__buf_1
+XFILLER_150_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_608_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38709_ _38672_/A VGND VGND VPWR VPWR _38709_/X sky130_fd_sc_hd__buf_1
+XFILLER_285_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_79_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57543_ _56199_/A VGND VGND VPWR VPWR _57543_/X sky130_fd_sc_hd__buf_1
+XFILLER_183_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69529_ _69529_/A _69525_/Y _69529_/C VGND VGND VPWR VPWR _69529_/Y sky130_fd_sc_hd__nor3_2
+XPHY_5513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54755_ _58892_/A _54752_/B VGND VGND VPWR VPWR _54757_/A sky130_fd_sc_hd__nand2_2
+XFILLER_508_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51967_ _51939_/A _51954_/X VGND VGND VPWR VPWR _51968_/B sky130_fd_sc_hd__or2_2
+X_39689_ _39689_/A _39678_/B _39689_/C VGND VGND VPWR VPWR _39689_/Y sky130_fd_sc_hd__nand3_2
+XPHY_19904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_406_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_245_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_441_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_17_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41720_ _41720_/A VGND VGND VPWR VPWR _41721_/A sky130_fd_sc_hd__buf_1
+XPHY_38039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53706_ _53706_/A _53650_/B VGND VGND VPWR VPWR _53716_/A sky130_fd_sc_hd__or2_2
+XFILLER_404_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72540_ _72538_/X _72540_/B VGND VGND VPWR VPWR _72540_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_363_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_508_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50918_ _50908_/X VGND VGND VPWR VPWR _50928_/B sky130_fd_sc_hd__buf_1
+XFILLER_217_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57474_ _57474_/A _57239_/X VGND VGND VPWR VPWR _57474_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_270_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_144_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54686_ _76952_/Q _54682_/B VGND VGND VPWR VPWR _54686_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_123_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_96_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51898_ _51891_/A VGND VGND VPWR VPWR _51911_/B sky130_fd_sc_hd__buf_1
+XFILLER_434_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_226_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_96_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_72_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_521_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59213_ _77277_/Q _59213_/B VGND VGND VPWR VPWR _59213_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_658_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_2992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56425_ _56244_/X VGND VGND VPWR VPWR _56669_/B sky130_fd_sc_hd__buf_1
+XFILLER_92_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41651_ _46012_/A _43486_/A VGND VGND VPWR VPWR _41651_/X sky130_fd_sc_hd__or2_2
+XFILLER_701_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53637_ _53637_/A _53636_/X VGND VGND VPWR VPWR _53637_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_443_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72471_ _72576_/B VGND VGND VPWR VPWR _72472_/B sky130_fd_sc_hd__buf_1
+XPHY_4867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50849_ _50969_/A _50876_/B VGND VGND VPWR VPWR _50849_/X sky130_fd_sc_hd__or2_2
+XPHY_36615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_144_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74210_ _74596_/A _74210_/B VGND VGND VPWR VPWR _74210_/X sky130_fd_sc_hd__or2_2
+XPHY_36637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40602_ _40594_/X _40598_/X _66178_/A VGND VGND VPWR VPWR _40603_/B sky130_fd_sc_hd__nand3_2
+XFILLER_39_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59144_ _47490_/A VGND VGND VPWR VPWR _59878_/A sky130_fd_sc_hd__buf_1
+XFILLER_73_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71422_ _71285_/X _71421_/Y _71283_/X _70335_/X VGND VGND VPWR VPWR _71423_/B sky130_fd_sc_hd__o22a_4
+XFILLER_55_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44370_ _44370_/A _66695_/A _44369_/X VGND VGND VPWR VPWR _44507_/B sky130_fd_sc_hd__or3_2
+X_75190_ _75184_/X _75201_/B _69290_/A VGND VGND VPWR VPWR _75191_/B sky130_fd_sc_hd__nand3_2
+XPHY_26125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56356_ _56453_/A VGND VGND VPWR VPWR _56632_/A sky130_fd_sc_hd__buf_1
+XFILLER_693_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_198_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41582_ _41526_/A VGND VGND VPWR VPWR _41582_/X sky130_fd_sc_hd__buf_1
+XPHY_35914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53568_ _53512_/A _53571_/B VGND VGND VPWR VPWR _53568_/X sky130_fd_sc_hd__or2_2
+XFILLER_404_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_349_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_496_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_224_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43321_ _42958_/A _43313_/X VGND VGND VPWR VPWR _43321_/X sky130_fd_sc_hd__or2_2
+XFILLER_658_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55307_ _55307_/A VGND VGND VPWR VPWR _55600_/A sky130_fd_sc_hd__buf_1
+XFILLER_164_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74141_ _74141_/A _73994_/A VGND VGND VPWR VPWR _74141_/X sky130_fd_sc_hd__or2_2
+XFILLER_294_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_80_0_u_core.clock clkbuf_9_81_0_u_core.clock/A VGND VGND VPWR VPWR _77444_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_40533_ _40552_/A VGND VGND VPWR VPWR _40549_/B sky130_fd_sc_hd__buf_1
+XPHY_25424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52519_ _52604_/A _52519_/B VGND VGND VPWR VPWR _52519_/X sky130_fd_sc_hd__or2_2
+XPHY_26169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71353_ _70285_/X _69562_/A VGND VGND VPWR VPWR _71358_/B sky130_fd_sc_hd__nor2_2
+X_59075_ _59074_/X VGND VGND VPWR VPWR _59527_/B sky130_fd_sc_hd__buf_1
+XFILLER_725_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56287_ _56347_/A VGND VGND VPWR VPWR _57257_/A sky130_fd_sc_hd__buf_1
+XPHY_25435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53499_ _53555_/A _53478_/A VGND VGND VPWR VPWR _53500_/B sky130_fd_sc_hd__or2_2
+XPHY_35969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46040_ _72066_/X _46850_/B VGND VGND VPWR VPWR _46041_/C sky130_fd_sc_hd__and2_2
+XFILLER_593_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58026_ _57942_/X _57981_/X _58024_/X _74453_/Y _58025_/X VGND VGND VPWR VPWR _76664_/D
++ sky130_fd_sc_hd__a32oi_4
+X_70304_ _70303_/X VGND VGND VPWR VPWR _70314_/A sky130_fd_sc_hd__buf_1
+XFILLER_494_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43252_ _43252_/A _43252_/B VGND VGND VPWR VPWR _43252_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_302_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55238_ _55232_/B _55238_/B _55123_/A VGND VGND VPWR VPWR _55239_/B sky130_fd_sc_hd__nor3_2
+XFILLER_205_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74072_ _74020_/A VGND VGND VPWR VPWR _74073_/B sky130_fd_sc_hd__buf_1
+XFILLER_70_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40464_ _44224_/A VGND VGND VPWR VPWR _40597_/A sky130_fd_sc_hd__buf_1
+XFILLER_573_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_724_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71284_ _71284_/A _71554_/B VGND VGND VPWR VPWR _71284_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_196_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_27_0_u_core.clock clkbuf_9_27_0_u_core.clock/A VGND VGND VPWR VPWR _77903_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_51_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42203_ _79503_/Q VGND VGND VPWR VPWR _45087_/A sky130_fd_sc_hd__buf_1
+XPHY_14233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73023_ _73023_/A VGND VGND VPWR VPWR _73078_/A sky130_fd_sc_hd__buf_1
+X_77900_ _78794_/CLK _77900_/D VGND VGND VPWR VPWR _77900_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_472_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_365_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70235_ _70235_/A VGND VGND VPWR VPWR _70235_/Y sky130_fd_sc_hd__inv_8
+XPHY_14244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43183_ _43172_/Y _43183_/B _43182_/Y VGND VGND VPWR VPWR _43183_/X sky130_fd_sc_hd__or3_2
+XFILLER_689_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55169_ _55105_/B VGND VGND VPWR VPWR _55169_/Y sky130_fd_sc_hd__inv_8
+XPHY_13510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78880_ _78890_/CLK _78880_/D VGND VGND VPWR VPWR _46447_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_181_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40395_ _40368_/A VGND VGND VPWR VPWR _40396_/A sky130_fd_sc_hd__buf_1
+XFILLER_276_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_433_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_155_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_437_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_10_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42134_ _42134_/A _42133_/Y _42142_/C VGND VGND VPWR VPWR _79517_/D sky130_fd_sc_hd__nor3_2
+XPHY_14288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77831_ _77854_/CLK _51359_/Y VGND VGND VPWR VPWR _60754_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_433_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70166_ _79531_/Q VGND VGND VPWR VPWR _70166_/Y sky130_fd_sc_hd__inv_8
+XPHY_14299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47991_ _47987_/Y _47991_/B VGND VGND VPWR VPWR _78706_/D sky130_fd_sc_hd__nand2_2
+XFILLER_29_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59977_ _59506_/A _59977_/B _59976_/Y VGND VGND VPWR VPWR _59977_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_355_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_720_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_411_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49730_ _49787_/A _49730_/B VGND VGND VPWR VPWR _49730_/X sky130_fd_sc_hd__or2_2
+XFILLER_231_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46942_ _46917_/A _46942_/B _46942_/C VGND VGND VPWR VPWR _46943_/B sky130_fd_sc_hd__or3_2
+XPHY_12853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58928_ _58928_/A _63891_/B VGND VGND VPWR VPWR _58934_/B sky130_fd_sc_hd__nor2_2
+X_42065_ _70580_/A _42077_/B VGND VGND VPWR VPWR _42066_/B sky130_fd_sc_hd__nor2_2
+XPHY_13598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77762_ _77762_/CLK _77762_/D VGND VGND VPWR VPWR _77762_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_669_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74974_ _74974_/A _74958_/B VGND VGND VPWR VPWR _74974_/X sky130_fd_sc_hd__or2_2
+X_70097_ _70097_/A VGND VGND VPWR VPWR _70097_/X sky130_fd_sc_hd__buf_1
+XPHY_12864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_665_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_532_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_497_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_484_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_469_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79501_ _79501_/CLK _79501_/D VGND VGND VPWR VPWR _79501_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_270_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_500_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41016_ _41016_/A VGND VGND VPWR VPWR _41019_/A sky130_fd_sc_hd__buf_1
+XFILLER_62_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76713_ _79183_/CLK _76713_/D VGND VGND VPWR VPWR _70122_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_116_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_485_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73925_ _73923_/X _73925_/B VGND VGND VPWR VPWR _73925_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_387_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49661_ _49693_/A _49673_/B VGND VGND VPWR VPWR _49662_/B sky130_fd_sc_hd__or2_2
+XFILLER_171_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46873_ _46865_/X _46872_/X VGND VGND VPWR VPWR _46874_/B sky130_fd_sc_hd__and2_2
+XFILLER_313_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58859_ _58859_/A _58859_/B _46637_/B VGND VGND VPWR VPWR _58862_/B sky130_fd_sc_hd__and3_2
+XFILLER_80_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77693_ _77193_/CLK _51887_/Y VGND VGND VPWR VPWR _77693_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_218_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_40_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_7_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_669_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_27_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48612_ _48293_/A VGND VGND VPWR VPWR _48708_/A sky130_fd_sc_hd__buf_1
+XPHY_8172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79432_ _79425_/CLK _42929_/Y VGND VGND VPWR VPWR _57995_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_311_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45824_ _70357_/X VGND VGND VPWR VPWR _45824_/Y sky130_fd_sc_hd__inv_8
+XFILLER_387_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_310_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_23_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76644_ _76651_/CLK _56414_/Y VGND VGND VPWR VPWR _70826_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_472_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61870_ _61870_/A _61717_/B VGND VGND VPWR VPWR _61870_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_212_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49592_ _49622_/A _49610_/B VGND VGND VPWR VPWR _49593_/B sky130_fd_sc_hd__or2_2
+XFILLER_643_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73856_ _73877_/A VGND VGND VPWR VPWR _73866_/A sky130_fd_sc_hd__buf_1
+XFILLER_661_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_110_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_466_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60821_ _60821_/A _60821_/B _60821_/C VGND VGND VPWR VPWR _60822_/C sky130_fd_sc_hd__nor3_2
+XFILLER_368_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72807_ _72787_/A VGND VGND VPWR VPWR _72812_/B sky130_fd_sc_hd__buf_1
+XPHY_7482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48543_ _48543_/A _48542_/X VGND VGND VPWR VPWR _78566_/D sky130_fd_sc_hd__nand2_2
+XFILLER_632_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79363_ _79395_/CLK _79363_/D VGND VGND VPWR VPWR _68766_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_463_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_624_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45755_ _70753_/X VGND VGND VPWR VPWR _45755_/Y sky130_fd_sc_hd__inv_8
+XPHY_39230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76575_ VGND VGND VPWR VPWR _76575_/HI la_data_out[71] sky130_fd_sc_hd__conb_1
+XPHY_7493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42967_ _42967_/A _42955_/B VGND VGND VPWR VPWR _42967_/X sky130_fd_sc_hd__or2_2
+XPHY_39241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73787_ _73784_/A _73776_/B _68072_/A VGND VGND VPWR VPWR _73788_/B sky130_fd_sc_hd__nand3_2
+XFILLER_385_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_606_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_424_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70999_ _70999_/A _70998_/Y VGND VGND VPWR VPWR _70999_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_236_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_660_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78314_ _78809_/CLK _78314_/D VGND VGND VPWR VPWR _49538_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44706_ _44563_/A VGND VGND VPWR VPWR _44724_/A sky130_fd_sc_hd__buf_1
+XFILLER_702_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63540_ _63144_/X _63540_/B _63539_/Y VGND VGND VPWR VPWR _63540_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_75_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75526_ _76168_/A VGND VGND VPWR VPWR _75904_/A sky130_fd_sc_hd__buf_1
+XFILLER_405_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41918_ _41669_/X _41670_/X VGND VGND VPWR VPWR _41919_/D sky130_fd_sc_hd__or2_2
+XPHY_39274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60752_ _60290_/A _60752_/B _60751_/Y VGND VGND VPWR VPWR _60760_/B sky130_fd_sc_hd__nor3_2
+XPHY_6792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48474_ _48940_/A VGND VGND VPWR VPWR _48474_/X sky130_fd_sc_hd__buf_1
+XFILLER_251_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72738_ _72737_/X _72734_/B _68588_/A VGND VGND VPWR VPWR _72738_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_1_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79294_ _79271_/CLK _43812_/Y VGND VGND VPWR VPWR _43693_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_166_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45686_ _42819_/B _45676_/X VGND VGND VPWR VPWR _45687_/B sky130_fd_sc_hd__nor2_2
+XPHY_38540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_21_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42898_ _42906_/A _42898_/B _69095_/A VGND VGND VPWR VPWR _42899_/B sky130_fd_sc_hd__nand3_2
+XFILLER_422_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_264_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_522_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47425_ _47886_/A _47758_/B VGND VGND VPWR VPWR _53249_/A sky130_fd_sc_hd__or2_2
+XFILLER_51_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78245_ _77387_/CLK _78245_/D VGND VGND VPWR VPWR _61791_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_38573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44637_ _44517_/B _44628_/B _75058_/A _44437_/B VGND VGND VPWR VPWR _44638_/C sky130_fd_sc_hd__o22a_4
+XFILLER_676_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63471_ _78736_/Q _63872_/B VGND VGND VPWR VPWR _63473_/B sky130_fd_sc_hd__nor2_2
+XPHY_28050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75457_ _76099_/A VGND VGND VPWR VPWR _75458_/A sky130_fd_sc_hd__buf_1
+XFILLER_412_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_35_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_147_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41849_ _41849_/A VGND VGND VPWR VPWR _41849_/Y sky130_fd_sc_hd__inv_8
+XPHY_38584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60683_ _77654_/Q _60044_/X VGND VGND VPWR VPWR _60684_/C sky130_fd_sc_hd__nor2_2
+XFILLER_586_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72669_ _72666_/X _72668_/Y VGND VGND VPWR VPWR _81370_/D sky130_fd_sc_hd__nand2_2
+XFILLER_260_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65210_ _65210_/A _65712_/B VGND VGND VPWR VPWR _65210_/Y sky130_fd_sc_hd__nor2_2
+XPHY_28083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_598_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62422_ _59727_/A VGND VGND VPWR VPWR _63049_/A sky130_fd_sc_hd__buf_1
+XPHY_37872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74408_ _74164_/A _74412_/B VGND VGND VPWR VPWR _74411_/A sky130_fd_sc_hd__or2_2
+XFILLER_324_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47356_ _47354_/X _47356_/B VGND VGND VPWR VPWR _47356_/Y sky130_fd_sc_hd__nand2_2
+X_66190_ _66022_/A _66190_/B _66189_/Y VGND VGND VPWR VPWR _66190_/Y sky130_fd_sc_hd__nor3_2
+XPHY_37883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78176_ _78176_/CLK _50051_/Y VGND VGND VPWR VPWR _78176_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_381_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_320_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44568_ _44568_/A _44566_/Y _44576_/C VGND VGND VPWR VPWR _44568_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_676_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75388_ _75375_/A _75382_/B _80696_/Q VGND VGND VPWR VPWR _75389_/B sky130_fd_sc_hd__nand3_2
+XFILLER_108_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_567_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1559 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_359_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46307_ _79019_/Q _46283_/X VGND VGND VPWR VPWR _46308_/C sky130_fd_sc_hd__nor2_2
+XFILLER_177_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_538_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65141_ _64309_/A VGND VGND VPWR VPWR _65142_/A sky130_fd_sc_hd__buf_1
+XFILLER_148_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77127_ _77128_/CLK _77127_/D VGND VGND VPWR VPWR _54023_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_242_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43519_ _76851_/Q VGND VGND VPWR VPWR _55056_/A sky130_fd_sc_hd__inv_8
+XFILLER_160_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62353_ _62353_/A _61894_/X VGND VGND VPWR VPWR _62353_/Y sky130_fd_sc_hd__nor2_2
+X_74339_ _74301_/A VGND VGND VPWR VPWR _74339_/X sky130_fd_sc_hd__buf_1
+XFILLER_717_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47287_ _59087_/A _60054_/A _59091_/A VGND VGND VPWR VPWR _49167_/A sky130_fd_sc_hd__or3_2
+XFILLER_31_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44499_ _44546_/A VGND VGND VPWR VPWR _44538_/B sky130_fd_sc_hd__buf_1
+XFILLER_520_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_108_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61304_ _77626_/Q _60830_/B VGND VGND VPWR VPWR _61305_/C sky130_fd_sc_hd__nor2_2
+X_49026_ _49023_/Y _49025_/X VGND VGND VPWR VPWR _78442_/D sky130_fd_sc_hd__nand2_2
+XFILLER_195_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46238_ _46238_/A _46238_/B VGND VGND VPWR VPWR _46242_/A sky130_fd_sc_hd__nor2_2
+XFILLER_129_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65072_ _73611_/C _64741_/B VGND VGND VPWR VPWR _65073_/C sky130_fd_sc_hd__nor2_2
+XFILLER_526_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77058_ _77060_/CLK _77058_/D VGND VGND VPWR VPWR _54292_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_223_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62284_ _61665_/A _62282_/Y _62284_/C VGND VGND VPWR VPWR _62285_/C sky130_fd_sc_hd__nor3_2
+XFILLER_365_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_297_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_357_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_473_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_392_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68900_ _80906_/Q _69325_/B VGND VGND VPWR VPWR _68902_/B sky130_fd_sc_hd__nor2_2
+XPHY_25991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64023_ _48136_/A _63892_/B VGND VGND VPWR VPWR _64023_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_375_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76009_ _76012_/A _76012_/B _80543_/Q VGND VGND VPWR VPWR _76010_/B sky130_fd_sc_hd__nand3_2
+XFILLER_357_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_145_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61235_ _61235_/A _60756_/B VGND VGND VPWR VPWR _61235_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_334_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_306_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_201_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46169_ _46168_/A _46168_/B VGND VGND VPWR VPWR _46169_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_117_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69880_ _69880_/A VGND VGND VPWR VPWR _71385_/A sky130_fd_sc_hd__buf_1
+XFILLER_724_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_4_1_0_u_core.clock clkbuf_3_0_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_4_1_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_613_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_275_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_490_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68831_ _38765_/C _68494_/B VGND VGND VPWR VPWR _68833_/B sky130_fd_sc_hd__nor2_2
+XFILLER_201_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_333_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_103_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61166_ _60855_/A _61166_/B _61165_/Y VGND VGND VPWR VPWR _61166_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_173_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38991_ _38478_/A _38982_/X VGND VGND VPWR VPWR _38991_/X sky130_fd_sc_hd__or2_2
+XFILLER_258_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_613_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_67_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60117_ _59636_/A _60117_/B _60117_/C VGND VGND VPWR VPWR _60118_/C sky130_fd_sc_hd__nor3_2
+XFILLER_370_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_82 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_45_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49928_ _49926_/Y _49927_/X VGND VGND VPWR VPWR _78209_/D sky130_fd_sc_hd__nand2_2
+X_68762_ _69212_/A _68762_/B _68762_/C VGND VGND VPWR VPWR _68842_/B sky130_fd_sc_hd__nor3_2
+XFILLER_370_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_695_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61097_ _61097_/A _60472_/X VGND VGND VPWR VPWR _61099_/B sky130_fd_sc_hd__nor2_2
+X_65974_ _65488_/A _65962_/Y _65973_/Y VGND VGND VPWR VPWR _65974_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_136_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_286_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67713_ _67713_/A _67372_/B VGND VGND VPWR VPWR _67713_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_298_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60048_ _60048_/A _59892_/B VGND VGND VPWR VPWR _60048_/Y sky130_fd_sc_hd__nor2_2
+X_64925_ _69331_/A _64921_/Y _64924_/Y VGND VGND VPWR VPWR _64925_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_301_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49859_ _49857_/A VGND VGND VPWR VPWR _49860_/B sky130_fd_sc_hd__buf_1
+XFILLER_433_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_64_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68693_ _80873_/Q _68534_/B VGND VGND VPWR VPWR _68694_/C sky130_fd_sc_hd__nor2_2
+X_80971_ _80911_/CLK _80971_/D VGND VGND VPWR VPWR _80971_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_291_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_214_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_648_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_468_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_312_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39612_ _39612_/A _39612_/B VGND VGND VPWR VPWR _39612_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_101_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67644_ _64182_/A VGND VGND VPWR VPWR _67644_/X sky130_fd_sc_hd__buf_1
+XFILLER_609_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52870_ _52842_/A _52870_/B VGND VGND VPWR VPWR _52871_/B sky130_fd_sc_hd__or2_2
+XFILLER_509_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_447_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64856_ _44612_/A VGND VGND VPWR VPWR _64857_/A sky130_fd_sc_hd__inv_8
+XFILLER_724_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_691_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_407_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_231_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_449_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_340_0_u_core.clock clkbuf_8_170_0_u_core.clock/X VGND VGND VPWR VPWR _78746_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_544_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_6_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51821_ _51850_/A _51795_/X VGND VGND VPWR VPWR _51822_/B sky130_fd_sc_hd__or2_2
+X_39543_ _39550_/A _39550_/B _57886_/A VGND VGND VPWR VPWR _39544_/B sky130_fd_sc_hd__nand3_2
+XFILLER_449_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_416_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63807_ _63807_/A _58995_/B VGND VGND VPWR VPWR _63809_/B sky130_fd_sc_hd__nor2_2
+XFILLER_428_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_462_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67575_ _67575_/A _67912_/B VGND VGND VPWR VPWR _67576_/C sky130_fd_sc_hd__nor2_2
+XFILLER_364_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_282_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_484_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64787_ _56566_/A _64276_/B VGND VGND VPWR VPWR _64788_/C sky130_fd_sc_hd__nor2_2
+XFILLER_481_2845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61999_ _59340_/A VGND VGND VPWR VPWR _61999_/X sky130_fd_sc_hd__buf_1
+XFILLER_66_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_382_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69314_ _69036_/A _69314_/B _69313_/Y VGND VGND VPWR VPWR _69314_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_509_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_446_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54540_ _54537_/Y _54539_/X VGND VGND VPWR VPWR _76992_/D sky130_fd_sc_hd__nand2_2
+XFILLER_347_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_471_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66526_ _66526_/A _66526_/B VGND VGND VPWR VPWR _66527_/B sky130_fd_sc_hd__nor2_2
+XFILLER_583_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_484_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51752_ _61128_/A _51752_/B VGND VGND VPWR VPWR _51754_/A sky130_fd_sc_hd__nand2_2
+X_39474_ _39226_/A _39463_/X VGND VGND VPWR VPWR _39474_/X sky130_fd_sc_hd__or2_2
+XPHY_4119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63738_ _78722_/Q _63468_/B VGND VGND VPWR VPWR _63740_/B sky130_fd_sc_hd__nor2_2
+XFILLER_416_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_187_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_247_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_27_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_96_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_505_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38425_ _38421_/A _38425_/B _66242_/A VGND VGND VPWR VPWR _38426_/B sky130_fd_sc_hd__nand3_2
+XFILLER_603_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50703_ _50852_/A VGND VGND VPWR VPWR _50823_/A sky130_fd_sc_hd__buf_1
+XFILLER_540_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69245_ _39899_/C _69523_/B VGND VGND VPWR VPWR _69245_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_96_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_403_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54471_ _54471_/A _54477_/B VGND VGND VPWR VPWR _54471_/X sky130_fd_sc_hd__or2_2
+X_66457_ _79381_/Q _65791_/B VGND VGND VPWR VPWR _66459_/B sky130_fd_sc_hd__nor2_2
+XFILLER_241_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51683_ _51683_/A _51683_/B VGND VGND VPWR VPWR _51683_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_270_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63669_ _63669_/A _63668_/Y VGND VGND VPWR VPWR _63670_/B sky130_fd_sc_hd__nor2_2
+XFILLER_58_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_323_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56210_ _56209_/X VGND VGND VPWR VPWR _56304_/A sky130_fd_sc_hd__buf_1
+XFILLER_53_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65408_ _65903_/A _65408_/B _65408_/C VGND VGND VPWR VPWR _65409_/C sky130_fd_sc_hd__nor3_2
+X_53422_ _53420_/Y _53421_/X VGND VGND VPWR VPWR _53422_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_126_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38356_ _38354_/X _38355_/Y VGND VGND VPWR VPWR _80396_/D sky130_fd_sc_hd__nand2_2
+X_50634_ _50634_/A _50633_/X VGND VGND VPWR VPWR _50634_/Y sky130_fd_sc_hd__nand2_2
+X_57190_ _56882_/A _57190_/B _57189_/Y VGND VGND VPWR VPWR _57190_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_368_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_243_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69176_ _69036_/A _69172_/Y _69176_/C VGND VGND VPWR VPWR _69177_/C sky130_fd_sc_hd__nor3_2
+Xclkbuf_9_456_0_u_core.clock clkbuf_9_457_0_u_core.clock/A VGND VGND VPWR VPWR _80929_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_430_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81454_ _81454_/CLK _81454_/D VGND VGND VPWR VPWR _69481_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_39_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66388_ _66707_/A _66388_/B _66388_/C VGND VGND VPWR VPWR _66389_/C sky130_fd_sc_hd__nor3_2
+XFILLER_620_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_557_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56141_ _56153_/A _45267_/B VGND VGND VPWR VPWR _56146_/B sky130_fd_sc_hd__nor2_2
+X_80405_ _80436_/CLK _80405_/D VGND VGND VPWR VPWR _65415_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_478_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68127_ _68790_/A _68122_/Y _68127_/C VGND VGND VPWR VPWR _68138_/B sky130_fd_sc_hd__nor3_2
+XFILLER_401_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53353_ _77308_/Q _53367_/B VGND VGND VPWR VPWR _53353_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65339_ _65339_/A _65339_/B _65338_/Y VGND VGND VPWR VPWR _65339_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_13_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_440_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50565_ _50565_/A _50565_/B VGND VGND VPWR VPWR _50565_/Y sky130_fd_sc_hd__nand2_2
+X_38287_ _38293_/A _38293_/B _38287_/C VGND VGND VPWR VPWR _38287_/Y sky130_fd_sc_hd__nand3_2
+X_81385_ _81385_/CLK _81385_/D VGND VGND VPWR VPWR _72614_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_397_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_126_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_104_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_224_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52304_ _52304_/A _52303_/X VGND VGND VPWR VPWR _77582_/D sky130_fd_sc_hd__nand2_2
+XFILLER_183_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_298_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68058_ _67393_/A _68054_/Y _68057_/Y VGND VGND VPWR VPWR _68058_/Y sky130_fd_sc_hd__nor3_2
+X_80336_ _80367_/CLK _38611_/Y VGND VGND VPWR VPWR _64499_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_276_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56072_ _55500_/B _56082_/B VGND VGND VPWR VPWR _56072_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_516_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_473_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53284_ _53284_/A _53283_/X VGND VGND VPWR VPWR _53284_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_148_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50496_ _50405_/X _50514_/B VGND VGND VPWR VPWR _50496_/X sky130_fd_sc_hd__or2_2
+XFILLER_526_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_672_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_573_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_456_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_343_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59900_ _59900_/A _59900_/B _59900_/C VGND VGND VPWR VPWR _59904_/B sky130_fd_sc_hd__nor3_2
+X_55023_ _54967_/A _55022_/X VGND VGND VPWR VPWR _55024_/B sky130_fd_sc_hd__or2_2
+XFILLER_178_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67009_ _80126_/Q _67009_/B VGND VGND VPWR VPWR _67011_/B sky130_fd_sc_hd__nor2_2
+XFILLER_136_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_194_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52235_ _61106_/A _52223_/X VGND VGND VPWR VPWR _52237_/A sky130_fd_sc_hd__nand2_2
+XFILLER_276_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80267_ _80238_/CLK _80267_/D VGND VGND VPWR VPWR _80267_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_147_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_371_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_136_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70020_ _70002_/B _70020_/B VGND VGND VPWR VPWR _70021_/C sky130_fd_sc_hd__or2_2
+XFILLER_699_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59831_ _58950_/X VGND VGND VPWR VPWR _59831_/X sky130_fd_sc_hd__buf_1
+XFILLER_325_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52166_ _52151_/A VGND VGND VPWR VPWR _52187_/B sky130_fd_sc_hd__buf_1
+X_40180_ _39799_/X _40186_/B VGND VGND VPWR VPWR _40182_/A sky130_fd_sc_hd__or2_2
+XPHY_22639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_552_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_162_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80198_ _80195_/CLK _39145_/Y VGND VGND VPWR VPWR _68329_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_340_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_191_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_669_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51117_ _50998_/A _51138_/B VGND VGND VPWR VPWR _51117_/X sky130_fd_sc_hd__or2_2
+XFILLER_451_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_532_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_415_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59762_ _59440_/A _59762_/B _59761_/Y VGND VGND VPWR VPWR _59762_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_85_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52097_ _52041_/A _52112_/B VGND VGND VPWR VPWR _52098_/B sky130_fd_sc_hd__or2_2
+XFILLER_330_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56974_ _56974_/A _56809_/B VGND VGND VPWR VPWR _56976_/B sky130_fd_sc_hd__nor2_2
+XPHY_21949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58713_ _56614_/A _58713_/B _58712_/Y VGND VGND VPWR VPWR _58713_/X sky130_fd_sc_hd__or3_2
+XFILLER_330_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_647_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_514_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51048_ _62016_/A _51054_/B VGND VGND VPWR VPWR _51048_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_46_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55925_ _55908_/B _55925_/B _55925_/C VGND VGND VPWR VPWR _55926_/B sky130_fd_sc_hd__and3_2
+XPHY_10714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_150_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_131_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59693_ _60334_/A _59690_/Y _59693_/C VGND VGND VPWR VPWR _59694_/C sky130_fd_sc_hd__nor3_2
+X_71971_ _71971_/A _70896_/X _71970_/Y VGND VGND VPWR VPWR _71971_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_89_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_5_25_0_u_core.clock clkbuf_4_12_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_6_50_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_10725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_249_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_330_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_1_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73710_ _73720_/A _73720_/B _66093_/A VGND VGND VPWR VPWR _73711_/B sky130_fd_sc_hd__nand3_2
+XFILLER_89_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_545_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58644_ _58636_/Y _58643_/Y VGND VGND VPWR VPWR _58644_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_289_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70922_ _70619_/X VGND VGND VPWR VPWR _71794_/B sky130_fd_sc_hd__inv_8
+XPHY_10758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_454_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_625_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43870_ _43860_/X _43868_/Y _43869_/Y VGND VGND VPWR VPWR _43870_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55856_ _79174_/Q _44921_/B _55856_/C _44961_/B VGND VGND VPWR VPWR _55857_/B sky130_fd_sc_hd__and4_2
+XFILLER_643_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74690_ _76089_/A VGND VGND VPWR VPWR _75322_/A sky130_fd_sc_hd__buf_1
+XFILLER_111_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_699_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_647_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_463_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_264_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_287_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_58_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42821_ _70823_/A _42813_/Y _42593_/A _42820_/Y VGND VGND VPWR VPWR _42821_/X sky130_fd_sc_hd__o22a_4
+XFILLER_614_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54807_ _54807_/A _54804_/B VGND VGND VPWR VPWR _54807_/X sky130_fd_sc_hd__or2_2
+XFILLER_330_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73641_ _73627_/A VGND VGND VPWR VPWR _73642_/A sky130_fd_sc_hd__buf_1
+XFILLER_292_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58575_ _58709_/A _58573_/Y _58575_/C VGND VGND VPWR VPWR _58575_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_2_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70853_ _70636_/X VGND VGND VPWR VPWR _70853_/Y sky130_fd_sc_hd__inv_8
+XFILLER_467_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55787_ _53072_/A _55790_/B VGND VGND VPWR VPWR _55787_/X sky130_fd_sc_hd__or2_2
+XPHY_6055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_18_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52999_ _53022_/B VGND VGND VPWR VPWR _53018_/B sky130_fd_sc_hd__buf_1
+XFILLER_45_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_406_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_109_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45540_ _45539_/X VGND VGND VPWR VPWR _45541_/B sky130_fd_sc_hd__buf_1
+XFILLER_206_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57526_ _66780_/A _57525_/X VGND VGND VPWR VPWR _57526_/Y sky130_fd_sc_hd__nor2_2
+X_76360_ _76371_/A _76360_/B _80459_/Q VGND VGND VPWR VPWR _76360_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_385_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42752_ _42752_/A _42751_/X VGND VGND VPWR VPWR _42752_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_565_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54738_ _54736_/Y _54737_/X VGND VGND VPWR VPWR _76939_/D sky130_fd_sc_hd__nand2_2
+XFILLER_79_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73572_ _73572_/A _73571_/Y VGND VGND VPWR VPWR _81150_/D sky130_fd_sc_hd__nand2_2
+XFILLER_27_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70784_ _70784_/A _70784_/B _70783_/Y VGND VGND VPWR VPWR _70785_/A sky130_fd_sc_hd__or3_2
+XFILLER_699_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_500 _66547_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_2_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_27_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_511 _68205_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_265_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_57_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_2718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75311_ _75296_/X _75323_/B _69289_/A VGND VGND VPWR VPWR _75312_/B sky130_fd_sc_hd__nand3_2
+XFILLER_233_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_522 _65376_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_41703_ wbs_adr_i[0] wbs_adr_i[1] VGND VGND VPWR VPWR _41703_/Y sky130_fd_sc_hd__nor2_2
+XPHY_37124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72523_ _72239_/A _72531_/B VGND VGND VPWR VPWR _72525_/A sky130_fd_sc_hd__or2_2
+XPHY_4642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_144_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45471_ _45471_/A _45471_/B _45470_/Y VGND VGND VPWR VPWR _45471_/Y sky130_fd_sc_hd__nor3_2
+XANTENNA_533 _67195_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_37135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57457_ _57135_/A _57455_/Y _57456_/Y VGND VGND VPWR VPWR _57457_/Y sky130_fd_sc_hd__nor3_2
+X_76291_ _76291_/A _76291_/B _80477_/Q VGND VGND VPWR VPWR _76292_/B sky130_fd_sc_hd__nand3_2
+XPHY_5398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42683_ _42459_/A VGND VGND VPWR VPWR _42683_/X sky130_fd_sc_hd__buf_1
+XFILLER_568_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54669_ _54064_/X VGND VGND VPWR VPWR _54791_/A sky130_fd_sc_hd__buf_1
+XANTENNA_544 _68506_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_555 ANTENNA_555/DIODE VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_18_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47210_ _47103_/X VGND VGND VPWR VPWR _47211_/B sky130_fd_sc_hd__inv_8
+XFILLER_26_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78030_ _78046_/CLK _78030_/D VGND VGND VPWR VPWR _61875_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44422_ _44332_/Y _44421_/Y VGND VGND VPWR VPWR _44422_/Y sky130_fd_sc_hd__nor2_2
+XPHY_4686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56408_ _56492_/A _56396_/Y _56408_/C VGND VGND VPWR VPWR _56408_/Y sky130_fd_sc_hd__nor3_2
+X_75242_ _75242_/A VGND VGND VPWR VPWR _75247_/A sky130_fd_sc_hd__buf_1
+XFILLER_204_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41634_ wb_rst_i _41634_/B VGND VGND VPWR VPWR _41634_/X sky130_fd_sc_hd__or2_2
+XFILLER_92_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48190_ _48190_/A _48196_/B VGND VGND VPWR VPWR _48192_/A sky130_fd_sc_hd__nand2_2
+XPHY_3952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72454_ _72457_/A _72457_/B _64927_/A VGND VGND VPWR VPWR _72454_/Y sky130_fd_sc_hd__nand3_2
+XPHY_4697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57388_ _79996_/Q _57471_/B VGND VGND VPWR VPWR _57388_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_105_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_186_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_709_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47141_ _47126_/X VGND VGND VPWR VPWR _47146_/A sky130_fd_sc_hd__inv_8
+XFILLER_201_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59127_ _59390_/A _59127_/B _59126_/Y VGND VGND VPWR VPWR _59128_/C sky130_fd_sc_hd__nor3_2
+X_71405_ _43822_/A VGND VGND VPWR VPWR _42922_/A sky130_fd_sc_hd__buf_1
+XFILLER_619_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_183_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44353_ _79214_/Q VGND VGND VPWR VPWR _44353_/Y sky130_fd_sc_hd__inv_8
+XPHY_25210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56339_ _56239_/Y VGND VGND VPWR VPWR _58281_/A sky130_fd_sc_hd__buf_1
+XPHY_3996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75173_ _75166_/X _75159_/X _75173_/C VGND VGND VPWR VPWR _75173_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_478_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41565_ _41065_/X _41564_/X VGND VGND VPWR VPWR _41565_/X sky130_fd_sc_hd__or2_2
+XPHY_36489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72385_ _72382_/A _72370_/X _68093_/A VGND VGND VPWR VPWR _72386_/B sky130_fd_sc_hd__nand3_2
+XFILLER_347_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_717_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43304_ _43266_/X VGND VGND VPWR VPWR _43319_/B sky130_fd_sc_hd__buf_1
+XFILLER_671_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74124_ _74137_/A _74137_/B _74124_/C VGND VGND VPWR VPWR _74125_/B sky130_fd_sc_hd__nand3_2
+XPHY_35777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_375_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40516_ _40527_/A _40369_/B _79855_/Q VGND VGND VPWR VPWR _40517_/B sky130_fd_sc_hd__nand3_2
+XFILLER_508_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71336_ _71398_/A _71336_/B _71336_/C VGND VGND VPWR VPWR _71336_/X sky130_fd_sc_hd__or3_2
+XFILLER_146_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47072_ _70763_/X _47072_/B VGND VGND VPWR VPWR _47073_/C sky130_fd_sc_hd__and2_2
+X_59058_ _58884_/X VGND VGND VPWR VPWR _59684_/A sky130_fd_sc_hd__buf_1
+XFILLER_636_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44284_ _44268_/A _44284_/B _44283_/Y VGND VGND VPWR VPWR _44285_/C sky130_fd_sc_hd__nor3_2
+XFILLER_278_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79981_ _79947_/CLK _79981_/D VGND VGND VPWR VPWR _79981_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_328_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41496_ _41256_/A _41496_/B VGND VGND VPWR VPWR _41496_/X sky130_fd_sc_hd__or2_2
+XFILLER_90_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_538_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_103_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46023_ _46023_/A _46023_/B VGND VGND VPWR VPWR _46024_/B sky130_fd_sc_hd__nor2_2
+XFILLER_173_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58009_ _56294_/A VGND VGND VPWR VPWR _58336_/A sky130_fd_sc_hd__buf_1
+XFILLER_590_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43235_ _79019_/Q _43217_/A VGND VGND VPWR VPWR _43235_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_126_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74055_ _74205_/A _74045_/B VGND VGND VPWR VPWR _74055_/X sky130_fd_sc_hd__or2_2
+XFILLER_255_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78932_ _78935_/CLK _46919_/Y VGND VGND VPWR VPWR _71356_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_550_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40447_ _40337_/A VGND VGND VPWR VPWR _40538_/A sky130_fd_sc_hd__buf_1
+XFILLER_504_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_474_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1283 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71267_ _71262_/X _71267_/B VGND VGND VPWR VPWR _71267_/Y sky130_fd_sc_hd__nand2_2
+XPHY_14041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_182_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_376_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_154_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61020_ _77792_/Q _61485_/B VGND VGND VPWR VPWR _61020_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_276_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73006_ _71187_/B _73002_/B VGND VGND VPWR VPWR _73007_/B sky130_fd_sc_hd__or2_2
+XFILLER_491_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70218_ _70102_/X _70215_/X _70112_/X _70217_/Y VGND VGND VPWR VPWR _70218_/X sky130_fd_sc_hd__o22a_4
+XFILLER_554_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43166_ _43166_/A VGND VGND VPWR VPWR _45250_/A sky130_fd_sc_hd__buf_1
+XPHY_13340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78863_ _78405_/CLK _47344_/Y VGND VGND VPWR VPWR _63373_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_14085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40378_ _40375_/X _40377_/Y VGND VGND VPWR VPWR _79885_/D sky130_fd_sc_hd__nand2_2
+XPHY_23874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71198_ _71198_/A _71198_/B VGND VGND VPWR VPWR _71198_/Y sky130_fd_sc_hd__nor2_2
+XPHY_13351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_370_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_632_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42117_ _42117_/A VGND VGND VPWR VPWR _42118_/B sky130_fd_sc_hd__buf_1
+X_77814_ _77814_/CLK _51434_/Y VGND VGND VPWR VPWR _60721_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_413_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_330_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_177_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70149_ _69893_/X VGND VGND VPWR VPWR _70226_/A sky130_fd_sc_hd__buf_1
+XFILLER_515_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47974_ _47817_/A VGND VGND VPWR VPWR _48014_/A sky130_fd_sc_hd__buf_1
+X_43097_ _43097_/A _46120_/B VGND VGND VPWR VPWR _43097_/X sky130_fd_sc_hd__or2_2
+XFILLER_677_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78794_ _78794_/CLK _78794_/D VGND VGND VPWR VPWR _63778_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_687_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_153_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_415_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_649_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_665_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49713_ _62721_/A _49708_/X VGND VGND VPWR VPWR _49715_/A sky130_fd_sc_hd__nand2_2
+XFILLER_296_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_313_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46925_ _70309_/X _46925_/B VGND VGND VPWR VPWR _46926_/C sky130_fd_sc_hd__and2_2
+X_42048_ _42048_/A VGND VGND VPWR VPWR _43666_/A sky130_fd_sc_hd__buf_1
+XPHY_12683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77745_ _77741_/CLK _77745_/D VGND VGND VPWR VPWR _51688_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_218_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_190_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_430_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62971_ _78525_/Q _63581_/B VGND VGND VPWR VPWR _62971_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_692_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74957_ _75031_/A VGND VGND VPWR VPWR _74958_/B sky130_fd_sc_hd__buf_1
+XFILLER_270_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_645_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64710_ _65392_/A _64710_/B _64710_/C VGND VGND VPWR VPWR _64718_/B sky130_fd_sc_hd__nor3_2
+XPHY_30084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_485_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61922_ _78134_/Q _62081_/B VGND VGND VPWR VPWR _61924_/B sky130_fd_sc_hd__nor2_2
+X_73908_ _73898_/A _73894_/B _68070_/A VGND VGND VPWR VPWR _73909_/B sky130_fd_sc_hd__nand3_2
+X_49644_ _49677_/B VGND VGND VPWR VPWR _49644_/X sky130_fd_sc_hd__buf_1
+XFILLER_68_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_446_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46856_ _71112_/A _46878_/B VGND VGND VPWR VPWR _46858_/B sky130_fd_sc_hd__nor2_2
+XFILLER_646_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77676_ _77613_/CLK _77676_/D VGND VGND VPWR VPWR _77676_/Q sky130_fd_sc_hd__dfxtp_4
+X_65690_ _65690_/A _66187_/B VGND VGND VPWR VPWR _65690_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_604_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74888_ _74878_/A _74882_/B _74888_/C VGND VGND VPWR VPWR _74889_/B sky130_fd_sc_hd__nand3_2
+XFILLER_228_429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_67_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_633_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79415_ _80046_/CLK _42990_/Y VGND VGND VPWR VPWR _64969_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_83_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_665_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_329_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_23_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45807_ _45807_/A _55870_/A VGND VGND VPWR VPWR _45807_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_608_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64641_ _67494_/A VGND VGND VPWR VPWR _64641_/X sky130_fd_sc_hd__buf_1
+X_76627_ VGND VGND VPWR VPWR _76627_/HI la_data_out[123] sky130_fd_sc_hd__conb_1
+XFILLER_444_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_424_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61853_ _61853_/A _61852_/X VGND VGND VPWR VPWR _61853_/Y sky130_fd_sc_hd__nor2_2
+X_49575_ _49573_/Y _49574_/X VGND VGND VPWR VPWR _78304_/D sky130_fd_sc_hd__nand2_2
+X_73839_ _73877_/A VGND VGND VPWR VPWR _73839_/X sky130_fd_sc_hd__buf_1
+XFILLER_471_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46787_ _46771_/A VGND VGND VPWR VPWR _46787_/X sky130_fd_sc_hd__buf_1
+XFILLER_472_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_95_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43999_ _44132_/A VGND VGND VPWR VPWR _44000_/B sky130_fd_sc_hd__buf_1
+XFILLER_114_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_561_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_48_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_82_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60804_ _77711_/Q _60804_/B VGND VGND VPWR VPWR _60807_/B sky130_fd_sc_hd__nor2_2
+XFILLER_341_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48526_ _48586_/A _48523_/B VGND VGND VPWR VPWR _48526_/X sky130_fd_sc_hd__or2_2
+XFILLER_698_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67360_ _67360_/A _66875_/B VGND VGND VPWR VPWR _67360_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_580_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79346_ _76664_/CLK _43450_/Y VGND VGND VPWR VPWR _43449_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_94_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45738_ _45738_/A _45738_/B _45738_/C VGND VGND VPWR VPWR _45738_/Y sky130_fd_sc_hd__nor3_2
+XPHY_39060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64572_ _64572_/A _69366_/B VGND VGND VPWR VPWR _64572_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_348_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76558_ VGND VGND VPWR VPWR _76558_/HI la_data_out[54] sky130_fd_sc_hd__conb_1
+XFILLER_721_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61784_ _61472_/A _61784_/B _61784_/C VGND VGND VPWR VPWR _61784_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_127_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_364_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66311_ _57298_/A _66469_/B VGND VGND VPWR VPWR _66312_/C sky130_fd_sc_hd__nor2_2
+XPHY_39093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63523_ _63518_/X _63520_/Y _63522_/Y VGND VGND VPWR VPWR _63523_/Y sky130_fd_sc_hd__nor3_2
+X_75509_ _75513_/A _75503_/B _80670_/Q VGND VGND VPWR VPWR _75509_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_596_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_149_1446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60735_ _60735_/A _60426_/X VGND VGND VPWR VPWR _60735_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_263_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48457_ _48453_/X VGND VGND VPWR VPWR _48485_/B sky130_fd_sc_hd__buf_1
+XFILLER_283_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_75_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67291_ _67291_/A _67787_/B VGND VGND VPWR VPWR _67291_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_702_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79277_ _81446_/CLK _43952_/Y VGND VGND VPWR VPWR _43944_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_55_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45669_ _45666_/X _45668_/X VGND VGND VPWR VPWR _45669_/X sky130_fd_sc_hd__and2_2
+XPHY_38370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76489_ VGND VGND VPWR VPWR _76489_/HI io_out[11] sky130_fd_sc_hd__conb_1
+XFILLER_1_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_91_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_3_4_1_u_core.clock clkbuf_3_4_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_3_4_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_225_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38210_ _38210_/A _38206_/B _80435_/Q VGND VGND VPWR VPWR _38210_/Y sky130_fd_sc_hd__nand3_2
+XPHY_38392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69030_ _69030_/A _68709_/B VGND VGND VPWR VPWR _69030_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_413_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47408_ _63369_/A _47414_/B VGND VGND VPWR VPWR _47410_/A sky130_fd_sc_hd__nand2_2
+X_66242_ _66242_/A _66565_/B VGND VGND VPWR VPWR _66243_/C sky130_fd_sc_hd__nor2_2
+XFILLER_574_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78228_ _78699_/CLK _49861_/Y VGND VGND VPWR VPWR _62846_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_522_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39190_ _39190_/A _39189_/X VGND VGND VPWR VPWR _39190_/X sky130_fd_sc_hd__or2_2
+XFILLER_264_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_36_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63454_ _59122_/A VGND VGND VPWR VPWR _63454_/X sky130_fd_sc_hd__buf_1
+XFILLER_385_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_601_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60666_ _60352_/A _60663_/Y _60665_/Y VGND VGND VPWR VPWR _60670_/B sky130_fd_sc_hd__nor3_2
+XFILLER_457_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48388_ _48417_/A _48375_/X VGND VGND VPWR VPWR _48388_/X sky130_fd_sc_hd__or2_2
+XFILLER_211_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_264_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_539_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_127_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62405_ _62253_/A _62394_/Y _62405_/C VGND VGND VPWR VPWR _62405_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_105_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47339_ _42240_/Y _47337_/X _42243_/A _47338_/X VGND VGND VPWR VPWR _47522_/A sky130_fd_sc_hd__o22a_4
+XFILLER_527_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66173_ _64070_/X VGND VGND VPWR VPWR _66832_/A sky130_fd_sc_hd__buf_1
+XFILLER_578_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78159_ _78708_/CLK _78159_/D VGND VGND VPWR VPWR _62089_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_320_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_3_7_0_u_core.clock clkbuf_3_7_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_3_7_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_63385_ _63385_/A _63384_/Y VGND VGND VPWR VPWR _63386_/B sky130_fd_sc_hd__nor2_2
+XFILLER_377_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60597_ _77590_/Q _60442_/B VGND VGND VPWR VPWR _60597_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_32_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65124_ _64464_/A VGND VGND VPWR VPWR _65124_/X sky130_fd_sc_hd__buf_1
+XFILLER_143_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50350_ _50256_/A _50350_/B VGND VGND VPWR VPWR _50350_/X sky130_fd_sc_hd__or2_2
+XFILLER_108_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_88_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62336_ _62336_/A _62034_/X VGND VGND VPWR VPWR _62336_/Y sky130_fd_sc_hd__nor2_2
+X_81170_ _81476_/CLK _73493_/Y VGND VGND VPWR VPWR _81170_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_20_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_140_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_555_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_130_0_u_core.clock clkbuf_8_65_0_u_core.clock/X VGND VGND VPWR VPWR _78317_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_179_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49009_ _49007_/Y _49009_/B VGND VGND VPWR VPWR _78446_/D sky130_fd_sc_hd__nand2_2
+XFILLER_30_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80121_ _80121_/CLK _39457_/Y VGND VGND VPWR VPWR _57167_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_176_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65055_ _65055_/A _65406_/B VGND VGND VPWR VPWR _65057_/B sky130_fd_sc_hd__nor2_2
+X_69932_ _70081_/A _69931_/Y VGND VGND VPWR VPWR _70075_/A sky130_fd_sc_hd__or2_2
+XFILLER_394_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50281_ _50281_/A _50240_/A VGND VGND VPWR VPWR _50281_/X sky130_fd_sc_hd__or2_2
+XFILLER_379_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62267_ _62267_/A _62114_/B VGND VGND VPWR VPWR _62267_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_470_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_650_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52020_ _52017_/Y _52019_/X VGND VGND VPWR VPWR _52020_/Y sky130_fd_sc_hd__nand2_2
+X_64006_ _63613_/A _64002_/Y _64006_/C VGND VGND VPWR VPWR _64014_/B sky130_fd_sc_hd__nor3_2
+XFILLER_470_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_435_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61218_ _58931_/X VGND VGND VPWR VPWR _61218_/X sky130_fd_sc_hd__buf_1
+X_80052_ _80101_/CLK _80052_/D VGND VGND VPWR VPWR _39714_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_668_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69863_ _69862_/X VGND VGND VPWR VPWR _71309_/A sky130_fd_sc_hd__buf_1
+XFILLER_631_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_353_3089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62198_ _61708_/X _62187_/Y _62197_/Y VGND VGND VPWR VPWR _62214_/A sky130_fd_sc_hd__nor3_2
+XFILLER_156_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_572_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_216_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68814_ _58439_/A _68311_/B VGND VGND VPWR VPWR _68814_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_278_307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61149_ _77657_/Q _60837_/B VGND VGND VPWR VPWR _61150_/C sky130_fd_sc_hd__nor2_2
+XFILLER_416_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38974_ _38609_/A _38968_/B VGND VGND VPWR VPWR _38977_/A sky130_fd_sc_hd__or2_2
+XFILLER_321_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_232_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69794_ _70299_/B VGND VGND VPWR VPWR _70182_/B sky130_fd_sc_hd__buf_1
+XFILLER_522_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_138_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_246_0_u_core.clock clkbuf_9_247_0_u_core.clock/A VGND VGND VPWR VPWR _79635_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_29_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_271_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_63_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_687_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_173_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68745_ _65251_/A VGND VGND VPWR VPWR _69199_/B sky130_fd_sc_hd__buf_1
+XFILLER_321_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_113_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53971_ _53942_/A _53965_/B VGND VGND VPWR VPWR _53971_/X sky130_fd_sc_hd__or2_2
+XFILLER_271_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_667_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65957_ _43449_/C _65793_/B VGND VGND VPWR VPWR _65958_/C sky130_fd_sc_hd__nor2_2
+XFILLER_116_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_28_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_302_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_486_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55710_ _55710_/A _55709_/X VGND VGND VPWR VPWR _55710_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_60_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_284_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64908_ _64752_/A _64908_/B _64907_/Y VGND VGND VPWR VPWR _64916_/B sky130_fd_sc_hd__nor3_2
+X_52922_ _53010_/A _52930_/B VGND VGND VPWR VPWR _52923_/B sky130_fd_sc_hd__or2_2
+XFILLER_331_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_408_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_113_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_86_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56690_ _38845_/C _56772_/B VGND VGND VPWR VPWR _56690_/Y sky130_fd_sc_hd__nor2_2
+X_80954_ _80884_/CLK _74359_/Y VGND VGND VPWR VPWR _74358_/C sky130_fd_sc_hd__dfxtp_4
+X_68676_ _68676_/A _69002_/B VGND VGND VPWR VPWR _68843_/A sky130_fd_sc_hd__nor2_2
+XFILLER_259_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_483_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_60_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65888_ _66066_/A _65886_/Y _65888_/C VGND VGND VPWR VPWR _65892_/B sky130_fd_sc_hd__nor3_2
+XFILLER_566_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_609_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_364_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_3064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_288_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55641_ _55525_/X _55641_/B VGND VGND VPWR VPWR _55641_/Y sky130_fd_sc_hd__nor2_2
+X_67627_ _80962_/Q _68281_/B VGND VGND VPWR VPWR _67630_/B sky130_fd_sc_hd__nor2_2
+XFILLER_708_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_609_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52853_ _77435_/Q _52863_/B VGND VGND VPWR VPWR _52853_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_563_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64839_ _80273_/Q _64839_/B VGND VGND VPWR VPWR _64843_/B sky130_fd_sc_hd__nor2_2
+XFILLER_464_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80885_ _80969_/CLK _80885_/D VGND VGND VPWR VPWR _80885_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_720_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_27_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_41_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_651_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51804_ _51804_/A _51811_/B VGND VGND VPWR VPWR _51807_/A sky130_fd_sc_hd__nand2_2
+X_39526_ _39524_/X _39525_/Y VGND VGND VPWR VPWR _80103_/D sky130_fd_sc_hd__nand2_2
+XFILLER_251_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58360_ _58036_/X _58356_/Y _58359_/Y VGND VGND VPWR VPWR _58360_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_210_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_562_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67558_ _67393_/A _67554_/Y _67558_/C VGND VGND VPWR VPWR _67558_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_589_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55572_ _55572_/A _55571_/X VGND VGND VPWR VPWR _55696_/B sky130_fd_sc_hd__nand2_2
+XFILLER_284_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52784_ _52784_/A VGND VGND VPWR VPWR _52842_/A sky130_fd_sc_hd__buf_1
+XFILLER_64_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_386_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_167_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_423_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57311_ _57386_/A _57311_/B _57311_/C VGND VGND VPWR VPWR _57311_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_405_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54523_ _54519_/Y _54523_/B VGND VGND VPWR VPWR _76996_/D sky130_fd_sc_hd__nand2_2
+X_66509_ _66509_/A _66509_/B _66508_/Y VGND VGND VPWR VPWR _66526_/A sky130_fd_sc_hd__nor3_2
+XFILLER_604_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51735_ _77733_/Q _51712_/B VGND VGND VPWR VPWR _51738_/A sky130_fd_sc_hd__nand2_2
+X_39457_ _39455_/X _39457_/B VGND VGND VPWR VPWR _39457_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_27_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58291_ _57974_/A _58289_/Y _58290_/Y VGND VGND VPWR VPWR _58295_/B sky130_fd_sc_hd__nor3_2
+XFILLER_407_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_505_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67489_ _64370_/X VGND VGND VPWR VPWR _67825_/B sky130_fd_sc_hd__buf_1
+XFILLER_55_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_677_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_3024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_282_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38408_ _38408_/A _38407_/Y VGND VGND VPWR VPWR _80383_/D sky130_fd_sc_hd__nand2_2
+XFILLER_303_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57242_ _66345_/A _57557_/B VGND VGND VPWR VPWR _57242_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_221_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69228_ _69228_/A _69366_/B VGND VGND VPWR VPWR _69228_/Y sky130_fd_sc_hd__nor2_2
+X_81506_ _81499_/CLK _71479_/Y VGND VGND VPWR VPWR _67624_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_2503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54454_ _77014_/Q _54454_/B VGND VGND VPWR VPWR _54454_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_306_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_14_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51666_ _51580_/A _51656_/X VGND VGND VPWR VPWR _51667_/B sky130_fd_sc_hd__or2_2
+XPHY_2514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39388_ _39397_/A VGND VGND VPWR VPWR _39426_/A sky130_fd_sc_hd__buf_1
+XFILLER_442_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_475_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53405_ _53463_/A _53405_/B VGND VGND VPWR VPWR _53405_/X sky130_fd_sc_hd__or2_2
+XFILLER_694_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50617_ _50645_/A _50635_/B VGND VGND VPWR VPWR _50618_/B sky130_fd_sc_hd__or2_2
+X_38339_ _38338_/X _38225_/X _80400_/Q VGND VGND VPWR VPWR _38339_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_356_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69159_ _69159_/A _69019_/B VGND VGND VPWR VPWR _69159_/Y sky130_fd_sc_hd__nor2_2
+X_57173_ _57173_/A VGND VGND VPWR VPWR _57412_/A sky130_fd_sc_hd__buf_1
+X_81437_ _81398_/CLK _81437_/D VGND VGND VPWR VPWR _81437_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_35_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54385_ _54683_/A VGND VGND VPWR VPWR _54474_/A sky130_fd_sc_hd__buf_1
+XFILLER_718_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_573_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51597_ _51563_/A _51615_/B VGND VGND VPWR VPWR _51598_/B sky130_fd_sc_hd__or2_2
+XPHY_2569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_659_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_577_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_555_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_211_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56124_ _56144_/A _56124_/B VGND VGND VPWR VPWR _56125_/B sky130_fd_sc_hd__nor2_2
+X_41350_ _41348_/X _41349_/Y VGND VGND VPWR VPWR _79643_/D sky130_fd_sc_hd__nand2_2
+XFILLER_655_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53336_ _53336_/A _53341_/B VGND VGND VPWR VPWR _53340_/A sky130_fd_sc_hd__nand2_2
+XFILLER_225_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_637_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72170_ _72170_/A _72331_/B VGND VGND VPWR VPWR _72172_/A sky130_fd_sc_hd__or2_2
+XFILLER_278_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_329_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50548_ _62816_/A _50548_/B VGND VGND VPWR VPWR _50548_/Y sky130_fd_sc_hd__nand2_2
+XPHY_33605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81368_ _81305_/CLK _72675_/Y VGND VGND VPWR VPWR _65949_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_52_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40301_ _40314_/A _40301_/B _67463_/A VGND VGND VPWR VPWR _40302_/B sky130_fd_sc_hd__nand3_2
+XFILLER_336_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_590_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71121_ _71073_/A _71073_/B VGND VGND VPWR VPWR _71121_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_572_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_393_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56055_ _56051_/X _56055_/B VGND VGND VPWR VPWR _56055_/X sky130_fd_sc_hd__and2_2
+XFILLER_592_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80319_ _80321_/CLK _80319_/D VGND VGND VPWR VPWR _80319_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_23115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53267_ _53265_/Y _53266_/X VGND VGND VPWR VPWR _77328_/D sky130_fd_sc_hd__nand2_2
+X_41281_ _41281_/A _41281_/B VGND VGND VPWR VPWR _41281_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_197_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_13_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50479_ _50479_/A _50479_/B VGND VGND VPWR VPWR _78064_/D sky130_fd_sc_hd__nand2_2
+XFILLER_193_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81299_ _81301_/CLK _72962_/Y VGND VGND VPWR VPWR _81299_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_136_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_325_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_633_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_633_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55006_ _55006_/A _55006_/B VGND VGND VPWR VPWR _76865_/D sky130_fd_sc_hd__nand2_2
+X_43020_ _43025_/A _43026_/A VGND VGND VPWR VPWR _43020_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_178_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_69_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40232_ _40232_/A _40231_/Y VGND VGND VPWR VPWR _79923_/D sky130_fd_sc_hd__nand2_2
+XFILLER_332_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52218_ _52218_/A _52217_/X VGND VGND VPWR VPWR _52218_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_129_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71052_ _71051_/X VGND VGND VPWR VPWR _71053_/A sky130_fd_sc_hd__buf_1
+XFILLER_324_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_319_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_139_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53198_ _53198_/A _53197_/X VGND VGND VPWR VPWR _77348_/D sky130_fd_sc_hd__nand2_2
+XFILLER_354_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_703_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_434_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_393_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_371_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_371_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70003_ _79472_/Q VGND VGND VPWR VPWR _70325_/A sky130_fd_sc_hd__inv_8
+XFILLER_631_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59814_ _59964_/A _59814_/B _59813_/Y VGND VGND VPWR VPWR _59815_/B sky130_fd_sc_hd__nor3_2
+XFILLER_139_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_1528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40163_ _40150_/X _40152_/X _79942_/Q VGND VGND VPWR VPWR _40163_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_332_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52149_ _52147_/Y _52149_/B VGND VGND VPWR VPWR _77621_/D sky130_fd_sc_hd__nand2_2
+XPHY_21724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75860_ _75858_/X _75860_/B VGND VGND VPWR VPWR _75860_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_666_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74811_ _74811_/A _74668_/X VGND VGND VPWR VPWR _74811_/X sky130_fd_sc_hd__or2_2
+XFILLER_111_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_649_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59745_ _59577_/A _59745_/B _59744_/Y VGND VGND VPWR VPWR _59745_/Y sky130_fd_sc_hd__nor3_2
+XPHY_21768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_674_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40094_ _40094_/A _40087_/B _66003_/A VGND VGND VPWR VPWR _40094_/Y sky130_fd_sc_hd__nand3_2
+X_44971_ _45497_/A VGND VGND VPWR VPWR _45457_/B sky130_fd_sc_hd__buf_1
+X_75791_ _75716_/X VGND VGND VPWR VPWR _75803_/A sky130_fd_sc_hd__buf_1
+X_56957_ _56882_/A _56957_/B _56957_/C VGND VGND VPWR VPWR _56957_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_314_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_10511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_289_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_104_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_43_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46710_ _46138_/B _46710_/B VGND VGND VPWR VPWR _46711_/B sky130_fd_sc_hd__or2_2
+XFILLER_134_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77530_ _77528_/CLK _77530_/D VGND VGND VPWR VPWR _61251_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43922_ _43922_/A _43930_/A VGND VGND VPWR VPWR _43923_/B sky130_fd_sc_hd__nor2_2
+XFILLER_238_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_3085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55908_ _55896_/A _55908_/B _69750_/Y _69805_/Y VGND VGND VPWR VPWR _55909_/C sky130_fd_sc_hd__nor4_2
+X_74742_ _75241_/A _74747_/B VGND VGND VPWR VPWR _74742_/X sky130_fd_sc_hd__or2_2
+XFILLER_564_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47690_ _47850_/A VGND VGND VPWR VPWR _47753_/A sky130_fd_sc_hd__buf_1
+XFILLER_628_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59676_ _59676_/A VGND VGND VPWR VPWR _59679_/A sky130_fd_sc_hd__buf_1
+XFILLER_46_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71954_ _46056_/A _42451_/A VGND VGND VPWR VPWR _71954_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_666_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_289_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_130_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56888_ _56888_/A _56888_/B _56887_/Y VGND VGND VPWR VPWR _56888_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_510_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_387_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_93_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_185_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_20_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46641_ _46637_/Y _46641_/B _46641_/C _46640_/Y VGND VGND VPWR VPWR _46641_/X sky130_fd_sc_hd__or4_2
+XPHY_10588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58627_ _58560_/A _58627_/B _58626_/Y VGND VGND VPWR VPWR _58628_/B sky130_fd_sc_hd__nor3_2
+XFILLER_293_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70905_ _70351_/X VGND VGND VPWR VPWR _70905_/Y sky130_fd_sc_hd__inv_8
+X_77461_ _77438_/CLK _52754_/Y VGND VGND VPWR VPWR _60422_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_93_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43853_ _72893_/A _43891_/B VGND VGND VPWR VPWR _43854_/C sky130_fd_sc_hd__nor2_2
+XFILLER_453_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55839_ _76761_/Q _55829_/B VGND VGND VPWR VPWR _55839_/Y sky130_fd_sc_hd__nand2_2
+X_74673_ _75189_/A _74680_/B VGND VGND VPWR VPWR _74673_/X sky130_fd_sc_hd__or2_2
+XPHY_10599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_670_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71885_ _71144_/X _71873_/X _71153_/X _42756_/B VGND VGND VPWR VPWR _71886_/A sky130_fd_sc_hd__o22a_4
+XFILLER_213_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_213_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79200_ _79201_/CLK _79200_/D VGND VGND VPWR VPWR _44645_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_58_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76412_ _76419_/A _76419_/B _66733_/A VGND VGND VPWR VPWR _76413_/B sky130_fd_sc_hd__nand3_2
+XFILLER_111_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_161_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42804_ _42654_/X _42803_/X _70806_/X _42480_/X VGND VGND VPWR VPWR _42804_/X sky130_fd_sc_hd__o22a_4
+X_73624_ _73622_/X _73623_/Y VGND VGND VPWR VPWR _73624_/Y sky130_fd_sc_hd__nand2_2
+X_49360_ _49354_/A _49359_/X VGND VGND VPWR VPWR _49360_/X sky130_fd_sc_hd__or2_2
+XFILLER_219_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70836_ _70766_/A _70765_/X _71964_/A VGND VGND VPWR VPWR _70836_/X sky130_fd_sc_hd__o21a_4
+X_46572_ _79000_/Q _46587_/B VGND VGND VPWR VPWR _46572_/Y sky130_fd_sc_hd__nor2_2
+X_58558_ _58558_/A _58236_/X VGND VGND VPWR VPWR _58558_/Y sky130_fd_sc_hd__nor2_2
+X_77392_ _77755_/CLK _53014_/Y VGND VGND VPWR VPWR _77392_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_624_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43784_ _43769_/B _43784_/B VGND VGND VPWR VPWR _43790_/B sky130_fd_sc_hd__nor2_2
+XPHY_5140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40996_ _40996_/A _40996_/B VGND VGND VPWR VPWR _40996_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_292_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_226_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_723_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48311_ _48408_/A _48316_/B VGND VGND VPWR VPWR _48311_/X sky130_fd_sc_hd__or2_2
+XPHY_19542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_523_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79131_ _79194_/CLK _45581_/Y VGND VGND VPWR VPWR _42584_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_450_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45523_ _45460_/A _45523_/B _45513_/C VGND VGND VPWR VPWR _45523_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_462_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57509_ _57281_/A _57509_/B _57508_/Y VGND VGND VPWR VPWR _57509_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_425_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76343_ _76343_/A _75827_/B VGND VGND VPWR VPWR _76366_/A sky130_fd_sc_hd__or2_2
+XPHY_19553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42735_ _69997_/A _42704_/B VGND VGND VPWR VPWR _42745_/B sky130_fd_sc_hd__nor2_2
+XFILLER_205_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49291_ _49233_/A _49291_/B VGND VGND VPWR VPWR _49292_/B sky130_fd_sc_hd__or2_2
+XFILLER_166_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_701_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73555_ _73796_/A _73545_/B VGND VGND VPWR VPWR _73558_/A sky130_fd_sc_hd__or2_2
+XFILLER_441_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_422_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_308_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58489_ _68769_/A _58564_/B VGND VGND VPWR VPWR _58489_/Y sky130_fd_sc_hd__nor2_2
+X_70767_ _69995_/C VGND VGND VPWR VPWR _70772_/A sky130_fd_sc_hd__buf_1
+XFILLER_501_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_330 _48330_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_343_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_341 _51145_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_144_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_352 _51164_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_166_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60520_ _52090_/A _60673_/B VGND VGND VPWR VPWR _60520_/Y sky130_fd_sc_hd__nor2_2
+X_48242_ _48242_/A _48230_/X VGND VGND VPWR VPWR _48244_/A sky130_fd_sc_hd__nand2_2
+XFILLER_395_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72506_ _72504_/X _72506_/B VGND VGND VPWR VPWR _72506_/Y sky130_fd_sc_hd__nand2_2
+XPHY_18852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79062_ _79057_/CLK _79062_/D VGND VGND VPWR VPWR _45943_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_677_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_363 _50852_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_127_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45454_ _45444_/A _45125_/B _45449_/C VGND VGND VPWR VPWR _45454_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76274_ _76272_/X _76273_/Y VGND VGND VPWR VPWR _76274_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42666_ _42654_/X _42665_/X _70496_/Y _42480_/X VGND VGND VPWR VPWR _42666_/X sky130_fd_sc_hd__o22a_4
+XFILLER_233_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_523_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_374 _53059_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_73486_ _73486_/A _73486_/B VGND VGND VPWR VPWR _73486_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_226_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70698_ _70696_/Y _70697_/X VGND VGND VPWR VPWR _70699_/A sky130_fd_sc_hd__or2_2
+XFILLER_673_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_385 _52772_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_504_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_396 _54935_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_18_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78013_ _77863_/CLK _50665_/Y VGND VGND VPWR VPWR _61693_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_537_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44405_ _44285_/A _44398_/Y _44404_/X VGND VGND VPWR VPWR _79236_/D sky130_fd_sc_hd__nor3_2
+XFILLER_304_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75225_ _75243_/A VGND VGND VPWR VPWR _75225_/X sky130_fd_sc_hd__buf_1
+XFILLER_501_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41617_ _41615_/X _41617_/B VGND VGND VPWR VPWR _41617_/Y sky130_fd_sc_hd__nand2_2
+XPHY_36264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48173_ _48173_/A _48172_/X VGND VGND VPWR VPWR _48176_/A sky130_fd_sc_hd__nand2_2
+X_72437_ _72433_/A _72429_/B _65778_/A VGND VGND VPWR VPWR _72437_/Y sky130_fd_sc_hd__nand3_2
+X_60451_ _59105_/X VGND VGND VPWR VPWR _60452_/B sky130_fd_sc_hd__buf_1
+XPHY_3782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45385_ _45385_/A VGND VGND VPWR VPWR _45385_/Y sky130_fd_sc_hd__inv_8
+XFILLER_204_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42597_ _79473_/Q _42607_/B VGND VGND VPWR VPWR _42597_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_638_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_634_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47124_ _46334_/Y _47123_/X VGND VGND VPWR VPWR _47124_/X sky130_fd_sc_hd__or2_2
+XFILLER_619_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44336_ _44500_/A VGND VGND VPWR VPWR _44493_/A sky130_fd_sc_hd__inv_8
+XFILLER_278_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_141_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63170_ _78646_/Q _63169_/X VGND VGND VPWR VPWR _63170_/Y sky130_fd_sc_hd__nor2_2
+XPHY_25040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75156_ _75149_/A _75145_/B _80758_/Q VGND VGND VPWR VPWR _75156_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_207_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41548_ _41528_/X VGND VGND VPWR VPWR _41548_/X sky130_fd_sc_hd__buf_1
+XFILLER_35_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60382_ _60227_/A _60382_/B _60381_/Y VGND VGND VPWR VPWR _60383_/C sky130_fd_sc_hd__nor3_2
+XFILLER_493_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72368_ _72188_/A _72362_/B VGND VGND VPWR VPWR _72372_/A sky130_fd_sc_hd__or2_2
+XPHY_25051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_390_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_220_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62121_ _61956_/X _62119_/Y _62121_/C VGND VGND VPWR VPWR _62121_/Y sky130_fd_sc_hd__nor3_2
+XPHY_34862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74107_ _74092_/X _74118_/B _65929_/A VGND VGND VPWR VPWR _74108_/B sky130_fd_sc_hd__nand3_2
+XFILLER_122_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71319_ _71717_/A _71319_/B _71319_/C VGND VGND VPWR VPWR _71319_/Y sky130_fd_sc_hd__nor3_2
+X_47055_ _47048_/A _47055_/B VGND VGND VPWR VPWR _47060_/A sky130_fd_sc_hd__or2_2
+XPHY_34873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44267_ _72950_/A _44267_/B VGND VGND VPWR VPWR _44268_/C sky130_fd_sc_hd__nor2_2
+X_75087_ _75210_/A _75090_/B VGND VGND VPWR VPWR _75089_/A sky130_fd_sc_hd__or2_2
+XFILLER_458_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79964_ _80031_/CLK _40081_/Y VGND VGND VPWR VPWR _79964_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_372_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41479_ _41486_/A _41486_/B _65960_/A VGND VGND VPWR VPWR _41479_/Y sky130_fd_sc_hd__nand3_2
+XPHY_34884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72299_ _72299_/A _72291_/B _81462_/Q VGND VGND VPWR VPWR _72299_/Y sky130_fd_sc_hd__nand3_2
+XPHY_24361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_654_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46006_ _46051_/B _45798_/C VGND VGND VPWR VPWR _46007_/C sky130_fd_sc_hd__nor2_2
+XFILLER_259_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43218_ _79024_/Q _43224_/B VGND VGND VPWR VPWR _43218_/Y sky130_fd_sc_hd__nor2_2
+X_78915_ _78919_/CLK _78915_/D VGND VGND VPWR VPWR _71896_/A sky130_fd_sc_hd__dfxtp_4
+X_62052_ _61891_/X _62052_/B _62051_/Y VGND VGND VPWR VPWR _62052_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_689_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74038_ _74038_/A VGND VGND VPWR VPWR _74714_/A sky130_fd_sc_hd__buf_1
+XFILLER_294_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_491_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44198_ _44263_/A _44198_/B VGND VGND VPWR VPWR _44198_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_470_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79895_ _79902_/CLK _79895_/D VGND VGND VPWR VPWR _65817_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_87_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_6_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61003_ _60534_/A _61003_/B _61003_/C VGND VGND VPWR VPWR _61003_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_233_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43149_ _43149_/A VGND VGND VPWR VPWR _46151_/B sky130_fd_sc_hd__inv_8
+XFILLER_569_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_489_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66860_ _66860_/A _66694_/Y _66860_/C _66859_/Y VGND VGND VPWR VPWR _76699_/D sky130_fd_sc_hd__or4_2
+XPHY_13170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78846_ _76729_/CLK _78846_/D VGND VGND VPWR VPWR _78846_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_638_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_288_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_504_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_177_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65811_ _65808_/X _65811_/B _65811_/C VGND VGND VPWR VPWR _65811_/Y sky130_fd_sc_hd__nor3_2
+XPHY_22992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_315_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_218_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_151_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47957_ _47835_/A _47956_/X VGND VGND VPWR VPWR _47958_/B sky130_fd_sc_hd__or2_2
+XFILLER_331_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_610_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66791_ _66464_/X _66788_/Y _66791_/C VGND VGND VPWR VPWR _66796_/B sky130_fd_sc_hd__nor3_2
+XFILLER_708_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78777_ _78766_/CLK _47715_/Y VGND VGND VPWR VPWR _63640_/A sky130_fd_sc_hd__dfxtp_4
+X_75989_ _76008_/A VGND VGND VPWR VPWR _76002_/B sky130_fd_sc_hd__buf_1
+XFILLER_569_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_4_0_u_core.clock clkbuf_5_2_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_9_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_214_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68530_ _66883_/A VGND VGND VPWR VPWR _68530_/X sky130_fd_sc_hd__buf_1
+XFILLER_330_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46908_ _46882_/A _46908_/B VGND VGND VPWR VPWR _78933_/D sky130_fd_sc_hd__nor2_2
+X_65742_ _80375_/Q _65907_/B VGND VGND VPWR VPWR _65743_/C sky130_fd_sc_hd__nor2_2
+XFILLER_418_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77728_ _77729_/CLK _51757_/Y VGND VGND VPWR VPWR _60973_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38690_ _38688_/X _38689_/Y VGND VGND VPWR VPWR _80317_/D sky130_fd_sc_hd__nand2_2
+XFILLER_68_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_567_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_547_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62954_ _78421_/Q _62954_/B VGND VGND VPWR VPWR _62955_/C sky130_fd_sc_hd__nor2_2
+XFILLER_269_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_95_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_69_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47888_ _47913_/B VGND VGND VPWR VPWR _47896_/B sky130_fd_sc_hd__buf_1
+XFILLER_417_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_446_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_313_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_472_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61905_ _59536_/A VGND VGND VPWR VPWR _62070_/A sky130_fd_sc_hd__buf_1
+X_49627_ _49627_/A _49627_/B VGND VGND VPWR VPWR _49627_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_684_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68461_ _66814_/A VGND VGND VPWR VPWR _68461_/X sky130_fd_sc_hd__buf_1
+XFILLER_42_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46839_ _47072_/B VGND VGND VPWR VPWR _46878_/B sky130_fd_sc_hd__buf_1
+XFILLER_721_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65673_ _39852_/C _65673_/B VGND VGND VPWR VPWR _65674_/C sky130_fd_sc_hd__nor2_2
+X_77659_ _78176_/CLK _52011_/Y VGND VGND VPWR VPWR _61464_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_56_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62885_ _49766_/A _62884_/X VGND VGND VPWR VPWR _62888_/B sky130_fd_sc_hd__nor2_2
+XFILLER_83_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_662_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_509_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67412_ _67752_/A _67412_/B _67411_/Y VGND VGND VPWR VPWR _67413_/C sky130_fd_sc_hd__nor3_2
+XFILLER_77_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64624_ _64624_/A _64276_/B VGND VGND VPWR VPWR _64624_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_3_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_428_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61836_ _77950_/Q _61520_/B VGND VGND VPWR VPWR _61836_/Y sky130_fd_sc_hd__nor2_2
+X_49558_ _49558_/A VGND VGND VPWR VPWR _49573_/B sky130_fd_sc_hd__buf_1
+X_80670_ _80637_/CLK _80670_/D VGND VGND VPWR VPWR _80670_/Q sky130_fd_sc_hd__dfxtp_4
+X_68392_ _68392_/A _68390_/Y _68392_/C VGND VGND VPWR VPWR _68392_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_326_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_114_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_482_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_446_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_661_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39311_ _39311_/A _39310_/Y VGND VGND VPWR VPWR _39311_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_266_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_361_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_599_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48509_ _63299_/A _48494_/B VGND VGND VPWR VPWR _48511_/A sky130_fd_sc_hd__nand2_2
+X_67343_ _67343_/A _67018_/B VGND VGND VPWR VPWR _67343_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_481_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_424_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79329_ _78929_/CLK _43569_/Y VGND VGND VPWR VPWR _71190_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_224_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64555_ _64309_/A VGND VGND VPWR VPWR _64911_/A sky130_fd_sc_hd__buf_1
+XFILLER_94_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61767_ _62548_/A VGND VGND VPWR VPWR _62243_/A sky130_fd_sc_hd__buf_1
+X_49489_ _49795_/A VGND VGND VPWR VPWR _49619_/A sky130_fd_sc_hd__buf_1
+XFILLER_621_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_522_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_90_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_361_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51520_ _51518_/Y _51519_/X VGND VGND VPWR VPWR _51520_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_244_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_506_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39242_ _39242_/A VGND VGND VPWR VPWR _39243_/A sky130_fd_sc_hd__buf_1
+X_63506_ _63506_/A _62884_/X VGND VGND VPWR VPWR _63508_/B sky130_fd_sc_hd__nor2_2
+XFILLER_587_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60718_ _60718_/A _60566_/B VGND VGND VPWR VPWR _60719_/C sky130_fd_sc_hd__nor2_2
+XFILLER_324_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67274_ _66774_/A _67270_/Y _67273_/Y VGND VGND VPWR VPWR _67274_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_283_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_75_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64486_ _66401_/A VGND VGND VPWR VPWR _64487_/B sky130_fd_sc_hd__buf_1
+XFILLER_413_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61698_ _49760_/A _61231_/B VGND VGND VPWR VPWR _61700_/B sky130_fd_sc_hd__nor2_2
+XFILLER_224_498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_53_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69013_ _68528_/A _69013_/B _69012_/Y VGND VGND VPWR VPWR _69014_/C sky130_fd_sc_hd__nor3_2
+XFILLER_691_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66225_ _66225_/A _66223_/Y _66225_/C VGND VGND VPWR VPWR _66229_/B sky130_fd_sc_hd__nor3_2
+XFILLER_339_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_621_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39173_ _39171_/X _39173_/B VGND VGND VPWR VPWR _39173_/Y sky130_fd_sc_hd__nand2_2
+X_51451_ _51479_/A _51450_/X VGND VGND VPWR VPWR _51452_/B sky130_fd_sc_hd__or2_2
+XFILLER_244_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63437_ _63437_/A _63582_/B VGND VGND VPWR VPWR _63437_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_574_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60649_ _60795_/A _60647_/Y _60649_/C VGND VGND VPWR VPWR _60649_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_528_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_656_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_366_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_244_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_398_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50402_ _50400_/X _50429_/B VGND VGND VPWR VPWR _50402_/X sky130_fd_sc_hd__or2_2
+XFILLER_539_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81222_ _81211_/CLK _81222_/D VGND VGND VPWR VPWR _68240_/A sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_8_145_0_u_core.clock clkbuf_7_72_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_291_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_637_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54170_ _54170_/A _54174_/B VGND VGND VPWR VPWR _54173_/A sky130_fd_sc_hd__nand2_2
+XFILLER_394_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66156_ _80249_/Q _66326_/B VGND VGND VPWR VPWR _66158_/B sky130_fd_sc_hd__nor2_2
+XFILLER_716_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51382_ _51252_/X _51391_/B VGND VGND VPWR VPWR _51383_/B sky130_fd_sc_hd__or2_2
+XFILLER_394_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63368_ _63190_/X _63368_/B _63368_/C VGND VGND VPWR VPWR _63385_/A sky130_fd_sc_hd__nor3_2
+XFILLER_543_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_177_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53121_ _77361_/Q _53109_/X VGND VGND VPWR VPWR _53121_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_594_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65107_ _65460_/A _65107_/B _65106_/Y VGND VGND VPWR VPWR _65108_/C sky130_fd_sc_hd__nor3_2
+XFILLER_326_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_474_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50333_ _61887_/A _50336_/B VGND VGND VPWR VPWR _50333_/Y sky130_fd_sc_hd__nand2_2
+X_62319_ _62319_/A _62172_/X VGND VGND VPWR VPWR _62319_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_88_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_81153_ _81060_/CLK _81153_/D VGND VGND VPWR VPWR _67398_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_514_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_93_0_u_core.clock clkbuf_8_93_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_93_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_203_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_656_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66087_ _66087_/A _66568_/B VGND VGND VPWR VPWR _66088_/C sky130_fd_sc_hd__nor2_2
+XFILLER_574_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63299_ _63299_/A _62987_/B VGND VGND VPWR VPWR _63299_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_570_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_320_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_3066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80104_ _80104_/CLK _39523_/Y VGND VGND VPWR VPWR _58366_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_30_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53052_ _54963_/A _53052_/B VGND VGND VPWR VPWR _53053_/A sky130_fd_sc_hd__or2_2
+XFILLER_175_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65038_ _65392_/A _65038_/B _65038_/C VGND VGND VPWR VPWR _65046_/B sky130_fd_sc_hd__nor3_2
+X_69915_ _69843_/X _69911_/B VGND VGND VPWR VPWR _69915_/X sky130_fd_sc_hd__or2_2
+XFILLER_435_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50264_ _49510_/A VGND VGND VPWR VPWR _50865_/A sky130_fd_sc_hd__buf_1
+XFILLER_69_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81084_ _81081_/CLK _73823_/Y VGND VGND VPWR VPWR _73822_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_88_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_650_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_689_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_47_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52003_ _77660_/Q _52012_/B VGND VGND VPWR VPWR _52003_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_336_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_133_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80035_ _79940_/CLK _80035_/D VGND VGND VPWR VPWR _67812_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_314_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57860_ _57937_/A _57856_/Y _57860_/C VGND VGND VPWR VPWR _57860_/Y sky130_fd_sc_hd__nor3_2
+XPHY_30809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69846_ _69775_/X _69801_/X VGND VGND VPWR VPWR _46033_/A sky130_fd_sc_hd__or2_2
+XPHY_9428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50195_ _78137_/Q _50195_/B VGND VGND VPWR VPWR _50195_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_117_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56811_ _56810_/X VGND VGND VPWR VPWR _56812_/B sky130_fd_sc_hd__buf_1
+XFILLER_711_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_656_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38957_ _38862_/X VGND VGND VPWR VPWR _39034_/A sky130_fd_sc_hd__buf_1
+XFILLER_236_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_683_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57791_ _57791_/A _57873_/B VGND VGND VPWR VPWR _57793_/B sky130_fd_sc_hd__nor2_2
+XFILLER_133_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_173_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69777_ _69735_/A VGND VGND VPWR VPWR _55884_/C sky130_fd_sc_hd__buf_1
+XFILLER_495_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66989_ _67158_/A _66989_/B _66989_/C VGND VGND VPWR VPWR _66993_/B sky130_fd_sc_hd__nor3_2
+XFILLER_278_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59530_ _59082_/A _59530_/B _59530_/C VGND VGND VPWR VPWR _59530_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_9_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_115_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56742_ _57729_/A VGND VGND VPWR VPWR _56742_/X sky130_fd_sc_hd__buf_1
+X_68728_ _69324_/A _68728_/B _68727_/Y VGND VGND VPWR VPWR _68728_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_5_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53954_ _77147_/Q _53964_/B VGND VGND VPWR VPWR _53954_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_43_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38888_ _38888_/A _38887_/Y VGND VGND VPWR VPWR _38888_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_113_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_327_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52905_ _52938_/B VGND VGND VPWR VPWR _52924_/B sky130_fd_sc_hd__buf_1
+XFILLER_275_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59461_ _59461_/A _59461_/B _59460_/Y VGND VGND VPWR VPWR _59469_/B sky130_fd_sc_hd__nor3_2
+XFILLER_692_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80937_ _81005_/CLK _74429_/Y VGND VGND VPWR VPWR _68732_/A sky130_fd_sc_hd__dfxtp_4
+X_68659_ _68829_/A _68655_/Y _68659_/C VGND VGND VPWR VPWR _68659_/Y sky130_fd_sc_hd__nor3_2
+X_56673_ _56673_/A _56510_/X VGND VGND VPWR VPWR _56674_/C sky130_fd_sc_hd__nor2_2
+XFILLER_652_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_366_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_59_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53885_ _53885_/A VGND VGND VPWR VPWR _53885_/X sky130_fd_sc_hd__buf_1
+XFILLER_625_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_463_443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_491_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_284_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58412_ _58412_/A _58705_/B VGND VGND VPWR VPWR _58412_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_75_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_151_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_249_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55624_ _55624_/A _55622_/Y _55623_/Y VGND VGND VPWR VPWR _55625_/D sky130_fd_sc_hd__nor3_2
+XFILLER_680_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40850_ _40843_/A _40843_/B _56997_/A VGND VGND VPWR VPWR _40850_/Y sky130_fd_sc_hd__nand3_2
+X_52836_ _52893_/A _52839_/B VGND VGND VPWR VPWR _52836_/X sky130_fd_sc_hd__or2_2
+XPHY_29339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59392_ _59392_/A _59392_/B _59391_/Y VGND VGND VPWR VPWR _59411_/A sky130_fd_sc_hd__nor3_2
+XFILLER_464_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71670_ _71670_/A _42952_/A VGND VGND VPWR VPWR _71670_/X sky130_fd_sc_hd__or2_2
+XFILLER_19_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80868_ _80867_/CLK _80868_/D VGND VGND VPWR VPWR _80868_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_640_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_622_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_505_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_215_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_227_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_167_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39509_ _39119_/A _39524_/B VGND VGND VPWR VPWR _39511_/A sky130_fd_sc_hd__or2_2
+XFILLER_442_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58343_ _57545_/A VGND VGND VPWR VPWR _58648_/B sky130_fd_sc_hd__buf_1
+XFILLER_327_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_73_0_u_core.clock clkbuf_9_73_0_u_core.clock/A VGND VGND VPWR VPWR _78464_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_70621_ _70613_/X _70619_/X VGND VGND VPWR VPWR _70621_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_562_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_58_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55555_ _55555_/A VGND VGND VPWR VPWR _55711_/B sky130_fd_sc_hd__buf_1
+XFILLER_429_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52767_ _52767_/A VGND VGND VPWR VPWR _52887_/A sky130_fd_sc_hd__buf_1
+XFILLER_309_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40781_ _40761_/A VGND VGND VPWR VPWR _40797_/A sky130_fd_sc_hd__buf_1
+XFILLER_262_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80799_ _80751_/CLK _75004_/Y VGND VGND VPWR VPWR _67049_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_231_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_3056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54506_ _54477_/A _54506_/B VGND VGND VPWR VPWR _54506_/X sky130_fd_sc_hd__or2_2
+XPHY_18148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42520_ _42520_/A _42520_/B _42518_/X _42581_/D VGND VGND VPWR VPWR _42520_/Y sky130_fd_sc_hd__nor4_2
+X_73340_ _73340_/A _73340_/B VGND VGND VPWR VPWR _81211_/D sky130_fd_sc_hd__nand2_2
+XPHY_27937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51718_ _51714_/Y _51717_/X VGND VGND VPWR VPWR _77738_/D sky130_fd_sc_hd__nand2_2
+XPHY_18159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70552_ _70551_/Y _70542_/Y VGND VGND VPWR VPWR _70552_/X sky130_fd_sc_hd__or2_2
+XFILLER_125_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58274_ _58516_/A _58272_/Y _58274_/C VGND VGND VPWR VPWR _58274_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_505_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_215_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_230_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55486_ _55301_/X _55486_/B VGND VGND VPWR VPWR _55486_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_128_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52698_ _54609_/A _52670_/B VGND VGND VPWR VPWR _52724_/B sky130_fd_sc_hd__or2_2
+XPHY_27959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_93_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57225_ _57540_/A _57224_/Y VGND VGND VPWR VPWR _57225_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_620_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42451_ _42451_/A VGND VGND VPWR VPWR _42633_/A sky130_fd_sc_hd__buf_1
+XFILLER_603_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54437_ _54434_/Y _54436_/X VGND VGND VPWR VPWR _77020_/D sky130_fd_sc_hd__nand2_2
+XFILLER_342_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73271_ _73268_/Y _73271_/B VGND VGND VPWR VPWR _73271_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_399_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_71_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51649_ _51656_/A VGND VGND VPWR VPWR _51668_/B sky130_fd_sc_hd__buf_1
+XPHY_16724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70483_ _69985_/B VGND VGND VPWR VPWR _70484_/A sky130_fd_sc_hd__buf_1
+XFILLER_303_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_635_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_278_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_54_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75010_ _75010_/A _74995_/X VGND VGND VPWR VPWR _75010_/X sky130_fd_sc_hd__or2_2
+XFILLER_494_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41402_ _41400_/X _41401_/Y VGND VGND VPWR VPWR _79629_/D sky130_fd_sc_hd__nand2_2
+XPHY_34114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72222_ _72885_/A VGND VGND VPWR VPWR _72754_/A sky130_fd_sc_hd__buf_1
+XFILLER_400_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_295_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57156_ _57316_/A _57156_/B _57156_/C VGND VGND VPWR VPWR _57164_/A sky130_fd_sc_hd__nor3_2
+X_45170_ _45163_/X _45169_/X VGND VGND VPWR VPWR _45170_/X sky130_fd_sc_hd__and2_2
+XFILLER_221_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_278_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_32_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54368_ _54368_/A _54340_/B VGND VGND VPWR VPWR _54402_/B sky130_fd_sc_hd__or2_2
+XPHY_16768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42382_ _41863_/A VGND VGND VPWR VPWR _42382_/X sky130_fd_sc_hd__buf_1
+XFILLER_195_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44121_ _44066_/A _44121_/B _44121_/C VGND VGND VPWR VPWR _44122_/C sky130_fd_sc_hd__nor3_2
+XPHY_1676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56107_ _56087_/A _56107_/B VGND VGND VPWR VPWR _56108_/B sky130_fd_sc_hd__nor2_2
+XFILLER_345_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_6_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41333_ _41321_/X _41332_/X _67131_/A VGND VGND VPWR VPWR _41334_/B sky130_fd_sc_hd__nand3_2
+XFILLER_655_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53319_ _53315_/Y _53319_/B VGND VGND VPWR VPWR _77315_/D sky130_fd_sc_hd__nand2_2
+XFILLER_338_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72153_ _39887_/A VGND VGND VPWR VPWR _72154_/B sky130_fd_sc_hd__buf_1
+XPHY_1687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57087_ _56851_/X _57087_/B _57086_/Y VGND VGND VPWR VPWR _57087_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_414_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_278_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54299_ _77056_/Q _54289_/B VGND VGND VPWR VPWR _54301_/A sky130_fd_sc_hd__nand2_2
+XFILLER_718_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71104_ _69861_/X _42892_/A VGND VGND VPWR VPWR _71104_/X sky130_fd_sc_hd__or2_2
+XPHY_32723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44052_ _44142_/A _44141_/A VGND VGND VPWR VPWR _44052_/X sky130_fd_sc_hd__or2_4
+XPHY_22200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56038_ _55982_/X _56037_/X io_in[27] _55980_/X VGND VGND VPWR VPWR wbs_dat_o[8]
++ sky130_fd_sc_hd__o22a_4
+XFILLER_256_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_155_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_360_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41264_ _41277_/A _41264_/B _64790_/A VGND VGND VPWR VPWR _41264_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_119_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_108_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76961_ _76963_/CLK _54653_/Y VGND VGND VPWR VPWR _59779_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_390_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_355_2962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72084_ _70913_/X _72084_/B VGND VGND VPWR VPWR _72084_/X sky130_fd_sc_hd__or2_2
+XPHY_22211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_471_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_193_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43003_ _43002_/A _47278_/B _42277_/Y _43002_/Y VGND VGND VPWR VPWR _43004_/B sky130_fd_sc_hd__o22a_4
+XFILLER_234_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78700_ _78699_/CLK _78700_/D VGND VGND VPWR VPWR _78700_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_32767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40215_ _40197_/A VGND VGND VPWR VPWR _40216_/B sky130_fd_sc_hd__buf_1
+XFILLER_371_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_136_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75912_ _75910_/X _75912_/B VGND VGND VPWR VPWR _75912_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_373_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71035_ _76073_/A VGND VGND VPWR VPWR _41007_/A sky130_fd_sc_hd__buf_1
+XFILLER_560_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48860_ _48889_/A _54609_/A VGND VGND VPWR VPWR _48861_/A sky130_fd_sc_hd__or2_2
+XFILLER_551_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79680_ _79711_/CLK _41211_/Y VGND VGND VPWR VPWR _67291_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_551_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_65_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41195_ _41176_/X VGND VGND VPWR VPWR _41196_/B sky130_fd_sc_hd__buf_1
+XFILLER_332_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76892_ _76890_/CLK _76892_/D VGND VGND VPWR VPWR _60407_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_312_233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_709_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47811_ _78750_/Q _47792_/X VGND VGND VPWR VPWR _47811_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_675_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78631_ _78156_/CLK _78631_/D VGND VGND VPWR VPWR _63335_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_371_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_152_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40146_ _40129_/X _40143_/B _79946_/Q VGND VGND VPWR VPWR _40146_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_512_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75843_ _75962_/A _75842_/X VGND VGND VPWR VPWR _75845_/A sky130_fd_sc_hd__or2_2
+XPHY_22299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48791_ _48791_/A _48768_/B VGND VGND VPWR VPWR _48791_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_269_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57989_ _57191_/A VGND VGND VPWR VPWR _58239_/A sky130_fd_sc_hd__buf_1
+XPHY_9973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_117_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_113_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47742_ _47742_/A _47741_/X VGND VGND VPWR VPWR _78770_/D sky130_fd_sc_hd__nand2_2
+XFILLER_234_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59728_ _59728_/A _59728_/B VGND VGND VPWR VPWR _59730_/B sky130_fd_sc_hd__nor2_2
+XFILLER_289_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78562_ _78561_/CLK _48560_/Y VGND VGND VPWR VPWR _63730_/A sky130_fd_sc_hd__dfxtp_4
+X_44954_ _45210_/A _44954_/B VGND VGND VPWR VPWR _44954_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_486_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40077_ _40074_/X _40076_/Y VGND VGND VPWR VPWR _79965_/D sky130_fd_sc_hd__nand2_2
+XFILLER_77_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75774_ _75785_/A _75774_/B _80605_/Q VGND VGND VPWR VPWR _75775_/B sky130_fd_sc_hd__nand3_2
+XPHY_20864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_649_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72986_ _72709_/A _75705_/B VGND VGND VPWR VPWR _72987_/A sky130_fd_sc_hd__or2_2
+XFILLER_674_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_278_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_43_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_78_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77513_ _77515_/CLK _77513_/D VGND VGND VPWR VPWR _61072_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_314_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_671_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43905_ _43905_/A VGND VGND VPWR VPWR _43940_/A sky130_fd_sc_hd__buf_1
+XFILLER_133_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74725_ _74725_/A VGND VGND VPWR VPWR _74739_/A sky130_fd_sc_hd__buf_1
+XFILLER_564_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_98_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47673_ _47988_/A VGND VGND VPWR VPWR _47741_/A sky130_fd_sc_hd__buf_1
+X_71937_ _71937_/A _71934_/Y _71937_/C VGND VGND VPWR VPWR _71937_/Y sky130_fd_sc_hd__nor3_2
+X_59659_ _76856_/Q _59496_/B VGND VGND VPWR VPWR _59660_/C sky130_fd_sc_hd__nor2_2
+XFILLER_150_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78493_ _78498_/CLK _78493_/D VGND VGND VPWR VPWR _48825_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_130_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44885_ _44883_/X _44885_/B VGND VGND VPWR VPWR _44885_/X sky130_fd_sc_hd__and2_2
+XFILLER_65_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_707_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_293_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_185_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_507_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49412_ _62429_/A _49401_/B VGND VGND VPWR VPWR _49414_/A sky130_fd_sc_hd__nand2_2
+XFILLER_662_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46624_ _58849_/B _46624_/B _46624_/C VGND VGND VPWR VPWR _46624_/X sky130_fd_sc_hd__and3_2
+XFILLER_133_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77444_ _77444_/CLK _77444_/D VGND VGND VPWR VPWR _77444_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_399_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43836_ _43854_/A _43836_/B _43835_/Y VGND VGND VPWR VPWR _43836_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_19_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62670_ _62350_/A _62670_/B _62669_/Y VGND VGND VPWR VPWR _62670_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_545_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74656_ _74147_/A _74659_/B VGND VGND VPWR VPWR _74658_/A sky130_fd_sc_hd__or2_2
+XFILLER_59_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_442_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_426_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71868_ _70676_/A _71146_/B VGND VGND VPWR VPWR _71873_/B sky130_fd_sc_hd__nor2_2
+XFILLER_382_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_564_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_362_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_630_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_482_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61621_ _61621_/A _61469_/B VGND VGND VPWR VPWR _61621_/Y sky130_fd_sc_hd__nor2_2
+X_49343_ _62432_/A _49349_/B VGND VGND VPWR VPWR _49344_/B sky130_fd_sc_hd__nand2_2
+XPHY_29862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73607_ _73607_/A _73614_/B _73607_/C VGND VGND VPWR VPWR _73607_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_206_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_380_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_326_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_605_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_304_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46555_ _41858_/X _46551_/B VGND VGND VPWR VPWR _46556_/B sky130_fd_sc_hd__or2_2
+X_70819_ _70819_/A VGND VGND VPWR VPWR _70819_/X sky130_fd_sc_hd__buf_1
+XFILLER_501_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77375_ _77379_/CLK _53073_/Y VGND VGND VPWR VPWR _60840_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_379_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43767_ _43767_/A VGND VGND VPWR VPWR _43767_/X sky130_fd_sc_hd__buf_1
+X_74587_ _74580_/A _74590_/B _67907_/A VGND VGND VPWR VPWR _74587_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_209_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40979_ _40982_/A _40992_/B _65479_/A VGND VGND VPWR VPWR _40979_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_207_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_460_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71799_ _75536_/A VGND VGND VPWR VPWR _44239_/A sky130_fd_sc_hd__buf_1
+XFILLER_562_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_94_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_460_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_611_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79114_ _79268_/CLK _79114_/D VGND VGND VPWR VPWR _42774_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_638_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45506_ _55093_/C VGND VGND VPWR VPWR _45506_/X sky130_fd_sc_hd__buf_1
+X_76326_ _76326_/A _76326_/B VGND VGND VPWR VPWR _76326_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_569_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64340_ _80591_/Q _64340_/B VGND VGND VPWR VPWR _64341_/C sky130_fd_sc_hd__nor2_2
+XFILLER_343_1620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_362_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_34_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_280_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42718_ _45622_/A VGND VGND VPWR VPWR _65871_/A sky130_fd_sc_hd__inv_8
+XFILLER_597_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61552_ _52523_/A _61409_/B VGND VGND VPWR VPWR _61552_/Y sky130_fd_sc_hd__nor2_2
+X_49274_ _49274_/A _49259_/B VGND VGND VPWR VPWR _49276_/A sky130_fd_sc_hd__nand2_2
+XFILLER_245_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73538_ _73538_/A VGND VGND VPWR VPWR _73539_/A sky130_fd_sc_hd__buf_1
+XFILLER_422_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46486_ _79014_/Q _46485_/X VGND VGND VPWR VPWR _46486_/X sky130_fd_sc_hd__and2_2
+XFILLER_692_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_160 _39211_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_398_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43698_ _79286_/Q VGND VGND VPWR VPWR _43723_/A sky130_fd_sc_hd__inv_8
+XPHY_4280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_171 _72118_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_593_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_222_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_182 _72659_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_37_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_33_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60503_ _60503_/A _60012_/B VGND VGND VPWR VPWR _60503_/Y sky130_fd_sc_hd__nor2_2
+X_48225_ _63016_/A _48225_/B VGND VGND VPWR VPWR _48227_/A sky130_fd_sc_hd__nand2_2
+XFILLER_363_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_198_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_9_1_0_u_core.clock clkbuf_9_1_0_u_core.clock/A VGND VGND VPWR VPWR _78002_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_695_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79045_ _79074_/CLK _79045_/D VGND VGND VPWR VPWR _55264_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_677_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_193 _75947_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_45437_ _45407_/A _45436_/X VGND VGND VPWR VPWR _45437_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_421_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64271_ _64461_/A VGND VGND VPWR VPWR _64272_/A sky130_fd_sc_hd__buf_1
+XFILLER_37_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76257_ _76275_/A VGND VGND VPWR VPWR _76272_/B sky130_fd_sc_hd__buf_1
+XFILLER_403_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42649_ _42596_/A VGND VGND VPWR VPWR _42650_/B sky130_fd_sc_hd__buf_1
+XFILLER_241_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61483_ _51532_/A _61483_/B VGND VGND VPWR VPWR _61483_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_657_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73469_ _73466_/A _73477_/B _65935_/A VGND VGND VPWR VPWR _73471_/A sky130_fd_sc_hd__nand3_2
+XFILLER_15_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_324_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_394_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_124_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_14_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66010_ _66010_/A _66345_/B VGND VGND VPWR VPWR _66012_/B sky130_fd_sc_hd__nor2_2
+XFILLER_18_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63222_ _59688_/A VGND VGND VPWR VPWR _63222_/X sky130_fd_sc_hd__buf_1
+X_75208_ _75218_/A _75218_/B _75208_/C VGND VGND VPWR VPWR _75209_/B sky130_fd_sc_hd__nand3_2
+XFILLER_398_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60434_ _60434_/A _60434_/B _60434_/C VGND VGND VPWR VPWR _60434_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_109_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48156_ _48275_/A _48164_/B VGND VGND VPWR VPWR _48157_/B sky130_fd_sc_hd__or2_2
+XFILLER_321_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_419_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45368_ _45368_/A _45367_/Y VGND VGND VPWR VPWR _45368_/X sky130_fd_sc_hd__or2_2
+XFILLER_144_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76188_ _38317_/A _76184_/B VGND VGND VPWR VPWR _76190_/A sky130_fd_sc_hd__or2_2
+XFILLER_571_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_517_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_376_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_119_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_336_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47107_ _46418_/B _47107_/B VGND VGND VPWR VPWR _47108_/B sky130_fd_sc_hd__or2_2
+XFILLER_651_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_35393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44319_ _73265_/B VGND VGND VPWR VPWR _44393_/A sky130_fd_sc_hd__inv_8
+XFILLER_716_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63153_ _47849_/A _63153_/B VGND VGND VPWR VPWR _63154_/C sky130_fd_sc_hd__nor2_2
+XFILLER_493_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_89_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75139_ _75137_/X _75138_/Y VGND VGND VPWR VPWR _75139_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_337_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_391_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60365_ _77148_/Q _60043_/B VGND VGND VPWR VPWR _60365_/Y sky130_fd_sc_hd__nor2_2
+X_48087_ _48028_/A _48093_/B VGND VGND VPWR VPWR _48087_/X sky130_fd_sc_hd__or2_2
+XFILLER_88_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_196_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45299_ _45218_/A _45299_/B VGND VGND VPWR VPWR _45299_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_713_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_698_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_458_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62104_ _78247_/Q _62259_/B VGND VGND VPWR VPWR _62107_/B sky130_fd_sc_hd__nor2_2
+XFILLER_31_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47038_ _47004_/X _71872_/B _71809_/B _47021_/X VGND VGND VPWR VPWR _47039_/B sky130_fd_sc_hd__o22a_4
+XFILLER_651_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_514_2936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67961_ _67961_/A _67621_/X VGND VGND VPWR VPWR _67963_/B sky130_fd_sc_hd__nor2_2
+X_63084_ _78430_/Q _63084_/B VGND VGND VPWR VPWR _63084_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_66_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79947_ _79947_/CLK _79947_/D VGND VGND VPWR VPWR _40143_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_372_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60296_ _59812_/A _60294_/Y _60296_/C VGND VGND VPWR VPWR _60297_/C sky130_fd_sc_hd__nor3_2
+XFILLER_351_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_505_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_259_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_143_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69700_ _42091_/A VGND VGND VPWR VPWR _69704_/A sky130_fd_sc_hd__inv_8
+XFILLER_350_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_471_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66912_ _66736_/A _66912_/B _66912_/C VGND VGND VPWR VPWR _66912_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_612_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62035_ _62035_/A _62034_/X VGND VGND VPWR VPWR _62037_/B sky130_fd_sc_hd__nor2_2
+XFILLER_354_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_471_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39860_ _40348_/A _39847_/B VGND VGND VPWR VPWR _39865_/A sky130_fd_sc_hd__or2_2
+XFILLER_9_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_233_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67892_ _67734_/A _67892_/B _67891_/Y VGND VGND VPWR VPWR _67893_/C sky130_fd_sc_hd__nor3_2
+XPHY_23490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79878_ _80039_/CLK _79878_/D VGND VGND VPWR VPWR _68313_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_354_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_694_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_452_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_333_0_u_core.clock clkbuf_9_333_0_u_core.clock/A VGND VGND VPWR VPWR _78121_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_432_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38811_ _38793_/A VGND VGND VPWR VPWR _38812_/B sky130_fd_sc_hd__buf_1
+XFILLER_678_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69631_ _69631_/A _69631_/B VGND VGND VPWR VPWR _69632_/C sky130_fd_sc_hd__or2_2
+XFILLER_645_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_157_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66843_ _80157_/Q _67010_/B VGND VGND VPWR VPWR _66844_/C sky130_fd_sc_hd__nor2_2
+XFILLER_350_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78829_ _78400_/CLK _78829_/D VGND VGND VPWR VPWR _78829_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_233_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39791_ _39790_/X _39777_/X VGND VGND VPWR VPWR _39794_/A sky130_fd_sc_hd__or2_2
+XFILLER_83_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_665_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48989_ _49047_/A _48989_/B VGND VGND VPWR VPWR _48989_/X sky130_fd_sc_hd__or2_2
+XFILLER_315_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_174_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38742_ _38742_/A _38750_/B VGND VGND VPWR VPWR _38746_/A sky130_fd_sc_hd__or2_2
+XFILLER_492_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69562_ _69562_/A _43812_/A VGND VGND VPWR VPWR _76725_/D sky130_fd_sc_hd__nor2_2
+XFILLER_693_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66774_ _66774_/A _66774_/B _66773_/Y VGND VGND VPWR VPWR _66775_/C sky130_fd_sc_hd__nor3_2
+XFILLER_9_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63986_ _63986_/A _59326_/B VGND VGND VPWR VPWR _63987_/C sky130_fd_sc_hd__nor2_2
+XFILLER_29_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_113_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_649_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_606_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68513_ _44419_/B _68512_/X VGND VGND VPWR VPWR _68518_/A sky130_fd_sc_hd__nor2_2
+XFILLER_116_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_151_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_674_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_645_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65725_ _67371_/A VGND VGND VPWR VPWR _66393_/B sky130_fd_sc_hd__buf_1
+XFILLER_418_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_645_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38673_ _38531_/A _38688_/B VGND VGND VPWR VPWR _38673_/X sky130_fd_sc_hd__or2_2
+XFILLER_543_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50951_ _50949_/Y _50950_/X VGND VGND VPWR VPWR _50951_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_634_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62937_ _62773_/X _62932_/Y _62937_/C VGND VGND VPWR VPWR _62938_/C sky130_fd_sc_hd__nor3_2
+X_69493_ _65034_/A _69491_/Y _69492_/Y VGND VGND VPWR VPWR _69493_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_211_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_112_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_524_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_445_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80722_ _80841_/CLK _75290_/Y VGND VGND VPWR VPWR _64866_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_433_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68444_ _67940_/X _68444_/B _68444_/C VGND VGND VPWR VPWR _68444_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_285_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_283_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_449_0_u_core.clock clkbuf_9_449_0_u_core.clock/A VGND VGND VPWR VPWR _81162_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_53670_ _53698_/A _53673_/B VGND VGND VPWR VPWR _53670_/X sky130_fd_sc_hd__or2_2
+XFILLER_446_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65656_ _81302_/Q _65315_/B VGND VGND VPWR VPWR _65657_/C sky130_fd_sc_hd__nor2_2
+XFILLER_129_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50882_ _62776_/A _50881_/X VGND VGND VPWR VPWR _50882_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_56_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62868_ _62709_/A _62868_/B _62868_/C VGND VGND VPWR VPWR _62868_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_721_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_256_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_272_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_426_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52621_ _52621_/A _52621_/B VGND VGND VPWR VPWR _77498_/D sky130_fd_sc_hd__nand2_2
+XFILLER_322_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64607_ _69411_/A _64597_/Y _64607_/C VGND VGND VPWR VPWR _64608_/C sky130_fd_sc_hd__nor3_2
+XFILLER_580_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_424_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_65_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61819_ _61819_/A _61664_/B VGND VGND VPWR VPWR _61820_/C sky130_fd_sc_hd__nor2_2
+X_80653_ _80685_/CLK _80653_/D VGND VGND VPWR VPWR _75593_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_408_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68375_ _67551_/A VGND VGND VPWR VPWR _68551_/A sky130_fd_sc_hd__buf_1
+XFILLER_266_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_225_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65587_ _76437_/C _65910_/B VGND VGND VPWR VPWR _65588_/C sky130_fd_sc_hd__nor2_2
+XFILLER_443_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_37_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62799_ _62169_/X _62797_/Y _62799_/C VGND VGND VPWR VPWR _62799_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_77_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_522_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55340_ _71111_/A _55390_/B VGND VGND VPWR VPWR _55340_/X sky130_fd_sc_hd__or2_2
+XFILLER_420_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67326_ _67655_/A _67324_/Y _67325_/Y VGND VGND VPWR VPWR _67326_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_0_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52552_ _52575_/B VGND VGND VPWR VPWR _52574_/B sky130_fd_sc_hd__buf_1
+XFILLER_601_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64538_ _68196_/A VGND VGND VPWR VPWR _69019_/B sky130_fd_sc_hd__buf_1
+XFILLER_522_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80584_ _80584_/CLK _80584_/D VGND VGND VPWR VPWR _68542_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_674_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_604_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_326_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_498_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39225_ _76196_/A VGND VGND VPWR VPWR _39226_/A sky130_fd_sc_hd__buf_1
+X_51503_ _51475_/A _51518_/B VGND VGND VPWR VPWR _51504_/B sky130_fd_sc_hd__or2_2
+XFILLER_602_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55271_ _43484_/A _55267_/Y _55270_/Y VGND VGND VPWR VPWR _55486_/B sky130_fd_sc_hd__or3_2
+XFILLER_639_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67257_ _67577_/A _67257_/B _67256_/Y VGND VGND VPWR VPWR _67257_/Y sky130_fd_sc_hd__nor3_2
+X_52483_ _52569_/A _52487_/B VGND VGND VPWR VPWR _52483_/X sky130_fd_sc_hd__or2_2
+X_64469_ _64879_/A _64469_/B _64468_/Y VGND VGND VPWR VPWR _64515_/A sky130_fd_sc_hd__nor3_2
+XPHY_25809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_656_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57010_ _57409_/A VGND VGND VPWR VPWR _57180_/A sky130_fd_sc_hd__buf_1
+XFILLER_459_1515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_90_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54222_ _54064_/X VGND VGND VPWR VPWR _54344_/A sky130_fd_sc_hd__buf_1
+XPHY_15308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66208_ _66208_/A _66041_/X VGND VGND VPWR VPWR _66374_/B sky130_fd_sc_hd__nor2_2
+XFILLER_124_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39156_ _39156_/A _39142_/X VGND VGND VPWR VPWR _39156_/X sky130_fd_sc_hd__or2_2
+X_51434_ _51434_/A _51434_/B VGND VGND VPWR VPWR _51434_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_90_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_279_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67188_ _80223_/Q _67344_/B VGND VGND VPWR VPWR _67189_/C sky130_fd_sc_hd__nor2_2
+XFILLER_339_2913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_558_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_303_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_177_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_3095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_472_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81205_ _81201_/CLK _73364_/Y VGND VGND VPWR VPWR _65444_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_175_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_10_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54153_ _54093_/A _54147_/B VGND VGND VPWR VPWR _54154_/B sky130_fd_sc_hd__or2_2
+XFILLER_105_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66139_ _65644_/A _66139_/B _66139_/C VGND VGND VPWR VPWR _66143_/B sky130_fd_sc_hd__nor3_2
+X_39087_ _38600_/A _38984_/X VGND VGND VPWR VPWR _39087_/X sky130_fd_sc_hd__or2_2
+X_51365_ _51363_/Y _51364_/X VGND VGND VPWR VPWR _51365_/Y sky130_fd_sc_hd__nand2_2
+XPHY_14629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_101_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53104_ _53104_/A _53103_/X VGND VGND VPWR VPWR _77366_/D sky130_fd_sc_hd__nand2_2
+XFILLER_320_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50316_ _50313_/Y _50316_/B VGND VGND VPWR VPWR _78108_/D sky130_fd_sc_hd__nand2_2
+XFILLER_137_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81136_ _81025_/CLK _73621_/Y VGND VGND VPWR VPWR _81136_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_194_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58961_ _54186_/A _59485_/B VGND VGND VPWR VPWR _58961_/Y sky130_fd_sc_hd__nor2_2
+XPHY_13928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54084_ _54084_/A _54083_/X VGND VGND VPWR VPWR _54084_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_238_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_5_18_0_u_core.clock clkbuf_4_9_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_5_18_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_355_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51296_ _51252_/X _51305_/B VGND VGND VPWR VPWR _51297_/B sky130_fd_sc_hd__or2_2
+XFILLER_630_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_650_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_570_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_292_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_274_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_216_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_274_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_193_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57912_ _57513_/A VGND VGND VPWR VPWR _57912_/X sky130_fd_sc_hd__buf_1
+X_53035_ _53007_/A _53047_/B VGND VGND VPWR VPWR _53036_/B sky130_fd_sc_hd__or2_2
+XPHY_9203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_134_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50247_ _62706_/A _50241_/B VGND VGND VPWR VPWR _50251_/A sky130_fd_sc_hd__nand2_2
+XFILLER_587_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81067_ _81162_/CLK _73887_/Y VGND VGND VPWR VPWR _69043_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_450_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_322_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58892_ _58892_/A _63869_/B VGND VGND VPWR VPWR _58897_/B sky130_fd_sc_hd__nor2_2
+XFILLER_523_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40000_ _39997_/X _40000_/B VGND VGND VPWR VPWR _40000_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80018_ _79902_/CLK _80018_/D VGND VGND VPWR VPWR _39872_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_20105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57843_ _56638_/X VGND VGND VPWR VPWR _58170_/A sky130_fd_sc_hd__buf_1
+XFILLER_235_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69829_ _69781_/Y _69829_/B VGND VGND VPWR VPWR _69842_/A sky130_fd_sc_hd__nor2_2
+XFILLER_314_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50178_ _50178_/A _50178_/B VGND VGND VPWR VPWR _50178_/Y sky130_fd_sc_hd__nand2_2
+XPHY_20116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39989_ _39986_/A _39985_/X _79988_/Q VGND VGND VPWR VPWR _39989_/Y sky130_fd_sc_hd__nand3_2
+XPHY_9269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_368_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_82_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72840_ _72840_/A _72839_/Y VGND VGND VPWR VPWR _72840_/Y sky130_fd_sc_hd__nand2_2
+XPHY_7812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_59_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_251_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57774_ _57531_/X _57774_/B _57773_/Y VGND VGND VPWR VPWR _57774_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_44_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54986_ _54986_/A _54980_/B VGND VGND VPWR VPWR _54986_/X sky130_fd_sc_hd__or2_2
+XFILLER_266_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_448_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_40_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_526_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_466_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59513_ _59513_/A VGND VGND VPWR VPWR _59846_/A sky130_fd_sc_hd__buf_1
+XFILLER_366_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_114_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56725_ _56649_/A _56725_/B _56724_/Y VGND VGND VPWR VPWR _56734_/A sky130_fd_sc_hd__nor3_2
+XFILLER_247_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41951_ _43501_/A _41934_/A _44909_/A VGND VGND VPWR VPWR _41951_/X sky130_fd_sc_hd__and3_2
+XPHY_39604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53937_ _53639_/A VGND VGND VPWR VPWR _53938_/A sky130_fd_sc_hd__buf_1
+X_72771_ _72768_/X _72771_/B VGND VGND VPWR VPWR _81343_/D sky130_fd_sc_hd__nand2_2
+XFILLER_102_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_47_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_628_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_671_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_546_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_700_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74510_ _74509_/Y _74510_/B VGND VGND VPWR VPWR _74511_/B sky130_fd_sc_hd__or2_2
+XFILLER_346_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40902_ _40900_/X _40901_/Y VGND VGND VPWR VPWR _40902_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_112_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59444_ _59767_/A _59442_/Y _59443_/Y VGND VGND VPWR VPWR _59444_/Y sky130_fd_sc_hd__nor3_2
+X_71722_ _70575_/X _71722_/B VGND VGND VPWR VPWR _71722_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_429_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56656_ _56492_/A _56656_/B _56656_/C VGND VGND VPWR VPWR _56656_/Y sky130_fd_sc_hd__nor3_2
+X_44670_ _65870_/A _44669_/X VGND VGND VPWR VPWR _44837_/C sky130_fd_sc_hd__nor2_2
+XFILLER_249_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75490_ _74732_/A VGND VGND VPWR VPWR _75999_/A sky130_fd_sc_hd__buf_1
+XPHY_29125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53868_ _77169_/Q _53856_/X VGND VGND VPWR VPWR _53868_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_408_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41882_ _41876_/A VGND VGND VPWR VPWR _41882_/X sky130_fd_sc_hd__buf_1
+XPHY_39659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_147_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_210_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_6_51_0_u_core.clock clkbuf_6_50_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_6_51_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_367_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_640_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_606_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43621_ _71702_/A _43617_/X VGND VGND VPWR VPWR _43624_/B sky130_fd_sc_hd__nor2_2
+X_55607_ _55607_/A _55606_/X VGND VGND VPWR VPWR _55608_/B sky130_fd_sc_hd__nor2_2
+XFILLER_597_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74441_ _74441_/A _74441_/B VGND VGND VPWR VPWR _80934_/D sky130_fd_sc_hd__nand2_2
+XFILLER_448_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40833_ _40823_/A _40823_/B _66502_/A VGND VGND VPWR VPWR _40834_/B sky130_fd_sc_hd__nand3_2
+XPHY_29169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52819_ _52819_/A _52791_/B VGND VGND VPWR VPWR _52829_/A sky130_fd_sc_hd__or2_2
+X_59375_ _53700_/A _59375_/B VGND VGND VPWR VPWR _59376_/C sky130_fd_sc_hd__nor2_2
+X_71653_ _71653_/A _71652_/X VGND VGND VPWR VPWR _71655_/B sky130_fd_sc_hd__and2_2
+XFILLER_366_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56587_ _64991_/A _56510_/X VGND VGND VPWR VPWR _56587_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_245_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_77_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53799_ _53799_/A _53799_/B VGND VGND VPWR VPWR _53800_/A sky130_fd_sc_hd__or2_2
+XFILLER_426_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_327_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_231_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_264_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46340_ _46336_/X _46339_/Y VGND VGND VPWR VPWR _46340_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_204_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58326_ _58326_/A _58634_/B VGND VGND VPWR VPWR _58327_/C sky130_fd_sc_hd__nor2_2
+X_70604_ _70433_/X _70604_/B VGND VGND VPWR VPWR _70604_/Y sky130_fd_sc_hd__nand2_2
+XPHY_27723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77160_ _77158_/CLK _77160_/D VGND VGND VPWR VPWR _59728_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43552_ _69868_/A _43552_/B VGND VGND VPWR VPWR _43554_/B sky130_fd_sc_hd__nor2_2
+XPHY_17200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55538_ _55517_/X _55538_/B VGND VGND VPWR VPWR _55538_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_186_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74372_ _74372_/A _74371_/Y VGND VGND VPWR VPWR _74372_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_347_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_35_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40764_ _40764_/A _40764_/B _58793_/A VGND VGND VPWR VPWR _40765_/B sky130_fd_sc_hd__nand3_2
+XFILLER_505_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_674_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71584_ _70915_/A _71583_/X VGND VGND VPWR VPWR _71584_/X sky130_fd_sc_hd__and2_2
+XFILLER_598_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_696_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_76_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_128_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_520_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_182_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76111_ _76111_/A VGND VGND VPWR VPWR _38259_/A sky130_fd_sc_hd__buf_1
+XFILLER_579_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_349_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42503_ _42471_/X _42503_/B _42503_/C VGND VGND VPWR VPWR _42503_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_477_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_566_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73323_ _73239_/X VGND VGND VPWR VPWR _73323_/X sky130_fd_sc_hd__buf_1
+XFILLER_305_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58257_ _58257_/A _58334_/B VGND VGND VPWR VPWR _58258_/C sky130_fd_sc_hd__nor2_2
+X_46271_ _46271_/A _41976_/A VGND VGND VPWR VPWR _46273_/A sky130_fd_sc_hd__nor2_2
+X_70535_ _76656_/Q VGND VGND VPWR VPWR _70535_/Y sky130_fd_sc_hd__inv_8
+X_77091_ _77171_/CLK _54169_/Y VGND VGND VPWR VPWR _77091_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43483_ _43514_/A VGND VGND VPWR VPWR _43484_/A sky130_fd_sc_hd__inv_8
+XFILLER_598_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55469_ _55469_/A _55464_/Y _55468_/Y VGND VGND VPWR VPWR _55703_/A sky130_fd_sc_hd__or3_2
+XFILLER_600_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_395_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40695_ _40437_/A _40695_/B VGND VGND VPWR VPWR _40695_/X sky130_fd_sc_hd__or2_2
+XFILLER_670_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_70_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_203_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48010_ _48040_/A _47976_/X VGND VGND VPWR VPWR _48010_/X sky130_fd_sc_hd__or2_2
+XFILLER_297_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45222_ _45222_/A _45222_/B VGND VGND VPWR VPWR _45222_/Y sky130_fd_sc_hd__nor2_2
+X_76042_ _76040_/X _76041_/Y VGND VGND VPWR VPWR _76042_/Y sky130_fd_sc_hd__nand2_2
+XPHY_2163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57208_ _57208_/A _57128_/X VGND VGND VPWR VPWR _57209_/C sky130_fd_sc_hd__nor2_2
+XPHY_16543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42434_ _69862_/A _69862_/B _76724_/Q VGND VGND VPWR VPWR _42434_/X sky130_fd_sc_hd__or3_2
+XFILLER_726_149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73254_ _72329_/A _73258_/B VGND VGND VPWR VPWR _73254_/X sky130_fd_sc_hd__or2_2
+XFILLER_581_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58188_ _57872_/A _58188_/B _58187_/Y VGND VGND VPWR VPWR _58197_/A sky130_fd_sc_hd__nor3_2
+XPHY_17299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70466_ _69681_/A _70466_/B _56214_/A VGND VGND VPWR VPWR _70467_/B sky130_fd_sc_hd__and3_2
+XFILLER_490_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_243_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_168_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_392_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_708_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72205_ _72289_/A VGND VGND VPWR VPWR _72205_/X sky130_fd_sc_hd__buf_1
+XFILLER_345_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45153_ _45065_/A _45153_/B VGND VGND VPWR VPWR _45153_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_715_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_204_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57139_ _56806_/X _57135_/Y _57139_/C VGND VGND VPWR VPWR _57140_/B sky130_fd_sc_hd__nor3_2
+XFILLER_674_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42365_ _42355_/Y _42328_/B VGND VGND VPWR VPWR _42365_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_722_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_344_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73185_ _73189_/A _73203_/B _67097_/A VGND VGND VPWR VPWR _73188_/A sky130_fd_sc_hd__nand3_2
+XPHY_33221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_338_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70397_ _70389_/Y _70396_/X VGND VGND VPWR VPWR _70397_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_494_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_201_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44104_ _44100_/Y _44103_/X VGND VGND VPWR VPWR _44104_/Y sky130_fd_sc_hd__nor2_2
+XPHY_15886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79801_ _79775_/CLK _79801_/D VGND VGND VPWR VPWR _57160_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_67_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41316_ _41313_/X _41315_/Y VGND VGND VPWR VPWR _79652_/D sky130_fd_sc_hd__nand2_2
+XFILLER_158_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60150_ _59817_/X _60150_/B _60149_/Y VGND VGND VPWR VPWR _60158_/B sky130_fd_sc_hd__nor3_2
+XFILLER_291_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72136_ _72135_/A _72134_/X VGND VGND VPWR VPWR _72137_/C sky130_fd_sc_hd__and2_2
+XFILLER_723_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49961_ _78200_/Q _49961_/B VGND VGND VPWR VPWR _49961_/Y sky130_fd_sc_hd__nand2_2
+X_45084_ _45022_/X _45076_/Y _45083_/Y VGND VGND VPWR VPWR _45448_/B sky130_fd_sc_hd__or3_2
+XPHY_33265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42296_ _46517_/A VGND VGND VPWR VPWR _42301_/A sky130_fd_sc_hd__buf_1
+XFILLER_535_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77993_ _77998_/CLK _77993_/D VGND VGND VPWR VPWR _50744_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_33276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_695_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44035_ _44296_/A VGND VGND VPWR VPWR _44036_/B sky130_fd_sc_hd__inv_8
+X_48912_ _48883_/A _48900_/B VGND VGND VPWR VPWR _48912_/X sky130_fd_sc_hd__or2_2
+XFILLER_531_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79732_ _79751_/CLK _40983_/Y VGND VGND VPWR VPWR _79732_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_67_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_344_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41247_ _41260_/A _41247_/B _56974_/A VGND VGND VPWR VPWR _41248_/B sky130_fd_sc_hd__nand3_2
+XFILLER_197_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60081_ _60081_/A _59761_/B VGND VGND VPWR VPWR _60081_/Y sky130_fd_sc_hd__nor2_2
+X_76944_ _76787_/CLK _54720_/Y VGND VGND VPWR VPWR _59629_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_291_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72067_ _70174_/X _72062_/X _70234_/X _72066_/X VGND VGND VPWR VPWR _72067_/X sky130_fd_sc_hd__o22a_4
+XPHY_22041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49892_ _49892_/A _49892_/B VGND VGND VPWR VPWR _49892_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_84_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_31_0_u_core.clock clkbuf_7_31_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_63_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_711_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_175_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_3108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_586_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_414_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71018_ _71017_/Y _70218_/X VGND VGND VPWR VPWR _71018_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_140_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_317_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48843_ _48843_/A _48843_/B VGND VGND VPWR VPWR _48843_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_488_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79663_ _79694_/CLK _79663_/D VGND VGND VPWR VPWR _64286_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_83_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41178_ _41173_/A _41178_/B _68780_/A VGND VGND VPWR VPWR _41178_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_297_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76875_ _76876_/CLK _76875_/D VGND VGND VPWR VPWR _60215_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_310_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_691_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_61_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78614_ _78114_/CLK _78614_/D VGND VGND VPWR VPWR _48358_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_132_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40129_ _40075_/A VGND VGND VPWR VPWR _40129_/X sky130_fd_sc_hd__buf_1
+XFILLER_709_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75826_ _38224_/B VGND VGND VPWR VPWR _75827_/B sky130_fd_sc_hd__buf_1
+XPHY_21384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63840_ _78507_/Q _63709_/B VGND VGND VPWR VPWR _63840_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_675_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48774_ _78506_/Q _48765_/B VGND VGND VPWR VPWR _48778_/A sky130_fd_sc_hd__nand2_2
+XPHY_9792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79594_ _79599_/CLK _79594_/D VGND VGND VPWR VPWR _41533_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_635_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45986_ _42756_/B _45986_/B VGND VGND VPWR VPWR _45987_/C sky130_fd_sc_hd__nor2_2
+XFILLER_140_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_447_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47725_ _78773_/Q _47725_/B VGND VGND VPWR VPWR _47725_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_384_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78545_ _78545_/CLK _48628_/Y VGND VGND VPWR VPWR _48625_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_690_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44937_ _44937_/A _44937_/B VGND VGND VPWR VPWR _44937_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_616_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63771_ _63771_/A _64033_/B VGND VGND VPWR VPWR _63771_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_649_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75757_ _75757_/A _75757_/B VGND VGND VPWR VPWR _80610_/D sky130_fd_sc_hd__nand2_2
+XFILLER_66_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60983_ _60821_/A _60983_/B _60983_/C VGND VGND VPWR VPWR _60984_/C sky130_fd_sc_hd__nor3_2
+X_72969_ _73517_/A VGND VGND VPWR VPWR _73511_/A sky130_fd_sc_hd__buf_1
+XFILLER_333_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_43_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_465_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65510_ _79989_/Q _65672_/B VGND VGND VPWR VPWR _65512_/B sky130_fd_sc_hd__nor2_2
+XFILLER_345_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_607_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62722_ _59141_/A VGND VGND VPWR VPWR _63038_/B sky130_fd_sc_hd__buf_1
+XFILLER_289_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74708_ _74706_/X _74708_/B VGND VGND VPWR VPWR _74708_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_76_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47656_ _47627_/A _47656_/B VGND VGND VPWR VPWR _47656_/X sky130_fd_sc_hd__or2_2
+XFILLER_605_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66490_ _65993_/A _66488_/Y _66490_/C VGND VGND VPWR VPWR _66491_/C sky130_fd_sc_hd__nor3_2
+X_78476_ _77872_/CLK _48894_/Y VGND VGND VPWR VPWR _63936_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_282_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_564_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44868_ _44840_/X _44867_/X VGND VGND VPWR VPWR _44868_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_65_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75688_ _75688_/A _75688_/B VGND VGND VPWR VPWR _75688_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_399_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_644_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_238_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_207_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_78_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_254_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_7_0_u_core.clock clkbuf_8_6_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_7_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_46607_ _46567_/A _46592_/B io_out[16] VGND VGND VPWR VPWR _46608_/C sky130_fd_sc_hd__and3_2
+XFILLER_207_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_228_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77427_ _76779_/CLK _77427_/D VGND VGND VPWR VPWR _52882_/A sky130_fd_sc_hd__dfxtp_4
+X_65441_ _64495_/A VGND VGND VPWR VPWR _65442_/A sky130_fd_sc_hd__buf_1
+XFILLER_165_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_247_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_93_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_662_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43819_ _43855_/A _43819_/B _43819_/C VGND VGND VPWR VPWR _79293_/D sky130_fd_sc_hd__nor3_2
+XFILLER_130_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62653_ _62179_/X _62649_/Y _62653_/C VGND VGND VPWR VPWR _62653_/Y sky130_fd_sc_hd__nor3_2
+X_74639_ _74253_/A _74646_/B VGND VGND VPWR VPWR _74639_/X sky130_fd_sc_hd__or2_2
+XFILLER_59_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_640_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47587_ _47587_/A _47587_/B VGND VGND VPWR VPWR _47587_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_345_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44799_ _44676_/C _44798_/Y VGND VGND VPWR VPWR _44809_/C sky130_fd_sc_hd__nor2_2
+XFILLER_39_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_241_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_697_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_206_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61604_ _61452_/A _61604_/B _61604_/C VGND VGND VPWR VPWR _61625_/A sky130_fd_sc_hd__nor3_2
+XFILLER_482_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49326_ _51148_/A VGND VGND VPWR VPWR _49434_/A sky130_fd_sc_hd__buf_1
+XFILLER_722_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68160_ _39292_/C _68004_/B VGND VGND VPWR VPWR _68161_/C sky130_fd_sc_hd__nor2_2
+XFILLER_108_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46538_ _41827_/B _46525_/X VGND VGND VPWR VPWR _46539_/B sky130_fd_sc_hd__or2_2
+XFILLER_501_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65372_ _65702_/A _65372_/B _65372_/C VGND VGND VPWR VPWR _65373_/B sky130_fd_sc_hd__nor3_2
+XFILLER_267_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77358_ _77028_/CLK _77358_/D VGND VGND VPWR VPWR _60620_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_440_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62584_ _49566_/A _62584_/B VGND VGND VPWR VPWR _62584_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_568_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_368_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_123_0_u_core.clock clkbuf_8_61_0_u_core.clock/X VGND VGND VPWR VPWR _76890_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_361_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_179_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_520_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67111_ _66774_/A _67111_/B _67111_/C VGND VGND VPWR VPWR _67112_/C sky130_fd_sc_hd__nor3_2
+XFILLER_411_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64323_ _64322_/X VGND VGND VPWR VPWR _69369_/B sky130_fd_sc_hd__buf_1
+X_76309_ _76299_/A _76303_/B _80472_/Q VGND VGND VPWR VPWR _76309_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_611_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61535_ _61535_/A _61233_/B VGND VGND VPWR VPWR _61535_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_278_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_72_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49257_ _63983_/A _49257_/B VGND VGND VPWR VPWR _49257_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_395_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68091_ _67580_/X _68086_/Y _68090_/Y VGND VGND VPWR VPWR _68091_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_308_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46469_ _46561_/B _47098_/A VGND VGND VPWR VPWR _46470_/A sky130_fd_sc_hd__or2_2
+XFILLER_520_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_11_0_u_core.clock clkbuf_7_5_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_23_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_597_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77289_ _77283_/CLK _53422_/Y VGND VGND VPWR VPWR _77289_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_597_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_343_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39010_ _39010_/A _39010_/B VGND VGND VPWR VPWR _39010_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_226_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48208_ _48206_/Y _48207_/X VGND VGND VPWR VPWR _78651_/D sky130_fd_sc_hd__nand2_2
+XFILLER_198_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67042_ _66881_/A _67040_/Y _67042_/C VGND VGND VPWR VPWR _67042_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_411_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_194_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_8_232_0_u_core.clock clkbuf_7_116_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_465_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_378_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79028_ _78899_/CLK _79028_/D VGND VGND VPWR VPWR _79028_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64254_ _64168_/A _64252_/Y _64254_/C VGND VGND VPWR VPWR _64265_/B sky130_fd_sc_hd__nor3_2
+XFILLER_695_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61466_ _62247_/A VGND VGND VPWR VPWR _62092_/B sky130_fd_sc_hd__buf_1
+XFILLER_33_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49188_ _49099_/A _49179_/B VGND VGND VPWR VPWR _49188_/X sky130_fd_sc_hd__or2_2
+XFILLER_558_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_292_0_u_core.clock clkbuf_9_293_0_u_core.clock/A VGND VGND VPWR VPWR _81446_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_147_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_349_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63205_ _63205_/A _62584_/B VGND VGND VPWR VPWR _63206_/C sky130_fd_sc_hd__nor2_2
+XFILLER_634_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_71 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_651_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48139_ _48137_/X _48166_/B VGND VGND VPWR VPWR _48140_/B sky130_fd_sc_hd__or2_2
+X_60417_ _60339_/Y _60417_/B VGND VGND VPWR VPWR _60417_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_321_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_30_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_159_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_638_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64185_ _66649_/A VGND VGND VPWR VPWR _64186_/B sky130_fd_sc_hd__buf_1
+XFILLER_552_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61397_ _61397_/A _61397_/B VGND VGND VPWR VPWR _61435_/B sky130_fd_sc_hd__nor2_2
+XFILLER_725_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_200_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_50_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_239_0_u_core.clock clkbuf_9_239_0_u_core.clock/A VGND VGND VPWR VPWR _79775_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_438_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51150_ _62562_/A _51157_/B VGND VGND VPWR VPWR _51153_/A sky130_fd_sc_hd__nand2_2
+XFILLER_89_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63136_ _48570_/A _62835_/X VGND VGND VPWR VPWR _63138_/B sky130_fd_sc_hd__nor2_2
+XFILLER_716_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_308_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60348_ _59100_/A VGND VGND VPWR VPWR _61913_/A sky130_fd_sc_hd__buf_1
+XFILLER_89_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68993_ _80234_/Q _68666_/X VGND VGND VPWR VPWR _68993_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_293_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_176_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_698_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_274_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50101_ _50101_/A _50091_/X VGND VGND VPWR VPWR _50105_/A sky130_fd_sc_hd__nand2_2
+XFILLER_31_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39912_ _39985_/A VGND VGND VPWR VPWR _39912_/X sky130_fd_sc_hd__buf_1
+XFILLER_172_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_651_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_66_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51081_ _51081_/A _51080_/X VGND VGND VPWR VPWR _51081_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_432_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67944_ _68600_/A _67944_/B _67944_/C VGND VGND VPWR VPWR _67944_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_179_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63067_ _62448_/A _63058_/Y _63066_/Y VGND VGND VPWR VPWR _63067_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_419_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_190_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60279_ _77092_/Q _60442_/B VGND VGND VPWR VPWR _60282_/B sky130_fd_sc_hd__nor2_2
+XFILLER_274_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_48_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_289_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_639_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50032_ _50032_/A _50031_/X VGND VGND VPWR VPWR _78181_/D sky130_fd_sc_hd__nand2_2
+XFILLER_549_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62018_ _61539_/A _62016_/Y _62018_/C VGND VGND VPWR VPWR _62019_/C sky130_fd_sc_hd__nor3_2
+XFILLER_227_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_3055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39843_ _39843_/A _39834_/B _39843_/C VGND VGND VPWR VPWR _39843_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_115_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67875_ _67716_/A _67875_/B _67874_/Y VGND VGND VPWR VPWR _67875_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_432_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_569_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_547_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_217_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_315_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69614_ _69614_/A _69614_/B VGND VGND VPWR VPWR _69663_/A sky130_fd_sc_hd__or2_2
+XFILLER_478_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_288_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54840_ _53337_/A VGND VGND VPWR VPWR _54955_/A sky130_fd_sc_hd__buf_1
+XFILLER_467_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66826_ _79965_/Q _66991_/B VGND VGND VPWR VPWR _66826_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_213_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39774_ _39769_/X _39764_/X _80039_/Q VGND VGND VPWR VPWR _39774_/Y sky130_fd_sc_hd__nand3_2
+XPHY_7119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_170_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_629_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_467_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_29_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_582_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38725_ _38714_/X _38718_/X _80307_/Q VGND VGND VPWR VPWR _38726_/B sky130_fd_sc_hd__nand3_2
+XFILLER_484_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69545_ _38745_/C _69267_/B VGND VGND VPWR VPWR _69547_/B sky130_fd_sc_hd__nor2_2
+XFILLER_22_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54771_ _59800_/A _54771_/B VGND VGND VPWR VPWR _54773_/A sky130_fd_sc_hd__nand2_2
+X_66757_ _68569_/A VGND VGND VPWR VPWR _66757_/X sky130_fd_sc_hd__buf_1
+XFILLER_285_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51983_ _51976_/A VGND VGND VPWR VPWR _51993_/B sky130_fd_sc_hd__buf_1
+XFILLER_645_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63969_ _59295_/A _63967_/Y _63969_/C VGND VGND VPWR VPWR _63969_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_662_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56510_ _56259_/X VGND VGND VPWR VPWR _56510_/X sky130_fd_sc_hd__buf_1
+XPHY_5706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_131_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53722_ _59699_/A _53719_/B VGND VGND VPWR VPWR _53724_/A sky130_fd_sc_hd__nand2_2
+XFILLER_2_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65708_ _65708_/A _65202_/B VGND VGND VPWR VPWR _65708_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_57_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_606_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_697_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38656_ _38656_/A _38660_/B _80326_/Q VGND VGND VPWR VPWR _38656_/Y sky130_fd_sc_hd__nand3_2
+X_50934_ _50991_/A _50908_/X VGND VGND VPWR VPWR _50934_/X sky130_fd_sc_hd__or2_2
+X_57490_ _57807_/A _57490_/B _57489_/Y VGND VGND VPWR VPWR _57498_/A sky130_fd_sc_hd__nor3_2
+X_69476_ _69476_/A _65063_/B VGND VGND VPWR VPWR _69478_/B sky130_fd_sc_hd__nor2_2
+XPHY_5728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_508_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_582_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_525_2840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66688_ _66670_/Y _66688_/B VGND VGND VPWR VPWR _66689_/B sky130_fd_sc_hd__nor2_2
+XFILLER_634_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_498 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_211_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_623_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80705_ _80734_/CLK _80705_/D VGND VGND VPWR VPWR _67363_/A sky130_fd_sc_hd__dfxtp_4
+X_56441_ _56855_/A VGND VGND VPWR VPWR _56596_/B sky130_fd_sc_hd__buf_1
+X_68427_ _58324_/A _68261_/X VGND VGND VPWR VPWR _68428_/C sky130_fd_sc_hd__nor2_2
+XFILLER_246_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53653_ _53660_/A VGND VGND VPWR VPWR _53672_/B sky130_fd_sc_hd__buf_1
+XFILLER_465_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65639_ _65301_/A _65637_/Y _65638_/Y VGND VGND VPWR VPWR _65639_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_211_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38587_ _38517_/A VGND VGND VPWR VPWR _38605_/B sky130_fd_sc_hd__buf_1
+XFILLER_461_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50865_ _50865_/A VGND VGND VPWR VPWR _50925_/A sky130_fd_sc_hd__buf_1
+XFILLER_721_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_623_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_77_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52604_ _52604_/A _52578_/X VGND VGND VPWR VPWR _52604_/X sky130_fd_sc_hd__or2_2
+XPHY_27019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59160_ _59160_/A VGND VGND VPWR VPWR _60834_/A sky130_fd_sc_hd__buf_1
+XFILLER_285_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_426_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_77_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56372_ _56529_/A VGND VGND VPWR VPWR _57951_/A sky130_fd_sc_hd__buf_1
+X_80636_ _80637_/CLK _75659_/Y VGND VGND VPWR VPWR _66537_/A sky130_fd_sc_hd__dfxtp_4
+X_68358_ _67871_/A _68358_/B _68358_/C VGND VGND VPWR VPWR _68362_/B sky130_fd_sc_hd__nor3_2
+XFILLER_399_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_164_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53584_ _53582_/Y _53584_/B VGND VGND VPWR VPWR _77245_/D sky130_fd_sc_hd__nand2_2
+XFILLER_404_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_213_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50796_ _50796_/A _50789_/B VGND VGND VPWR VPWR _50799_/A sky130_fd_sc_hd__nand2_2
+XFILLER_460_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_404_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_469_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_361_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_341_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_80_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58111_ _39783_/C _58111_/B VGND VGND VPWR VPWR _58113_/B sky130_fd_sc_hd__nor2_2
+XFILLER_142_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_404_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_53_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_309_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_548_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67309_ _67309_/A VGND VGND VPWR VPWR _67310_/B sky130_fd_sc_hd__buf_1
+XFILLER_396_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55323_ _55323_/A VGND VGND VPWR VPWR _55422_/A sky130_fd_sc_hd__buf_1
+XFILLER_224_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_575_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_188_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_708_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52535_ _52563_/A _52544_/B VGND VGND VPWR VPWR _52536_/B sky130_fd_sc_hd__or2_2
+XFILLER_502_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59091_ _59091_/A VGND VGND VPWR VPWR _59372_/A sky130_fd_sc_hd__buf_1
+XPHY_26329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_298_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80567_ _80577_/CLK _75919_/Y VGND VGND VPWR VPWR _75918_/C sky130_fd_sc_hd__dfxtp_4
+X_68289_ _80614_/Q _67803_/B VGND VGND VPWR VPWR _68290_/C sky130_fd_sc_hd__nor2_2
+XFILLER_244_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_201_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39208_ _39208_/A _39189_/X VGND VGND VPWR VPWR _39210_/A sky130_fd_sc_hd__or2_2
+XFILLER_200_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58042_ _57643_/A VGND VGND VPWR VPWR _58045_/A sky130_fd_sc_hd__buf_1
+XFILLER_107_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70320_ _70384_/A _70320_/B _70320_/C VGND VGND VPWR VPWR _70321_/A sky130_fd_sc_hd__or3_2
+XFILLER_212_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55254_ _55377_/A VGND VGND VPWR VPWR _55470_/A sky130_fd_sc_hd__buf_1
+XFILLER_617_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40480_ _40608_/A _40493_/B VGND VGND VPWR VPWR _40480_/X sky130_fd_sc_hd__or2_2
+X_52466_ _52556_/A _52485_/B VGND VGND VPWR VPWR _52466_/X sky130_fd_sc_hd__or2_2
+XFILLER_495_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80498_ _80465_/CLK _76208_/Y VGND VGND VPWR VPWR _64885_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_515_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_576_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54205_ _54083_/A _54205_/B VGND VGND VPWR VPWR _54206_/B sky130_fd_sc_hd__or2_2
+XFILLER_717_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_476_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51417_ _51720_/A VGND VGND VPWR VPWR _51482_/A sky130_fd_sc_hd__buf_1
+XPHY_14404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39139_ _39148_/A _39148_/B _68498_/A VGND VGND VPWR VPWR _39140_/B sky130_fd_sc_hd__nand3_2
+XPHY_15149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70251_ _70228_/X VGND VGND VPWR VPWR _70252_/A sky130_fd_sc_hd__inv_8
+XFILLER_554_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_355_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_279_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_354_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55185_ _55171_/A _55181_/Y _55185_/C VGND VGND VPWR VPWR _55187_/A sky130_fd_sc_hd__nor3_2
+XFILLER_205_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_60 _57542_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_218_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52397_ _60495_/A _52394_/B VGND VGND VPWR VPWR _52397_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_689_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_296_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_165_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_71 _56825_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_300_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_300_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_159_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_82 _59932_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_177_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_437_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42150_ _42150_/A _42139_/B VGND VGND VPWR VPWR _42152_/A sky130_fd_sc_hd__nor2_2
+XPHY_14448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54136_ _54143_/A VGND VGND VPWR VPWR _54152_/B sky130_fd_sc_hd__buf_1
+XFILLER_292_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_93 _60890_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_472_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51348_ _51338_/X VGND VGND VPWR VPWR _51361_/B sky130_fd_sc_hd__buf_1
+XPHY_13714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70182_ _70182_/A _70182_/B _70182_/C VGND VGND VPWR VPWR _70182_/Y sky130_fd_sc_hd__nor3_2
+XPHY_14459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_119_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59993_ _59993_/A _59991_/Y _59993_/C VGND VGND VPWR VPWR _59993_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_326_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_181_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_272_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41101_ _41098_/X _41100_/Y VGND VGND VPWR VPWR _79706_/D sky130_fd_sc_hd__nand2_2
+XPHY_31104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_333_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81119_ _81148_/CLK _81119_/D VGND VGND VPWR VPWR _81119_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_415_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42081_ _69622_/B _42095_/B VGND VGND VPWR VPWR _42082_/B sky130_fd_sc_hd__nor2_2
+X_54067_ _54067_/A _54100_/B VGND VGND VPWR VPWR _54067_/X sky130_fd_sc_hd__or2_2
+X_58944_ _53274_/A _59308_/B VGND VGND VPWR VPWR _58945_/C sky130_fd_sc_hd__nor2_2
+XFILLER_238_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51279_ _51229_/A _51394_/A VGND VGND VPWR VPWR _51279_/X sky130_fd_sc_hd__or2_2
+XPHY_9000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74990_ _74990_/A _74989_/X VGND VGND VPWR VPWR _74990_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_374_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_84_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_532_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53018_ _60718_/A _53018_/B VGND VGND VPWR VPWR _53020_/A sky130_fd_sc_hd__nand2_2
+X_41032_ _39132_/A VGND VGND VPWR VPWR _41180_/A sky130_fd_sc_hd__buf_1
+XFILLER_587_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_352_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73941_ _73941_/A _73951_/B VGND VGND VPWR VPWR _73943_/A sky130_fd_sc_hd__or2_2
+XPHY_30425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58875_ _78983_/Q _58875_/B VGND VGND VPWR VPWR _58877_/B sky130_fd_sc_hd__nor2_2
+XPHY_30436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_673_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_7_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_448_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_188_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_685_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45840_ _44562_/A VGND VGND VPWR VPWR _45840_/X sky130_fd_sc_hd__buf_1
+XFILLER_568_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57826_ _56332_/A VGND VGND VPWR VPWR _57826_/X sky130_fd_sc_hd__buf_1
+XFILLER_657_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76660_ _76664_/CLK _57707_/Y VGND VGND VPWR VPWR _70438_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_466_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_485_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73872_ _73872_/A VGND VGND VPWR VPWR _73985_/B sky130_fd_sc_hd__buf_1
+XFILLER_251_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_251_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_437_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75611_ _75607_/X _75610_/Y VGND VGND VPWR VPWR _80649_/D sky130_fd_sc_hd__nand2_2
+XFILLER_638_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72823_ _72823_/A _72820_/B VGND VGND VPWR VPWR _72823_/X sky130_fd_sc_hd__or2_2
+XFILLER_437_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_672_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_121_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_646_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57757_ _38918_/C _57514_/B VGND VGND VPWR VPWR _57757_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_409_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45771_ _45771_/A _43529_/X VGND VGND VPWR VPWR _45775_/A sky130_fd_sc_hd__nor2_2
+XFILLER_310_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76591_ VGND VGND VPWR VPWR _76591_/HI la_data_out[87] sky130_fd_sc_hd__conb_1
+XPHY_7653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54969_ _60215_/A _54976_/B VGND VGND VPWR VPWR _54971_/A sky130_fd_sc_hd__nand2_2
+X_42983_ _42986_/A _42978_/X _65320_/A VGND VGND VPWR VPWR _42983_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_153_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47510_ _47505_/Y _47509_/X VGND VGND VPWR VPWR _78826_/D sky130_fd_sc_hd__nand2_2
+X_78330_ _78332_/CLK _49469_/Y VGND VGND VPWR VPWR _62596_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56708_ _56348_/A VGND VGND VPWR VPWR _56709_/B sky130_fd_sc_hd__buf_1
+X_44722_ _79202_/Q _44517_/B _44722_/C VGND VGND VPWR VPWR _44722_/Y sky130_fd_sc_hd__nor3_2
+XPHY_7686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75542_ _75517_/A VGND VGND VPWR VPWR _75548_/B sky130_fd_sc_hd__buf_1
+XFILLER_524_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_448_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41934_ _41934_/A VGND VGND VPWR VPWR _55965_/A sky130_fd_sc_hd__inv_8
+XPHY_39434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48490_ _48451_/X _48489_/X VGND VGND VPWR VPWR _48516_/B sky130_fd_sc_hd__or2_2
+XFILLER_561_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72754_ _72754_/A _72744_/B VGND VGND VPWR VPWR _72757_/A sky130_fd_sc_hd__or2_2
+XFILLER_5_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_47_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57688_ _57680_/Y _57687_/Y VGND VGND VPWR VPWR _57689_/B sky130_fd_sc_hd__nor2_2
+XFILLER_43_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_598_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_247_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_598_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47441_ _47441_/A _47440_/X VGND VGND VPWR VPWR _47441_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_429_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59427_ _77286_/Q _59751_/B VGND VGND VPWR VPWR _59430_/B sky130_fd_sc_hd__nor2_2
+X_71705_ _71705_/A _71233_/A VGND VGND VPWR VPWR _71708_/C sky130_fd_sc_hd__nor2_2
+XPHY_38733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78261_ _77762_/CLK _49734_/Y VGND VGND VPWR VPWR _61787_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44653_ _44653_/A VGND VGND VPWR VPWR _65870_/A sky130_fd_sc_hd__inv_8
+XPHY_6996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56639_ _56638_/X VGND VGND VPWR VPWR _56649_/A sky130_fd_sc_hd__buf_1
+XFILLER_56_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75473_ _75478_/A _75473_/B _80677_/Q VGND VGND VPWR VPWR _75473_/Y sky130_fd_sc_hd__nand3_2
+X_41865_ _41865_/A _41865_/B VGND VGND VPWR VPWR _46519_/A sky130_fd_sc_hd__nor2_2
+XPHY_38744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72685_ _72667_/A VGND VGND VPWR VPWR _72686_/B sky130_fd_sc_hd__buf_1
+XPHY_28221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77212_ _77211_/CLK _77212_/D VGND VGND VPWR VPWR _77212_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_28243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43604_ _42646_/B _43607_/B VGND VGND VPWR VPWR _43604_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_602_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74424_ _74538_/B VGND VGND VPWR VPWR _74425_/B sky130_fd_sc_hd__buf_1
+XFILLER_426_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40816_ _40814_/X _40815_/Y VGND VGND VPWR VPWR _40816_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_264_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59358_ _59358_/A _59844_/B VGND VGND VPWR VPWR _59359_/C sky130_fd_sc_hd__nor2_2
+X_71636_ _71636_/A VGND VGND VPWR VPWR _74079_/A sky130_fd_sc_hd__inv_8
+X_47372_ _63655_/A _47365_/B VGND VGND VPWR VPWR _47374_/A sky130_fd_sc_hd__nand2_2
+XFILLER_597_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78192_ _78191_/CLK _49995_/Y VGND VGND VPWR VPWR _62230_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_204_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44584_ _44575_/B _44583_/Y _44576_/C VGND VGND VPWR VPWR _44584_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_16_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_425_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41796_ _46644_/A _41796_/B VGND VGND VPWR VPWR _41796_/X sky130_fd_sc_hd__or2_2
+XFILLER_280_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_95_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_640_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_349_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_186_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_245_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49111_ _49110_/X VGND VGND VPWR VPWR _49112_/B sky130_fd_sc_hd__buf_1
+XFILLER_91_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46323_ _43027_/Y _46323_/B VGND VGND VPWR VPWR _46323_/X sky130_fd_sc_hd__or2_2
+X_58309_ _57112_/A VGND VGND VPWR VPWR _58389_/B sky130_fd_sc_hd__buf_1
+XFILLER_305_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77143_ _77142_/CLK _77143_/D VGND VGND VPWR VPWR _53967_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_635_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43535_ _43531_/Y _43534_/Y VGND VGND VPWR VPWR _43535_/X sky130_fd_sc_hd__or2_2
+XFILLER_422_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74355_ _74491_/A _74348_/X VGND VGND VPWR VPWR _74355_/X sky130_fd_sc_hd__or2_2
+X_40747_ _40756_/A _40750_/B _56586_/A VGND VGND VPWR VPWR _40747_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_502_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71567_ _71670_/A _42940_/A VGND VGND VPWR VPWR _71567_/X sky130_fd_sc_hd__or2_2
+XFILLER_403_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59289_ _59110_/A VGND VGND VPWR VPWR _59289_/X sky130_fd_sc_hd__buf_1
+XFILLER_160_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49042_ _47537_/B _48828_/X VGND VGND VPWR VPWR _54787_/A sky130_fd_sc_hd__or2_2
+X_61320_ _51599_/A _61161_/B VGND VGND VPWR VPWR _61320_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_199_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73306_ _73361_/A VGND VGND VPWR VPWR _73306_/X sky130_fd_sc_hd__buf_1
+XFILLER_242_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46254_ _46302_/A VGND VGND VPWR VPWR _46259_/A sky130_fd_sc_hd__buf_1
+XPHY_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_195_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70518_ _70518_/A VGND VGND VPWR VPWR _70518_/Y sky130_fd_sc_hd__inv_8
+X_77074_ _77060_/CLK _77074_/D VGND VGND VPWR VPWR _54230_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_566_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43466_ _43466_/A VGND VGND VPWR VPWR _43476_/A sky130_fd_sc_hd__buf_1
+XFILLER_654_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_519_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74286_ _74284_/X _74285_/Y VGND VGND VPWR VPWR _80973_/D sky130_fd_sc_hd__nand2_2
+XPHY_17085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_676_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40678_ _40670_/X _40678_/B _68149_/A VGND VGND VPWR VPWR _40678_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_553_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71498_ _71498_/A _71498_/B VGND VGND VPWR VPWR _71501_/B sky130_fd_sc_hd__nor2_2
+XPHY_16351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45205_ _45205_/A _45205_/B VGND VGND VPWR VPWR _45205_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_657_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76025_ _75904_/A _76018_/B VGND VGND VPWR VPWR _76025_/X sky130_fd_sc_hd__or2_2
+XFILLER_635_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_519_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42417_ _42417_/A _45233_/B _78974_/Q VGND VGND VPWR VPWR _42418_/B sky130_fd_sc_hd__or3_2
+X_61251_ _61251_/A _60630_/B VGND VGND VPWR VPWR _61252_/C sky130_fd_sc_hd__nor2_2
+XFILLER_459_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73237_ _75043_/A _73237_/B VGND VGND VPWR VPWR _73238_/B sky130_fd_sc_hd__or2_2
+XFILLER_364_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_635_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_536_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_317_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70449_ _70376_/Y _70449_/B VGND VGND VPWR VPWR _70449_/X sky130_fd_sc_hd__or2_2
+X_46185_ _46185_/A _46165_/X _46141_/X _46184_/Y VGND VGND VPWR VPWR _46185_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_494_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_395_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43397_ _43377_/A VGND VGND VPWR VPWR _43398_/B sky130_fd_sc_hd__buf_1
+XFILLER_102_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_125_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_572_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_356_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60202_ _77131_/Q _60519_/B VGND VGND VPWR VPWR _60204_/B sky130_fd_sc_hd__nor2_2
+XPHY_15672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_86_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_715_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45136_ _45136_/A _45107_/B VGND VGND VPWR VPWR _45136_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_616_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_570_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42348_ _42348_/A _42348_/B VGND VGND VPWR VPWR _79491_/D sky130_fd_sc_hd__nor2_2
+XFILLER_195_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61182_ _51254_/A _61182_/B VGND VGND VPWR VPWR _61182_/Y sky130_fd_sc_hd__nor2_2
+X_73168_ _74989_/A _73154_/X VGND VGND VPWR VPWR _73168_/X sky130_fd_sc_hd__or2_2
+XFILLER_119_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_176_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_67_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60133_ _76915_/Q _59811_/B VGND VGND VPWR VPWR _60133_/Y sky130_fd_sc_hd__nor2_2
+X_72119_ _71056_/A VGND VGND VPWR VPWR _69566_/B sky130_fd_sc_hd__buf_1
+XPHY_14982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_2906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49944_ _49795_/A VGND VGND VPWR VPWR _50009_/A sky130_fd_sc_hd__buf_1
+XFILLER_360_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45067_ _45043_/Y _45065_/Y _45407_/A VGND VGND VPWR VPWR _45067_/Y sky130_fd_sc_hd__nor3_2
+XPHY_32350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_158_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65990_ _80248_/Q _66326_/B VGND VGND VPWR VPWR _65993_/B sky130_fd_sc_hd__nor2_2
+X_42279_ _42277_/Y _42279_/B VGND VGND VPWR VPWR _42279_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_416_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73099_ _73102_/A _73102_/B _64584_/A VGND VGND VPWR VPWR _73099_/Y sky130_fd_sc_hd__nand3_2
+X_77976_ _78494_/CLK _77976_/D VGND VGND VPWR VPWR _62143_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_648_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_420_0_u_core.clock clkbuf_9_421_0_u_core.clock/A VGND VGND VPWR VPWR _79921_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_98_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_686_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44018_ _44018_/A VGND VGND VPWR VPWR _44018_/Y sky130_fd_sc_hd__inv_8
+XFILLER_113_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_320_309 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79715_ _79716_/CLK _79715_/D VGND VGND VPWR VPWR _58019_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_360_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76927_ _76941_/CLK _76927_/D VGND VGND VPWR VPWR _59480_/A sky130_fd_sc_hd__dfxtp_4
+X_60064_ _59577_/A _60064_/B _60063_/Y VGND VGND VPWR VPWR _60065_/C sky130_fd_sc_hd__nor3_2
+X_64941_ _64174_/A VGND VGND VPWR VPWR _64942_/B sky130_fd_sc_hd__buf_1
+XFILLER_570_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49875_ _62065_/A _49860_/B VGND VGND VPWR VPWR _49875_/Y sky130_fd_sc_hd__nand2_2
+XPHY_31660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_152_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_3_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_97_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48826_ _48915_/A _48803_/A VGND VGND VPWR VPWR _48827_/B sky130_fd_sc_hd__or2_2
+XFILLER_468_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67660_ _67004_/A _67660_/B _67659_/Y VGND VGND VPWR VPWR _67660_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_217_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79646_ _79641_/CLK _79646_/D VGND VGND VPWR VPWR _66962_/A sky130_fd_sc_hd__dfxtp_4
+X_64872_ _66547_/A VGND VGND VPWR VPWR _65572_/A sky130_fd_sc_hd__buf_1
+XFILLER_484_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76858_ _76787_/CLK _76858_/D VGND VGND VPWR VPWR _76858_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_21181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_45_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_274_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66611_ _66293_/A _66611_/B _66610_/Y VGND VGND VPWR VPWR _66691_/B sky130_fd_sc_hd__nor3_2
+XFILLER_23_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_566_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63823_ _63823_/A _63414_/X VGND VGND VPWR VPWR _63823_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_230_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75809_ _75624_/A VGND VGND VPWR VPWR _75887_/A sky130_fd_sc_hd__buf_1
+XFILLER_80_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_132_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48757_ _48755_/Y _48757_/B VGND VGND VPWR VPWR _78510_/D sky130_fd_sc_hd__nand2_2
+XFILLER_709_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67591_ _65102_/A VGND VGND VPWR VPWR _67592_/B sky130_fd_sc_hd__buf_1
+XPHY_20480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79577_ _79584_/CLK _41596_/Y VGND VGND VPWR VPWR _66133_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_681_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_268 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45969_ _45969_/A _45969_/B _45969_/C VGND VGND VPWR VPWR _45969_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_583_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_509_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76789_ _78660_/CLK _55744_/Y VGND VGND VPWR VPWR _55741_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_187_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38510_ _38508_/X _38510_/B VGND VGND VPWR VPWR _38510_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_66_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69330_ _69330_/A _69330_/B _69330_/C VGND VGND VPWR VPWR _69331_/C sky130_fd_sc_hd__nor3_2
+XFILLER_509_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47708_ _47708_/A _47707_/X VGND VGND VPWR VPWR _47708_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_22_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66542_ _66707_/A _66542_/B _66542_/C VGND VGND VPWR VPWR _66543_/C sky130_fd_sc_hd__nor3_2
+XFILLER_113_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78528_ _78525_/CLK _78528_/D VGND VGND VPWR VPWR _63436_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_427_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_690_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39490_ _39247_/A _39378_/A VGND VGND VPWR VPWR _39490_/X sky130_fd_sc_hd__or2_2
+X_63754_ _63754_/A _63169_/X VGND VGND VPWR VPWR _63755_/C sky130_fd_sc_hd__nor2_2
+XFILLER_364_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60966_ _60800_/A _60966_/B _60965_/Y VGND VGND VPWR VPWR _60966_/Y sky130_fd_sc_hd__nor3_2
+X_48688_ _78529_/Q _48681_/B VGND VGND VPWR VPWR _48690_/A sky130_fd_sc_hd__nand2_2
+XFILLER_616_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_191_0_u_core.clock clkbuf_7_95_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_383_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_443_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_650_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38441_ _76320_/A _38434_/B VGND VGND VPWR VPWR _38441_/X sky130_fd_sc_hd__or2_2
+X_62705_ _62864_/A _62705_/B _62705_/C VGND VGND VPWR VPWR _62709_/B sky130_fd_sc_hd__nor3_2
+XFILLER_66_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69261_ _58667_/A _68821_/X VGND VGND VPWR VPWR _69261_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_81_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47639_ _63778_/A _47632_/B VGND VGND VPWR VPWR _47639_/Y sky130_fd_sc_hd__nand2_2
+X_66473_ _64794_/A VGND VGND VPWR VPWR _66473_/X sky130_fd_sc_hd__buf_1
+X_78459_ _78451_/CLK _48964_/Y VGND VGND VPWR VPWR _63812_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_228_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63685_ _59346_/A _63685_/B _63685_/C VGND VGND VPWR VPWR _63686_/C sky130_fd_sc_hd__nor3_2
+XFILLER_380_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60897_ _60267_/A _60895_/Y _60897_/C VGND VGND VPWR VPWR _60897_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_78_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_289_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68212_ _67728_/A _68210_/Y _68212_/C VGND VGND VPWR VPWR _68212_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_640_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65424_ _67208_/A VGND VGND VPWR VPWR _65424_/X sky130_fd_sc_hd__buf_1
+Xclkbuf_8_138_0_u_core.clock clkbuf_7_69_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_277_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_442_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38372_ _38372_/A _38372_/B VGND VGND VPWR VPWR _38372_/Y sky130_fd_sc_hd__nand2_2
+X_62636_ _62624_/X _62636_/B _62635_/Y VGND VGND VPWR VPWR _62644_/B sky130_fd_sc_hd__nor3_2
+XFILLER_263_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50650_ _50650_/A _50649_/X VGND VGND VPWR VPWR _50650_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_503_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81470_ _81470_/CLK _72253_/Y VGND VGND VPWR VPWR _57587_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_267_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69192_ _69330_/A _69192_/B _69192_/C VGND VGND VPWR VPWR _69193_/C sky130_fd_sc_hd__nor3_2
+XFILLER_52_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_165_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_198_0_u_core.clock clkbuf_8_99_0_u_core.clock/X VGND VGND VPWR VPWR _79479_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_640_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_640_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_289_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_62_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_35_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49309_ _49309_/A _49291_/B VGND VGND VPWR VPWR _49309_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_37_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80421_ _80421_/CLK _38264_/Y VGND VGND VPWR VPWR _68055_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_557_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68143_ _67644_/X _68143_/B _68143_/C VGND VGND VPWR VPWR _68143_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_495_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_280_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65355_ _65851_/A _65353_/Y _65354_/Y VGND VGND VPWR VPWR _65359_/B sky130_fd_sc_hd__nor3_2
+Xclkbuf_8_86_0_u_core.clock clkbuf_8_86_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_86_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_225_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50581_ _50578_/Y _50580_/X VGND VGND VPWR VPWR _78037_/D sky130_fd_sc_hd__nand2_2
+X_62567_ _62364_/X _62567_/B VGND VGND VPWR VPWR _62606_/B sky130_fd_sc_hd__nor2_2
+XFILLER_50_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_323_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52320_ _52767_/A VGND VGND VPWR VPWR _52320_/X sky130_fd_sc_hd__buf_1
+XFILLER_495_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64306_ _64306_/A VGND VGND VPWR VPWR _65654_/A sky130_fd_sc_hd__buf_1
+XFILLER_323_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61518_ _60898_/A _61514_/Y _61517_/Y VGND VGND VPWR VPWR _61526_/B sky130_fd_sc_hd__nor3_2
+XFILLER_107_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80352_ _80393_/CLK _38537_/Y VGND VGND VPWR VPWR _67228_/A sky130_fd_sc_hd__dfxtp_4
+X_68074_ _68393_/A _68074_/B _68074_/C VGND VGND VPWR VPWR _68082_/B sky130_fd_sc_hd__nor3_2
+XFILLER_395_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_179_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65286_ _65460_/A _65286_/B _65286_/C VGND VGND VPWR VPWR _65287_/C sky130_fd_sc_hd__nor3_2
+XFILLER_397_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62498_ _78002_/Q _62966_/B VGND VGND VPWR VPWR _62498_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_692_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_339_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_573_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67025_ _67025_/A _67025_/B VGND VGND VPWR VPWR _67026_/C sky130_fd_sc_hd__nor2_2
+XFILLER_349_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52251_ _52276_/B VGND VGND VPWR VPWR _52266_/B sky130_fd_sc_hd__buf_1
+XFILLER_383_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64237_ _64840_/A VGND VGND VPWR VPWR _67932_/A sky130_fd_sc_hd__buf_1
+XFILLER_178_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61449_ _77691_/Q _60820_/B VGND VGND VPWR VPWR _61450_/C sky130_fd_sc_hd__nor2_2
+X_80283_ _80289_/CLK _38817_/Y VGND VGND VPWR VPWR _38816_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_17_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_85_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_15_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51202_ _51199_/Y _51202_/B VGND VGND VPWR VPWR _51202_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_374_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_356_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_317_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_699_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_374_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52182_ _52182_/A _52187_/B VGND VGND VPWR VPWR _52182_/X sky130_fd_sc_hd__or2_2
+XFILLER_148_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64168_ _64168_/A _64168_/B _64168_/C VGND VGND VPWR VPWR _64169_/C sky130_fd_sc_hd__nor3_2
+XFILLER_239_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_552_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_356_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_510_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_1437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51133_ _51019_/A _51123_/X VGND VGND VPWR VPWR _51133_/X sky130_fd_sc_hd__or2_2
+XFILLER_702_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63119_ _78550_/Q _63716_/B VGND VGND VPWR VPWR _63120_/C sky130_fd_sc_hd__nor2_2
+XFILLER_356_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_219_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_631_2776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56990_ _56913_/X _56990_/B _56990_/C VGND VGND VPWR VPWR _56991_/C sky130_fd_sc_hd__nor3_2
+XFILLER_293_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64099_ _64130_/A VGND VGND VPWR VPWR _64497_/A sky130_fd_sc_hd__buf_1
+X_68976_ _68976_/A _68311_/B VGND VGND VPWR VPWR _68976_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_305_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_454_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_415_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55941_ _69812_/B _42154_/B VGND VGND VPWR VPWR _55941_/Y sky130_fd_sc_hd__nor2_2
+X_51064_ _51036_/A _51082_/B VGND VGND VPWR VPWR _51065_/B sky130_fd_sc_hd__or2_2
+X_67927_ _67927_/A _68092_/B VGND VGND VPWR VPWR _67927_/Y sky130_fd_sc_hd__nor2_2
+XPHY_11619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_274_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_514_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_686_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50015_ _50015_/A VGND VGND VPWR VPWR _50028_/B sky130_fd_sc_hd__buf_1
+XFILLER_119_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39826_ _39824_/X _39825_/Y VGND VGND VPWR VPWR _80028_/D sky130_fd_sc_hd__nand2_2
+XFILLER_157_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58660_ _58660_/A _58660_/B _58659_/Y VGND VGND VPWR VPWR _58660_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_135_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55872_ _46074_/A _44972_/Y VGND VGND VPWR VPWR _55874_/B sky130_fd_sc_hd__nor2_2
+X_67858_ _67858_/A _67858_/B _67857_/Y VGND VGND VPWR VPWR _67858_/X sky130_fd_sc_hd__or3_2
+XPHY_10929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_66_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_opt_4_u_core.clock _79557_/CLK VGND VGND VPWR VPWR _79402_/CLK sky130_fd_sc_hd__clkbuf_16
+X_57611_ _56807_/X VGND VGND VPWR VPWR _57611_/X sky130_fd_sc_hd__buf_1
+Xclkbuf_9_66_0_u_core.clock clkbuf_9_67_0_u_core.clock/A VGND VGND VPWR VPWR _78477_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_389_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54823_ _53316_/A VGND VGND VPWR VPWR _54942_/A sky130_fd_sc_hd__buf_1
+XFILLER_88_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66809_ _57517_/A _66639_/B VGND VGND VPWR VPWR _66809_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_330_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39757_ _39757_/A VGND VGND VPWR VPWR _40266_/A sky130_fd_sc_hd__buf_1
+XFILLER_629_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58591_ _69113_/A _58658_/B VGND VGND VPWR VPWR _58593_/B sky130_fd_sc_hd__nor2_2
+XFILLER_480_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67789_ _68274_/A _67789_/B _67788_/Y VGND VGND VPWR VPWR _67789_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_584_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_407_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_389_1472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_291_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_3158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_3025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38708_ _38708_/A _38707_/Y VGND VGND VPWR VPWR _80312_/D sky130_fd_sc_hd__nand2_2
+XFILLER_480_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_428_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57542_ _57144_/X _57500_/X _57541_/X _74480_/A _57227_/X VGND VGND VPWR VPWR _57542_/Y
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_449_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69528_ _69110_/A _69528_/B _69528_/C VGND VGND VPWR VPWR _69529_/C sky130_fd_sc_hd__nor3_2
+XPHY_5503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54754_ _54754_/A _54754_/B VGND VGND VPWR VPWR _54754_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_480_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39688_ _39194_/A _39688_/B VGND VGND VPWR VPWR _39690_/A sky130_fd_sc_hd__or2_2
+X_51966_ _51966_/A _51948_/B VGND VGND VPWR VPWR _51968_/A sky130_fd_sc_hd__nand2_2
+XFILLER_40_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_183_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_57_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53705_ _53703_/Y _53705_/B VGND VGND VPWR VPWR _77213_/D sky130_fd_sc_hd__nand2_2
+XFILLER_480_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50917_ _77946_/Q _50909_/X VGND VGND VPWR VPWR _50920_/A sky130_fd_sc_hd__nand2_2
+XFILLER_2_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_697_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38639_ _38488_/A _38651_/B VGND VGND VPWR VPWR _38639_/X sky130_fd_sc_hd__or2_2
+X_57473_ _57715_/A _57473_/B _57473_/C VGND VGND VPWR VPWR _57481_/A sky130_fd_sc_hd__nor3_2
+X_69459_ _69459_/A _65040_/B VGND VGND VPWR VPWR _69460_/C sky130_fd_sc_hd__nor2_2
+XFILLER_423_2900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_406_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54685_ _54682_/Y _54684_/X VGND VGND VPWR VPWR _54685_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_166_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51897_ _61295_/A _51890_/B VGND VGND VPWR VPWR _51900_/A sky130_fd_sc_hd__nand2_2
+XPHY_5569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_285_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_268_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_426_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59212_ _59423_/A VGND VGND VPWR VPWR _59213_/B sky130_fd_sc_hd__buf_1
+XFILLER_57_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_83_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56424_ _57637_/A VGND VGND VPWR VPWR _56434_/A sky130_fd_sc_hd__buf_1
+X_41650_ _41907_/B _41649_/X VGND VGND VPWR VPWR _43486_/A sky130_fd_sc_hd__or2_2
+XFILLER_705_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53636_ _53667_/A _53640_/B VGND VGND VPWR VPWR _53636_/X sky130_fd_sc_hd__or2_2
+XFILLER_445_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72470_ _72467_/A VGND VGND VPWR VPWR _72576_/B sky130_fd_sc_hd__buf_1
+XPHY_4857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50848_ _50878_/B VGND VGND VPWR VPWR _50876_/B sky130_fd_sc_hd__buf_1
+XFILLER_224_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_701_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_709_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40601_ _40601_/A _40589_/X VGND VGND VPWR VPWR _40601_/X sky130_fd_sc_hd__or2_2
+XPHY_26104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59143_ _59143_/A _59143_/B _59143_/C VGND VGND VPWR VPWR _59154_/B sky130_fd_sc_hd__nor3_2
+X_71421_ _70324_/X _71421_/B VGND VGND VPWR VPWR _71421_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_57_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_197_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56355_ _64328_/A _56355_/B VGND VGND VPWR VPWR _56355_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_594_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80619_ _80495_/CLK _80619_/D VGND VGND VPWR VPWR _80619_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_478_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41581_ _41579_/X _41580_/Y VGND VGND VPWR VPWR _79581_/D sky130_fd_sc_hd__nand2_2
+XPHY_35904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53567_ _53567_/A VGND VGND VPWR VPWR _53571_/B sky130_fd_sc_hd__buf_1
+XPHY_36649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_40_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50779_ _50836_/A _50779_/B VGND VGND VPWR VPWR _50780_/B sky130_fd_sc_hd__or2_2
+XFILLER_242_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_503_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_430_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_693_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_404_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43320_ _43320_/A _43319_/Y VGND VGND VPWR VPWR _43320_/Y sky130_fd_sc_hd__nand2_2
+X_55306_ _55352_/A _55306_/B VGND VGND VPWR VPWR _55317_/B sky130_fd_sc_hd__nor2_2
+XFILLER_220_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_224_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74140_ _74806_/A VGND VGND VPWR VPWR _74141_/A sky130_fd_sc_hd__buf_1
+XFILLER_41_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40532_ _40519_/X VGND VGND VPWR VPWR _40552_/A sky130_fd_sc_hd__buf_1
+X_52518_ _60470_/A _52518_/B VGND VGND VPWR VPWR _52518_/Y sky130_fd_sc_hd__nand2_2
+XPHY_26159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71352_ _79325_/Q _71480_/B VGND VGND VPWR VPWR _71352_/X sky130_fd_sc_hd__and2_2
+XFILLER_678_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_376_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59074_ _59240_/A VGND VGND VPWR VPWR _59074_/X sky130_fd_sc_hd__buf_1
+XFILLER_70_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_240_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56286_ _56258_/A VGND VGND VPWR VPWR _56347_/A sky130_fd_sc_hd__buf_1
+XFILLER_496_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53498_ _53647_/A VGND VGND VPWR VPWR _53555_/A sky130_fd_sc_hd__buf_1
+XFILLER_16_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_322_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_328_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_202_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70303_ _70303_/A _70302_/Y VGND VGND VPWR VPWR _70303_/X sky130_fd_sc_hd__or2_2
+XFILLER_537_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58025_ _56412_/A VGND VGND VPWR VPWR _58025_/X sky130_fd_sc_hd__buf_1
+XFILLER_558_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_491_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43251_ _42999_/A _43248_/B _58768_/A VGND VGND VPWR VPWR _43252_/B sky130_fd_sc_hd__nand3_2
+XFILLER_374_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55237_ _79456_/Q _55237_/B VGND VGND VPWR VPWR _55238_/B sky130_fd_sc_hd__nor2_2
+XFILLER_646_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74071_ _74070_/X _74061_/B VGND VGND VPWR VPWR _74071_/X sky130_fd_sc_hd__or2_2
+XFILLER_103_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40463_ _40461_/X _40463_/B VGND VGND VPWR VPWR _40463_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_590_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52449_ _52334_/A _52439_/X VGND VGND VPWR VPWR _52450_/B sky130_fd_sc_hd__or2_2
+XFILLER_712_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_516_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71283_ _71205_/A VGND VGND VPWR VPWR _71283_/X sky130_fd_sc_hd__buf_1
+XPHY_14201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_474_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42202_ _42047_/A _42202_/B _42202_/C VGND VGND VPWR VPWR _79504_/D sky130_fd_sc_hd__nor3_2
+X_73022_ _73022_/A _73021_/X VGND VGND VPWR VPWR _73022_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_712_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_51_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70234_ _70102_/X VGND VGND VPWR VPWR _70234_/X sky130_fd_sc_hd__buf_1
+XFILLER_515_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_327_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43182_ _42839_/X _46171_/B VGND VGND VPWR VPWR _43182_/Y sky130_fd_sc_hd__nor2_2
+X_55168_ _55133_/A VGND VGND VPWR VPWR _55171_/A sky130_fd_sc_hd__buf_1
+XPHY_13500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_193_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40394_ _40394_/A _40405_/B VGND VGND VPWR VPWR _40394_/X sky130_fd_sc_hd__or2_2
+XFILLER_704_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_365_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54119_ _54083_/A _54119_/B VGND VGND VPWR VPWR _54120_/B sky130_fd_sc_hd__or2_2
+XFILLER_292_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42133_ _42133_/A _42126_/B VGND VGND VPWR VPWR _42133_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77830_ _77854_/CLK _51362_/Y VGND VGND VPWR VPWR _60605_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_218_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_314_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_155_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70165_ _70165_/A VGND VGND VPWR VPWR _70165_/X sky130_fd_sc_hd__buf_1
+XPHY_13544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47990_ _48025_/A _48006_/B VGND VGND VPWR VPWR _47991_/B sky130_fd_sc_hd__or2_2
+XFILLER_390_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59976_ _77026_/Q _59833_/B VGND VGND VPWR VPWR _59976_/Y sky130_fd_sc_hd__nor2_2
+X_55099_ _55098_/X VGND VGND VPWR VPWR _55100_/C sky130_fd_sc_hd__buf_1
+XPHY_12810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_532_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_153_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_699_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_292_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_85_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_712_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46941_ _70373_/X _46925_/B VGND VGND VPWR VPWR _46942_/C sky130_fd_sc_hd__and2_2
+X_42064_ _70054_/A _42053_/X VGND VGND VPWR VPWR _42066_/A sky130_fd_sc_hd__nor2_2
+XPHY_13588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58927_ _63177_/A VGND VGND VPWR VPWR _63891_/B sky130_fd_sc_hd__buf_1
+X_77761_ _77741_/CLK _77761_/D VGND VGND VPWR VPWR _77761_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_720_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74973_ _74984_/A _74973_/B _68360_/A VGND VGND VPWR VPWR _74975_/A sky130_fd_sc_hd__nand3_2
+XFILLER_335_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70096_ _70300_/A _70096_/B _70095_/Y VGND VGND VPWR VPWR _70097_/A sky130_fd_sc_hd__or3_2
+XPHY_13599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_68_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79500_ _79500_/CLK _79500_/D VGND VGND VPWR VPWR _79500_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_79_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41015_ _41013_/X _41014_/Y VGND VGND VPWR VPWR _79724_/D sky130_fd_sc_hd__nand2_2
+XFILLER_84_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76712_ _79185_/CLK _76712_/D VGND VGND VPWR VPWR _70152_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_497_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49660_ _49809_/A VGND VGND VPWR VPWR _49693_/A sky130_fd_sc_hd__buf_1
+XFILLER_80_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73924_ _73917_/A _73917_/B _81057_/Q VGND VGND VPWR VPWR _73925_/B sky130_fd_sc_hd__nand3_2
+XPHY_30255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58858_ _46637_/B _58858_/B VGND VGND VPWR VPWR _58858_/Y sky130_fd_sc_hd__nor2_2
+X_46872_ _46880_/A _46872_/B _46871_/X VGND VGND VPWR VPWR _46872_/X sky130_fd_sc_hd__or3_2
+XPHY_12898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77692_ _77679_/CLK _77692_/D VGND VGND VPWR VPWR _77692_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_665_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_268_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_62_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48611_ _63977_/A _48611_/B VGND VGND VPWR VPWR _48611_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_7_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79431_ _79431_/CLK _79431_/D VGND VGND VPWR VPWR _67632_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_188_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45823_ _45755_/Y _45792_/X VGND VGND VPWR VPWR _45826_/A sky130_fd_sc_hd__nor2_2
+X_57809_ _80225_/Q _57737_/X VGND VGND VPWR VPWR _57811_/B sky130_fd_sc_hd__nor2_2
+XFILLER_27_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76643_ _76720_/CLK _55918_/Y VGND VGND VPWR VPWR _55912_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49591_ _62732_/A _49587_/B VGND VGND VPWR VPWR _49593_/A sky130_fd_sc_hd__nand2_2
+XFILLER_665_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73855_ _72963_/X _73865_/B VGND VGND VPWR VPWR _73858_/A sky130_fd_sc_hd__or2_2
+XFILLER_23_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58789_ _58789_/A _56540_/B VGND VGND VPWR VPWR _58789_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_614_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_248_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60820_ _77687_/Q _60820_/B VGND VGND VPWR VPWR _60821_/C sky130_fd_sc_hd__nor2_2
+XPHY_7472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48542_ _48602_/A _48533_/B VGND VGND VPWR VPWR _48542_/X sky130_fd_sc_hd__or2_2
+X_72806_ _72806_/A _72810_/B VGND VGND VPWR VPWR _72806_/X sky130_fd_sc_hd__or2_2
+XFILLER_672_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79362_ _79395_/CLK _43391_/Y VGND VGND VPWR VPWR _58404_/A sky130_fd_sc_hd__dfxtp_4
+X_45754_ _45754_/A _45754_/B VGND VGND VPWR VPWR _45759_/A sky130_fd_sc_hd__nor2_2
+XPHY_39220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76574_ VGND VGND VPWR VPWR _76574_/HI la_data_out[70] sky130_fd_sc_hd__conb_1
+XPHY_7483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42966_ _42962_/X _42966_/B VGND VGND VPWR VPWR _42966_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_444_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73786_ _73545_/A _73782_/B VGND VGND VPWR VPWR _73786_/X sky130_fd_sc_hd__or2_2
+XFILLER_314_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70998_ _70994_/Y _70997_/Y VGND VGND VPWR VPWR _70998_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_723_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_428_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78313_ _78809_/CLK _78313_/D VGND VGND VPWR VPWR _78313_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_39253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44705_ _44520_/A _44705_/B VGND VGND VPWR VPWR _79205_/D sky130_fd_sc_hd__nor2_2
+XFILLER_40_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_565_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_480_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75525_ _75525_/A VGND VGND VPWR VPWR _76168_/A sky130_fd_sc_hd__buf_1
+XFILLER_63_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60751_ _60289_/A _60749_/Y _60751_/C VGND VGND VPWR VPWR _60751_/Y sky130_fd_sc_hd__nor3_2
+X_41917_ _41917_/A _76829_/Q _55214_/A VGND VGND VPWR VPWR _41919_/B sky130_fd_sc_hd__or3_2
+XPHY_39264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72737_ _72773_/A VGND VGND VPWR VPWR _72737_/X sky130_fd_sc_hd__buf_1
+XFILLER_425_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48473_ _63457_/A _48455_/B VGND VGND VPWR VPWR _48476_/A sky130_fd_sc_hd__nand2_2
+X_79293_ _79290_/CLK _79293_/D VGND VGND VPWR VPWR _43815_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_283_2845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_209_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_346_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45685_ _79107_/Q _45673_/A VGND VGND VPWR VPWR _45685_/Y sky130_fd_sc_hd__nor2_2
+XPHY_39275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42897_ _42897_/A _42908_/B VGND VGND VPWR VPWR _42897_/X sky130_fd_sc_hd__or2_2
+XFILLER_5_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_405_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47424_ _47424_/A _60007_/A _59715_/A VGND VGND VPWR VPWR _47758_/B sky130_fd_sc_hd__or3_2
+XFILLER_169_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78244_ _77699_/CLK _49798_/Y VGND VGND VPWR VPWR _62849_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_698_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44636_ _44636_/A _44636_/B VGND VGND VPWR VPWR _44638_/B sky130_fd_sc_hd__nor2_2
+XFILLER_225_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63470_ _63314_/A _63470_/B _63469_/Y VGND VGND VPWR VPWR _63470_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_242_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75456_ _75452_/X _75455_/Y VGND VGND VPWR VPWR _80681_/D sky130_fd_sc_hd__nand2_2
+XFILLER_452_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41848_ wbs_dat_i[1] VGND VGND VPWR VPWR _41848_/Y sky130_fd_sc_hd__inv_8
+XPHY_38574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60682_ _77646_/Q _60043_/B VGND VGND VPWR VPWR _60682_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_363_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_307_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72668_ _72674_/A _72674_/B _81370_/Q VGND VGND VPWR VPWR _72668_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_264_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_524_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_210_0_u_core.clock clkbuf_9_211_0_u_core.clock/A VGND VGND VPWR VPWR _76714_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_38585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_147_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62421_ _62112_/A _62421_/B _62421_/C VGND VGND VPWR VPWR _62426_/B sky130_fd_sc_hd__nor3_2
+XFILLER_17_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74407_ _74407_/A _74406_/X VGND VGND VPWR VPWR _80942_/D sky130_fd_sc_hd__nand2_2
+XFILLER_520_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47355_ _63055_/A _47343_/B VGND VGND VPWR VPWR _47356_/B sky130_fd_sc_hd__nand2_2
+X_71619_ _71619_/A _71484_/X VGND VGND VPWR VPWR _71619_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_183_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78175_ _78173_/CLK _78175_/D VGND VGND VPWR VPWR _50052_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_389_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_125_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44567_ _44567_/A VGND VGND VPWR VPWR _44576_/C sky130_fd_sc_hd__buf_1
+XFILLER_32_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75387_ _75387_/A _75373_/B VGND VGND VPWR VPWR _75387_/X sky130_fd_sc_hd__or2_2
+XPHY_28095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41779_ _41779_/A _41778_/X VGND VGND VPWR VPWR _41779_/Y sky130_fd_sc_hd__nand2_2
+XPHY_37884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72599_ _72584_/A _72592_/X _72599_/C VGND VGND VPWR VPWR _72600_/B sky130_fd_sc_hd__nand3_2
+XFILLER_324_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_43_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_320_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46306_ _46310_/A _46697_/B VGND VGND VPWR VPWR _46308_/B sky130_fd_sc_hd__nor2_2
+XFILLER_539_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65140_ _65313_/A _65136_/Y _65139_/Y VGND VGND VPWR VPWR _65140_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_340_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77126_ _77142_/CLK _54028_/Y VGND VGND VPWR VPWR _77126_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_397_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_700_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_379_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43518_ _43518_/A VGND VGND VPWR VPWR _43525_/A sky130_fd_sc_hd__inv_8
+X_74338_ _74559_/A VGND VGND VPWR VPWR _74338_/X sky130_fd_sc_hd__buf_1
+X_62352_ _50446_/A _61892_/X VGND VGND VPWR VPWR _62354_/B sky130_fd_sc_hd__nor2_2
+XFILLER_578_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_359_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47286_ _47422_/A VGND VGND VPWR VPWR _59091_/A sky130_fd_sc_hd__buf_1
+XFILLER_207_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_420_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_297_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_559_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_144_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44498_ _44505_/A _44491_/Y _44498_/C VGND VGND VPWR VPWR _44498_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_538_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_618_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_31_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_1464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61303_ _61303_/A _61303_/B VGND VGND VPWR VPWR _61303_/Y sky130_fd_sc_hd__nor2_2
+X_49025_ _49054_/A _49024_/X VGND VGND VPWR VPWR _49025_/X sky130_fd_sc_hd__or2_2
+XFILLER_199_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_676_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_34_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_34_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46237_ wbs_dat_i[15] VGND VGND VPWR VPWR _46238_/A sky130_fd_sc_hd__inv_8
+XFILLER_713_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65071_ _65071_/A _64899_/B VGND VGND VPWR VPWR _65071_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_474_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_392_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_192_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_692_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77057_ _77060_/CLK _54298_/Y VGND VGND VPWR VPWR _77057_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_339_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43449_ _43463_/A _43456_/B _43449_/C VGND VGND VPWR VPWR _43450_/B sky130_fd_sc_hd__nand3_2
+XFILLER_160_1552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_393_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62283_ _62283_/A _61664_/B VGND VGND VPWR VPWR _62284_/C sky130_fd_sc_hd__nor2_2
+X_74269_ _74269_/A _74268_/Y VGND VGND VPWR VPWR _74269_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_650_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_326_0_u_core.clock clkbuf_9_327_0_u_core.clock/A VGND VGND VPWR VPWR _76917_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_635_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64022_ _48173_/A _63891_/B VGND VGND VPWR VPWR _64024_/B sky130_fd_sc_hd__nor2_2
+X_76008_ _76008_/A VGND VGND VPWR VPWR _76012_/B sky130_fd_sc_hd__buf_1
+XFILLER_89_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61234_ _60919_/A _61234_/B _61233_/Y VGND VGND VPWR VPWR _61238_/B sky130_fd_sc_hd__nor3_2
+XPHY_25992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46168_ _46168_/A _46168_/B _46168_/C VGND VGND VPWR VPWR _46168_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_157_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_2105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_613_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45119_ _45119_/A VGND VGND VPWR VPWR _45120_/A sky130_fd_sc_hd__inv_8
+XFILLER_711_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68830_ _67183_/A VGND VGND VPWR VPWR _68833_/A sky130_fd_sc_hd__buf_1
+XFILLER_357_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_648_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61165_ _61012_/A _61165_/B _61164_/Y VGND VGND VPWR VPWR _61165_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_119_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38990_ _38990_/A _38990_/B VGND VGND VPWR VPWR _80237_/D sky130_fd_sc_hd__nand2_2
+XFILLER_305_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46099_ _43038_/Y _46752_/A VGND VGND VPWR VPWR _46099_/X sky130_fd_sc_hd__or2_2
+XFILLER_451_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_177_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_132_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_495_0_u_core.clock clkbuf_9_495_0_u_core.clock/A VGND VGND VPWR VPWR _80354_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_670_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_86_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_433_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60116_ _59790_/X _60116_/B _60115_/Y VGND VGND VPWR VPWR _60117_/C sky130_fd_sc_hd__nor3_2
+XFILLER_553_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_275_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_176_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49927_ _49842_/A _49933_/B VGND VGND VPWR VPWR _49927_/X sky130_fd_sc_hd__or2_2
+XFILLER_522_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_416_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68761_ _69071_/A _68761_/B VGND VGND VPWR VPWR _68762_/C sky130_fd_sc_hd__nor2_2
+XFILLER_334_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_687_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61096_ _59049_/A VGND VGND VPWR VPWR _61099_/A sky130_fd_sc_hd__buf_1
+X_65973_ _65973_/A _65973_/B _65972_/Y VGND VGND VPWR VPWR _65973_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_550_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77959_ _77956_/CLK _77959_/D VGND VGND VPWR VPWR _61982_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_98_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_259_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_710_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_45_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_370_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_253_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67712_ _67214_/A _67712_/B _67712_/C VGND VGND VPWR VPWR _67716_/B sky130_fd_sc_hd__nor3_2
+XFILLER_448_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60047_ _60047_/A _60214_/B VGND VGND VPWR VPWR _60047_/Y sky130_fd_sc_hd__nor2_2
+X_64924_ _64924_/A _64924_/B _64923_/Y VGND VGND VPWR VPWR _64924_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_258_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_566_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80970_ _80969_/CLK _80970_/D VGND VGND VPWR VPWR _80970_/Q sky130_fd_sc_hd__dfxtp_4
+X_49858_ _62846_/A _49858_/B VGND VGND VPWR VPWR _49861_/A sky130_fd_sc_hd__nand2_2
+XFILLER_119_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68692_ _80841_/Q _68531_/X VGND VGND VPWR VPWR _68694_/B sky130_fd_sc_hd__nor2_2
+XPHY_31490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_312_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_488_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39611_ _39608_/A _39501_/B _39611_/C VGND VGND VPWR VPWR _39612_/B sky130_fd_sc_hd__nand3_2
+XFILLER_448_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_410_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_80_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_466_3060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48809_ _63708_/A _48802_/B VGND VGND VPWR VPWR _48809_/Y sky130_fd_sc_hd__nand2_2
+X_67643_ _66984_/A _67642_/Y VGND VGND VPWR VPWR _67643_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_217_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79629_ _79568_/CLK _79629_/D VGND VGND VPWR VPWR _79629_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_312_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64855_ _64855_/A _65024_/B VGND VGND VPWR VPWR _64855_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_468_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_629_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49789_ _61791_/A _49789_/B VGND VGND VPWR VPWR _49789_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_288_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_664_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51820_ _60502_/A _51817_/B VGND VGND VPWR VPWR _51820_/Y sky130_fd_sc_hd__nand2_2
+X_39542_ _39162_/A _39542_/B VGND VGND VPWR VPWR _39544_/A sky130_fd_sc_hd__or2_2
+XFILLER_230_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63806_ _59260_/A _63804_/Y _63805_/Y VGND VGND VPWR VPWR _63810_/B sky130_fd_sc_hd__nor3_2
+XFILLER_410_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67574_ _67574_/A _67750_/B VGND VGND VPWR VPWR _67576_/B sky130_fd_sc_hd__nor2_2
+XFILLER_468_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_449_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_132_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64786_ _56567_/A _64623_/B VGND VGND VPWR VPWR _64786_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_6_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61998_ _61998_/A _61997_/X VGND VGND VPWR VPWR _61998_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_265_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69313_ _69313_/A _69311_/Y _69313_/C VGND VGND VPWR VPWR _69313_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_445_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66525_ _66183_/X _66516_/Y _66525_/C VGND VGND VPWR VPWR _66526_/B sky130_fd_sc_hd__nor3_2
+XFILLER_415_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_605_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51751_ _51751_/A _51751_/B VGND VGND VPWR VPWR _77730_/D sky130_fd_sc_hd__nand2_2
+XFILLER_462_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39473_ _39473_/A _39473_/B VGND VGND VPWR VPWR _39473_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63737_ _63606_/A _63737_/B _63736_/Y VGND VGND VPWR VPWR _63803_/A sky130_fd_sc_hd__nor3_2
+XFILLER_364_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60949_ _60482_/A _60949_/B _60948_/Y VGND VGND VPWR VPWR _60949_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_242_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_265_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_526_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_404_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_620_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_165_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_660_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38424_ _38388_/A VGND VGND VPWR VPWR _38425_/B sky130_fd_sc_hd__buf_1
+XFILLER_82_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50702_ _50702_/A _50696_/X VGND VGND VPWR VPWR _50702_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_96_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69244_ _68801_/A _69243_/Y VGND VGND VPWR VPWR _69244_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_93_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54470_ _54463_/A VGND VGND VPWR VPWR _54477_/B sky130_fd_sc_hd__buf_1
+X_66456_ _66293_/A _66456_/B _66455_/Y VGND VGND VPWR VPWR _66529_/B sky130_fd_sc_hd__nor3_2
+XFILLER_542_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51682_ _51563_/A _51700_/B VGND VGND VPWR VPWR _51683_/B sky130_fd_sc_hd__or2_2
+XPHY_3419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_596_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63668_ _63229_/A _63660_/Y _63668_/C VGND VGND VPWR VPWR _63668_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_345_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_208_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_558_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_306_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_323_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53421_ _53451_/A _53421_/B VGND VGND VPWR VPWR _53421_/X sky130_fd_sc_hd__or2_2
+XFILLER_208_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65407_ _80501_/Q _65580_/B VGND VGND VPWR VPWR _65408_/C sky130_fd_sc_hd__nor2_2
+XPHY_2707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50633_ _50661_/A _50633_/B VGND VGND VPWR VPWR _50633_/X sky130_fd_sc_hd__or2_2
+XFILLER_576_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38355_ _38338_/X _38366_/B _80396_/Q VGND VGND VPWR VPWR _38355_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_325_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62619_ _62619_/A _61997_/X VGND VGND VPWR VPWR _62621_/B sky130_fd_sc_hd__nor2_2
+XFILLER_694_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69175_ _68557_/A _69175_/B _69175_/C VGND VGND VPWR VPWR _69176_/C sky130_fd_sc_hd__nor3_2
+X_81453_ _81454_/CLK _81453_/D VGND VGND VPWR VPWR _72354_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_52_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66387_ _80731_/Q _66880_/B VGND VGND VPWR VPWR _66388_/C sky130_fd_sc_hd__nor2_2
+XFILLER_22_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63599_ _63599_/A _59218_/B VGND VGND VPWR VPWR _63601_/B sky130_fd_sc_hd__nor2_2
+XFILLER_640_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80404_ _80436_/CLK _38327_/Y VGND VGND VPWR VPWR _80404_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_577_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68126_ _68454_/A _68126_/B _68126_/C VGND VGND VPWR VPWR _68127_/C sky130_fd_sc_hd__nor3_2
+X_56140_ _56152_/A _56135_/Y _56140_/C VGND VGND VPWR VPWR wbs_dat_o[23] sky130_fd_sc_hd__nor3_2
+XFILLER_250_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53352_ _53354_/A VGND VGND VPWR VPWR _53367_/B sky130_fd_sc_hd__buf_1
+X_65338_ _65677_/A _65338_/B _65337_/Y VGND VGND VPWR VPWR _65338_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_168_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38286_ _38304_/A VGND VGND VPWR VPWR _38293_/B sky130_fd_sc_hd__buf_1
+X_50564_ _50652_/A _50572_/B VGND VGND VPWR VPWR _50565_/B sky130_fd_sc_hd__or2_2
+XFILLER_479_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_655_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81384_ _81352_/CLK _72618_/Y VGND VGND VPWR VPWR _68589_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_397_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_396_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_10_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52303_ _52187_/A _52303_/B VGND VGND VPWR VPWR _52303_/X sky130_fd_sc_hd__or2_2
+XPHY_24009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_466_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56071_ _45077_/Y _55974_/B VGND VGND VPWR VPWR _56071_/Y sky130_fd_sc_hd__nor2_2
+X_68057_ _67734_/A _68057_/B _68057_/C VGND VGND VPWR VPWR _68057_/Y sky130_fd_sc_hd__nor3_2
+X_80335_ _80332_/CLK _38616_/Y VGND VGND VPWR VPWR _38615_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_295_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_572_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53283_ _53225_/A _53298_/B VGND VGND VPWR VPWR _53283_/X sky130_fd_sc_hd__or2_2
+XFILLER_202_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65269_ _65269_/A _65436_/B VGND VGND VPWR VPWR _65271_/B sky130_fd_sc_hd__nor2_2
+XFILLER_545_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50495_ _78059_/Q _50491_/B VGND VGND VPWR VPWR _50495_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_384_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_301_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_473_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55022_ _55022_/A VGND VGND VPWR VPWR _55022_/X sky130_fd_sc_hd__buf_1
+XFILLER_473_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67008_ _67176_/A _67006_/Y _67007_/Y VGND VGND VPWR VPWR _67008_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_120_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52234_ _52231_/Y _52234_/B VGND VGND VPWR VPWR _77602_/D sky130_fd_sc_hd__nand2_2
+XFILLER_536_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_555_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_453_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80266_ _80238_/CLK _80266_/D VGND VGND VPWR VPWR _80266_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_121_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_319_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_120_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_551_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59830_ _59830_/A _59975_/B VGND VGND VPWR VPWR _59830_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_714_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_532_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52165_ _52767_/A VGND VGND VPWR VPWR _52167_/A sky130_fd_sc_hd__buf_1
+XPHY_22629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80197_ _80195_/CLK _39149_/Y VGND VGND VPWR VPWR _68167_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_514_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_686_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_135_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_473_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_434_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51116_ _51113_/X VGND VGND VPWR VPWR _51138_/B sky130_fd_sc_hd__buf_1
+XFILLER_219_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_352_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_78_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_351_264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59761_ _59761_/A _59761_/B VGND VGND VPWR VPWR _59761_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_219_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56973_ _56649_/A _56969_/Y _56972_/Y VGND VGND VPWR VPWR _56981_/A sky130_fd_sc_hd__nor3_2
+XFILLER_669_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68959_ _80266_/Q _69516_/B VGND VGND VPWR VPWR _68961_/B sky130_fd_sc_hd__nor2_2
+X_52096_ _52094_/A VGND VGND VPWR VPWR _52112_/B sky130_fd_sc_hd__buf_1
+XPHY_21939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_432_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_116_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_293_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58712_ _58645_/A _58711_/Y VGND VGND VPWR VPWR _58712_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_104_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51047_ _51047_/A _51047_/B VGND VGND VPWR VPWR _77912_/D sky130_fd_sc_hd__nand2_2
+XFILLER_63_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55924_ _69812_/B _42819_/B VGND VGND VPWR VPWR _55925_/C sky130_fd_sc_hd__or2_2
+XFILLER_412_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59692_ _77056_/Q _59851_/B VGND VGND VPWR VPWR _59693_/C sky130_fd_sc_hd__nor2_2
+X_71970_ _71975_/A _70965_/X VGND VGND VPWR VPWR _71970_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_320_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_489_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_310_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_655_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_44_0_u_core.clock clkbuf_5_22_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_89_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_28_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_707_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_467_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39809_ _39947_/A _39819_/B VGND VGND VPWR VPWR _39812_/A sky130_fd_sc_hd__or2_2
+XFILLER_24_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58643_ _58710_/A _58643_/B _58643_/C VGND VGND VPWR VPWR _58643_/Y sky130_fd_sc_hd__nor3_2
+X_70921_ _70848_/X VGND VGND VPWR VPWR _70921_/Y sky130_fd_sc_hd__inv_8
+XFILLER_249_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_549_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55855_ _55855_/A _55855_/B VGND VGND VPWR VPWR _55855_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_664_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42820_ _42820_/A _42817_/Y _42819_/X VGND VGND VPWR VPWR _42820_/Y sky130_fd_sc_hd__nor3_2
+X_54806_ _76919_/Q _54806_/B VGND VGND VPWR VPWR _54808_/A sky130_fd_sc_hd__nand2_2
+XFILLER_265_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73640_ _73638_/X _73639_/Y VGND VGND VPWR VPWR _73640_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_654_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70852_ _70851_/X VGND VGND VPWR VPWR _71795_/A sky130_fd_sc_hd__buf_1
+XFILLER_562_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58574_ _68936_/A _58708_/B VGND VGND VPWR VPWR _58575_/C sky130_fd_sc_hd__nor2_2
+XPHY_5300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55786_ _76776_/Q _55792_/B VGND VGND VPWR VPWR _55786_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_605_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_182_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52998_ _52998_/A _52997_/X VGND VGND VPWR VPWR _53001_/A sky130_fd_sc_hd__nand2_2
+XPHY_5311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_562_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_308_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_18_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_226_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_76_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57525_ _58461_/A VGND VGND VPWR VPWR _57525_/X sky130_fd_sc_hd__buf_1
+XFILLER_606_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42751_ _42736_/X _42749_/X _70687_/X _42750_/X VGND VGND VPWR VPWR _42751_/X sky130_fd_sc_hd__o22a_4
+XFILLER_521_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54737_ _54794_/A _54752_/B VGND VGND VPWR VPWR _54737_/X sky130_fd_sc_hd__or2_2
+XFILLER_703_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73571_ _73556_/X _73568_/B _73571_/C VGND VGND VPWR VPWR _73571_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_167_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51949_ _51946_/Y _51949_/B VGND VGND VPWR VPWR _77676_/D sky130_fd_sc_hd__nand2_2
+XPHY_19724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70783_ _70772_/A _69761_/X _69952_/X VGND VGND VPWR VPWR _70783_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_407_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_385_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_501 _66231_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_79_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75310_ _75189_/A _75313_/B VGND VGND VPWR VPWR _75312_/A sky130_fd_sc_hd__or2_2
+XANTENNA_512 _64579_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_2_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_226_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_426_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41702_ _41702_/A _41702_/B _41702_/C VGND VGND VPWR VPWR _41719_/B sky130_fd_sc_hd__or3_2
+XPHY_37114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72522_ _72522_/A _72521_/Y VGND VGND VPWR VPWR _81409_/D sky130_fd_sc_hd__nand2_2
+XFILLER_324_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_523 _65376_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_265_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45470_ _45450_/A _45470_/B _45469_/Y VGND VGND VPWR VPWR _45470_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_699_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57456_ _57456_/A _57215_/B VGND VGND VPWR VPWR _57456_/Y sky130_fd_sc_hd__nor2_2
+XPHY_37125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76290_ _76156_/A _76287_/B VGND VGND VPWR VPWR _76290_/X sky130_fd_sc_hd__or2_2
+XFILLER_434_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_380_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42682_ _42457_/A VGND VGND VPWR VPWR _42682_/X sky130_fd_sc_hd__buf_1
+XFILLER_623_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54668_ _76956_/Q _54682_/B VGND VGND VPWR VPWR _54668_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_233_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_677_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_534 _67351_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_578_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_545 _68674_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_144_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_556 ANTENNA_556/DIODE VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_37158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44421_ _44539_/A _44420_/X VGND VGND VPWR VPWR _44421_/Y sky130_fd_sc_hd__nor2_2
+XPHY_3931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56407_ _56407_/A _56402_/Y _56407_/C VGND VGND VPWR VPWR _56408_/C sky130_fd_sc_hd__nor3_2
+XPHY_4676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75241_ _75241_/A _75246_/B VGND VGND VPWR VPWR _75241_/X sky130_fd_sc_hd__or2_2
+XFILLER_261_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_14_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53619_ _53739_/A _53642_/B VGND VGND VPWR VPWR _53619_/X sky130_fd_sc_hd__or2_2
+X_41633_ la_data_in[126] _41634_/B VGND VGND VPWR VPWR _41633_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_208_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72453_ _72318_/X _72453_/B VGND VGND VPWR VPWR _72455_/A sky130_fd_sc_hd__or2_2
+XFILLER_423_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57387_ _80028_/Q _57151_/X VGND VGND VPWR VPWR _57389_/B sky130_fd_sc_hd__nor2_2
+XFILLER_109_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54599_ _54599_/A _54599_/B VGND VGND VPWR VPWR _54599_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_204_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_74_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_198_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_36446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_25_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_657_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_71404_ _72215_/A VGND VGND VPWR VPWR _43822_/A sky130_fd_sc_hd__buf_1
+X_47140_ _47140_/A _47149_/B _47140_/C VGND VGND VPWR VPWR _47140_/Y sky130_fd_sc_hd__nor3_2
+X_59126_ _59126_/A _59549_/B VGND VGND VPWR VPWR _59126_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44352_ _44573_/A VGND VGND VPWR VPWR _44352_/Y sky130_fd_sc_hd__inv_8
+XFILLER_359_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56338_ _58610_/A _56338_/B _56338_/C VGND VGND VPWR VPWR _56338_/Y sky130_fd_sc_hd__nor3_2
+XPHY_25200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75172_ _75413_/A _75172_/B VGND VGND VPWR VPWR _75174_/A sky130_fd_sc_hd__or2_2
+XFILLER_502_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41564_ _41526_/A VGND VGND VPWR VPWR _41564_/X sky130_fd_sc_hd__buf_1
+XPHY_35734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_72384_ _72211_/A _72394_/B VGND VGND VPWR VPWR _72386_/A sky130_fd_sc_hd__or2_2
+XFILLER_341_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_43_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_536_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43303_ _42940_/A _43294_/X VGND VGND VPWR VPWR _43306_/A sky130_fd_sc_hd__or2_2
+XFILLER_693_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_556_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74123_ _74020_/A VGND VGND VPWR VPWR _74137_/B sky130_fd_sc_hd__buf_1
+XFILLER_259_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_122_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_347_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40515_ _40538_/A VGND VGND VPWR VPWR _40527_/A sky130_fd_sc_hd__buf_1
+XFILLER_538_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47071_ _47071_/A _47064_/B VGND VGND VPWR VPWR _47073_/B sky130_fd_sc_hd__nor2_2
+XFILLER_220_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_536_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59057_ _59360_/A _59057_/B _59056_/Y VGND VGND VPWR VPWR _59057_/Y sky130_fd_sc_hd__nor3_2
+X_71335_ _71735_/A _71335_/B _71335_/C VGND VGND VPWR VPWR _71336_/C sky130_fd_sc_hd__nor3_2
+XFILLER_671_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_122_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_185_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56269_ _57084_/A VGND VGND VPWR VPWR _56291_/A sky130_fd_sc_hd__buf_1
+X_44283_ _72959_/A _44267_/B VGND VGND VPWR VPWR _44283_/Y sky130_fd_sc_hd__nor2_2
+XPHY_25255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79980_ _79947_/CLK _79980_/D VGND VGND VPWR VPWR _79980_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_671_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41495_ _41493_/X _41495_/B VGND VGND VPWR VPWR _41495_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_16_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_24_0_u_core.clock clkbuf_7_25_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_49_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_24521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58008_ _58170_/A _58008_/B _58007_/Y VGND VGND VPWR VPWR _58008_/Y sky130_fd_sc_hd__nor3_2
+X_46022_ _46836_/A _76642_/Q _69882_/B VGND VGND VPWR VPWR _46023_/B sky130_fd_sc_hd__nor3_2
+XPHY_24543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_456_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43234_ _43234_/A _43215_/A VGND VGND VPWR VPWR _43236_/B sky130_fd_sc_hd__nor2_2
+XFILLER_671_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74054_ _74728_/A VGND VGND VPWR VPWR _74205_/A sky130_fd_sc_hd__buf_1
+XFILLER_376_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78931_ _78931_/CLK _78931_/D VGND VGND VPWR VPWR _71384_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_202_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40446_ _40445_/X _40437_/B VGND VGND VPWR VPWR _40446_/X sky130_fd_sc_hd__or2_2
+XPHY_24554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71266_ _71376_/A _71376_/B _68615_/A VGND VGND VPWR VPWR _71267_/B sky130_fd_sc_hd__nand3_2
+XPHY_14031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_122_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_706_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73005_ _73005_/A _72988_/X _68914_/A VGND VGND VPWR VPWR _73007_/A sky130_fd_sc_hd__nand3_2
+XFILLER_712_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_374_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70217_ _70022_/X _70217_/B VGND VGND VPWR VPWR _70217_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_678_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43165_ _43129_/X _43164_/Y VGND VGND VPWR VPWR _43165_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_174_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_182_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78862_ _78405_/CLK _78862_/D VGND VGND VPWR VPWR _63213_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_14075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40377_ _40377_/A _40372_/B _69394_/A VGND VGND VPWR VPWR _40377_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_272_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_456_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71197_ _71519_/A _71015_/Y VGND VGND VPWR VPWR _71198_/B sky130_fd_sc_hd__nor2_2
+XFILLER_671_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42116_ _42055_/A VGND VGND VPWR VPWR _42117_/A sky130_fd_sc_hd__buf_1
+XPHY_13363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77813_ _77799_/CLK _77813_/D VGND VGND VPWR VPWR _60569_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_589_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70148_ _69964_/Y VGND VGND VPWR VPWR _70323_/A sky130_fd_sc_hd__buf_1
+XFILLER_684_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_42_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47973_ _47971_/Y _47972_/X VGND VGND VPWR VPWR _47973_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_504_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59959_ _59487_/A _59959_/B _59959_/C VGND VGND VPWR VPWR _59963_/B sky130_fd_sc_hd__nor3_2
+XFILLER_370_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43096_ _79536_/Q VGND VGND VPWR VPWR _43097_/A sky130_fd_sc_hd__inv_8
+XFILLER_135_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78793_ _77888_/CLK _78793_/D VGND VGND VPWR VPWR _63647_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_12640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_2900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_155_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_411_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49712_ _49709_/Y _49711_/X VGND VGND VPWR VPWR _78268_/D sky130_fd_sc_hd__nand2_2
+XPHY_30030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42047_ _42047_/A _42047_/B _42046_/Y VGND VGND VPWR VPWR _79536_/D sky130_fd_sc_hd__nor3_2
+X_46924_ _46870_/A VGND VGND VPWR VPWR _46925_/B sky130_fd_sc_hd__buf_1
+XFILLER_4_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77744_ _77741_/CLK _77744_/D VGND VGND VPWR VPWR _61010_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_330_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62970_ _59828_/X VGND VGND VPWR VPWR _63581_/B sky130_fd_sc_hd__buf_1
+X_74956_ _74963_/A VGND VGND VPWR VPWR _75031_/A sky130_fd_sc_hd__buf_1
+X_70079_ _70079_/A _70078_/Y VGND VGND VPWR VPWR _70079_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_296_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_567_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_136_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_692_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61921_ _61921_/A _61912_/Y _61921_/C VGND VGND VPWR VPWR _61937_/A sky130_fd_sc_hd__nor3_2
+XFILLER_469_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49643_ _53465_/A _49679_/B VGND VGND VPWR VPWR _49677_/B sky130_fd_sc_hd__or2_2
+X_73907_ _73545_/A _73904_/B VGND VGND VPWR VPWR _73907_/X sky130_fd_sc_hd__or2_2
+XFILLER_46_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_96_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46855_ _46848_/A _46855_/B VGND VGND VPWR VPWR _46859_/A sky130_fd_sc_hd__or2_2
+XPHY_11983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77675_ _77613_/CLK _51952_/Y VGND VGND VPWR VPWR _77675_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_42_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_136_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74887_ _75249_/A _74876_/B VGND VGND VPWR VPWR _74889_/A sky130_fd_sc_hd__or2_2
+XPHY_11994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_608_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_330_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79414_ _80046_/CLK _42993_/Y VGND VGND VPWR VPWR _56551_/A sky130_fd_sc_hd__dfxtp_4
+X_45806_ _45607_/A VGND VGND VPWR VPWR _45806_/X sky130_fd_sc_hd__buf_1
+X_64640_ _64317_/A _64636_/Y _64640_/C VGND VGND VPWR VPWR _64640_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_285_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76626_ VGND VGND VPWR VPWR _76626_/HI la_data_out[122] sky130_fd_sc_hd__conb_1
+XFILLER_660_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73838_ _73959_/A _73845_/B VGND VGND VPWR VPWR _73838_/X sky130_fd_sc_hd__or2_2
+XFILLER_484_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61852_ _59481_/A VGND VGND VPWR VPWR _61852_/X sky130_fd_sc_hd__buf_1
+X_49574_ _49632_/A _49577_/B VGND VGND VPWR VPWR _49574_/X sky130_fd_sc_hd__or2_2
+XFILLER_438_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_3_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46786_ _78956_/Q _46786_/B VGND VGND VPWR VPWR _46788_/B sky130_fd_sc_hd__nor2_2
+XFILLER_665_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_116_0_u_core.clock clkbuf_8_58_0_u_core.clock/X VGND VGND VPWR VPWR _77596_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_387_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43998_ _44243_/A VGND VGND VPWR VPWR _44132_/A sky130_fd_sc_hd__buf_1
+XPHY_7280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_463_2532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_643_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_661_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60803_ _59094_/A VGND VGND VPWR VPWR _60804_/B sky130_fd_sc_hd__buf_1
+XFILLER_48_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48525_ _63862_/A _48521_/B VGND VGND VPWR VPWR _48525_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_506_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79345_ _79589_/CLK _79345_/D VGND VGND VPWR VPWR _57052_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45737_ _45736_/Y _45717_/X VGND VGND VPWR VPWR _45738_/C sky130_fd_sc_hd__nor2_2
+XPHY_39050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64571_ _64570_/X VGND VGND VPWR VPWR _69366_/B sky130_fd_sc_hd__buf_1
+XFILLER_266_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76557_ VGND VGND VPWR VPWR _76557_/HI la_data_out[53] sky130_fd_sc_hd__conb_1
+X_42949_ _42949_/A _42949_/B _57517_/A VGND VGND VPWR VPWR _42949_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_0_2939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_209_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61783_ _62404_/A _61783_/B _61783_/C VGND VGND VPWR VPWR _61784_/C sky130_fd_sc_hd__nor3_2
+XFILLER_149_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73769_ _73769_/A _73768_/Y VGND VGND VPWR VPWR _73769_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_208_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_608_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_428_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_624_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66310_ _65483_/X VGND VGND VPWR VPWR _66469_/B sky130_fd_sc_hd__buf_1
+XPHY_39083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_604_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_40_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_225_0_u_core.clock clkbuf_7_112_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_451_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_440_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63522_ _63522_/A _63521_/X VGND VGND VPWR VPWR _63522_/Y sky130_fd_sc_hd__nor2_2
+X_75508_ _75508_/A VGND VGND VPWR VPWR _75513_/A sky130_fd_sc_hd__buf_1
+XFILLER_602_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_424_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60734_ _60734_/A _60734_/B VGND VGND VPWR VPWR _60736_/B sky130_fd_sc_hd__nor2_2
+X_48456_ _48293_/A VGND VGND VPWR VPWR _48456_/X sky130_fd_sc_hd__buf_1
+XFILLER_425_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67290_ _67290_/A _66792_/X VGND VGND VPWR VPWR _67290_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_229_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79276_ _81203_/CLK _43959_/Y VGND VGND VPWR VPWR _79276_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_63_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_209_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_285_0_u_core.clock clkbuf_9_285_0_u_core.clock/A VGND VGND VPWR VPWR _77223_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_406_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45668_ _69926_/X _45667_/X VGND VGND VPWR VPWR _45668_/X sky130_fd_sc_hd__or2_4
+XFILLER_561_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76488_ VGND VGND VPWR VPWR _76488_/HI io_out[10] sky130_fd_sc_hd__conb_1
+XFILLER_264_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_1458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_397_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_64_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_409_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_695_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66241_ _67063_/A VGND VGND VPWR VPWR _66565_/B sky130_fd_sc_hd__buf_1
+X_47407_ _47405_/Y _47406_/X VGND VGND VPWR VPWR _78848_/D sky130_fd_sc_hd__nand2_2
+X_78227_ _78699_/CLK _78227_/D VGND VGND VPWR VPWR _62688_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_17_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_621_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44619_ _44636_/A VGND VGND VPWR VPWR _44620_/B sky130_fd_sc_hd__inv_8
+XFILLER_283_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_225_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75439_ _75439_/A VGND VGND VPWR VPWR _76083_/A sky130_fd_sc_hd__buf_1
+XFILLER_162_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63453_ _59544_/A VGND VGND VPWR VPWR _63991_/A sky130_fd_sc_hd__buf_1
+XFILLER_719_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60665_ _51846_/A _60665_/B VGND VGND VPWR VPWR _60665_/Y sky130_fd_sc_hd__nor2_2
+X_48387_ _63129_/A _48369_/B VGND VGND VPWR VPWR _48389_/A sky130_fd_sc_hd__nand2_2
+XFILLER_698_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45599_ _45599_/A _45599_/B _45598_/Y VGND VGND VPWR VPWR _45599_/Y sky130_fd_sc_hd__nor3_2
+XPHY_37670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62404_ _62404_/A _62400_/Y _62403_/Y VGND VGND VPWR VPWR _62405_/C sky130_fd_sc_hd__nor3_2
+XFILLER_109_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47338_ _49332_/A VGND VGND VPWR VPWR _47338_/X sky130_fd_sc_hd__buf_1
+XFILLER_574_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66172_ _64138_/A VGND VGND VPWR VPWR _66172_/X sky130_fd_sc_hd__buf_1
+XFILLER_204_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78158_ _78708_/CLK _50121_/Y VGND VGND VPWR VPWR _61930_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_149_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63384_ _63229_/A _63376_/Y _63383_/Y VGND VGND VPWR VPWR _63384_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_637_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_504_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60596_ _59796_/A VGND VGND VPWR VPWR _60614_/A sky130_fd_sc_hd__buf_1
+XFILLER_716_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_3059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_121_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_160_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_539_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65123_ _56731_/A _65123_/B VGND VGND VPWR VPWR _65123_/Y sky130_fd_sc_hd__nor2_2
+X_77109_ _76876_/CLK _77109_/D VGND VGND VPWR VPWR _59149_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_359_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_53_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62335_ _61873_/X _62333_/Y _62334_/Y VGND VGND VPWR VPWR _62335_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_578_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_177_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_654_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47269_ _47293_/B _42276_/B wbs_adr_i[7] VGND VGND VPWR VPWR _47269_/X sky130_fd_sc_hd__and3_2
+X_78089_ _78092_/CLK _78089_/D VGND VGND VPWR VPWR _78089_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_470_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_574_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_199_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80120_ _80121_/CLK _80120_/D VGND VGND VPWR VPWR _57086_/A sky130_fd_sc_hd__dfxtp_4
+X_49008_ _49066_/A _48999_/B VGND VGND VPWR VPWR _49009_/B sky130_fd_sc_hd__or2_2
+XFILLER_34_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_713_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65054_ _65734_/A _65054_/B _65054_/C VGND VGND VPWR VPWR _65054_/Y sky130_fd_sc_hd__nor3_2
+X_69931_ _69914_/X _69920_/B VGND VGND VPWR VPWR _69931_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_650_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_394_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_353_3024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50280_ _50877_/A VGND VGND VPWR VPWR _50281_/A sky130_fd_sc_hd__buf_1
+XFILLER_14_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62266_ _62266_/A _61801_/B VGND VGND VPWR VPWR _62266_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_542_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_318_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_12_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_173_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_435_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_380_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64005_ _64005_/A _64003_/Y _64004_/Y VGND VGND VPWR VPWR _64006_/C sky130_fd_sc_hd__nor3_2
+XFILLER_533_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61217_ _52885_/A _61216_/X VGND VGND VPWR VPWR _61217_/Y sky130_fd_sc_hd__nor2_2
+X_80051_ _80073_/CLK _80051_/D VGND VGND VPWR VPWR _39717_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_353_3068 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_297_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69862_ _69862_/A _69862_/B _69729_/A VGND VGND VPWR VPWR _69862_/X sky130_fd_sc_hd__or3_2
+XFILLER_138_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_293_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_290_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62197_ _62188_/X _62193_/Y _62196_/Y VGND VGND VPWR VPWR _62197_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_474_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_219_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_632_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_451_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68813_ _69254_/A _68811_/Y _68812_/Y VGND VGND VPWR VPWR _68813_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_613_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61148_ _77649_/Q _60835_/B VGND VGND VPWR VPWR _61148_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_86_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38973_ _38971_/X _38972_/Y VGND VGND VPWR VPWR _80241_/D sky130_fd_sc_hd__nand2_2
+XFILLER_290_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69793_ _69793_/A VGND VGND VPWR VPWR _70299_/B sky130_fd_sc_hd__buf_1
+XFILLER_172_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_451_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_173_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_370_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_271_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68744_ _68744_/A _69336_/B VGND VGND VPWR VPWR _68744_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_494_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_9_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53970_ _77142_/Q _53951_/X VGND VGND VPWR VPWR _53970_/Y sky130_fd_sc_hd__nand2_2
+X_61079_ _61079_/A _60611_/B VGND VGND VPWR VPWR _61080_/C sky130_fd_sc_hd__nor2_2
+XFILLER_47_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65956_ _65956_/A _65791_/B VGND VGND VPWR VPWR _65956_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_677_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_550_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_637_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_388_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_549_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_330_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_259_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52921_ _51416_/A VGND VGND VPWR VPWR _53010_/A sky130_fd_sc_hd__buf_1
+XFILLER_8_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64907_ _64907_/A _64907_/B VGND VGND VPWR VPWR _64907_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_334_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_63_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80953_ _80884_/CLK _80953_/D VGND VGND VPWR VPWR _80953_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_302_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68675_ _68509_/Y _68511_/Y _68518_/X _68674_/Y VGND VGND VPWR VPWR _76710_/D sky130_fd_sc_hd__or4_2
+XFILLER_331_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65887_ _80856_/Q _65887_/B VGND VGND VPWR VPWR _65888_/C sky130_fd_sc_hd__nor2_2
+XFILLER_60_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_60_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67626_ _65142_/A VGND VGND VPWR VPWR _68281_/B sky130_fd_sc_hd__buf_1
+XFILLER_101_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55640_ _45332_/Y _55522_/X VGND VGND VPWR VPWR _55642_/B sky130_fd_sc_hd__nor2_2
+XFILLER_210_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52852_ _52849_/Y _52852_/B VGND VGND VPWR VPWR _77436_/D sky130_fd_sc_hd__nand2_2
+XFILLER_509_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64838_ _64838_/A _64838_/B _64837_/Y VGND VGND VPWR VPWR _64838_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_312_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_544_3076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80884_ _80884_/CLK _80884_/D VGND VGND VPWR VPWR _74644_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_288_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_446_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_629_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_484_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51803_ _51801_/Y _51802_/X VGND VGND VPWR VPWR _77715_/D sky130_fd_sc_hd__nand2_2
+X_39525_ _39529_/A _39529_/B _58285_/A VGND VGND VPWR VPWR _39525_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_27_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55571_ io_in[29] _55555_/A VGND VGND VPWR VPWR _55571_/X sky130_fd_sc_hd__or2_2
+X_67557_ _67392_/A _67557_/B _67556_/Y VGND VGND VPWR VPWR _67558_/C sky130_fd_sc_hd__nor3_2
+XFILLER_429_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_661_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_544_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52783_ _60578_/A _52787_/B VGND VGND VPWR VPWR _52786_/A sky130_fd_sc_hd__nand2_2
+XFILLER_104_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64769_ _64769_/A _64767_/Y _64768_/Y VGND VGND VPWR VPWR _64769_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_251_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_589_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57310_ _40202_/C _57310_/B VGND VGND VPWR VPWR _57311_/C sky130_fd_sc_hd__nor2_2
+XFILLER_255_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_149_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54522_ _54642_/A _54541_/B VGND VGND VPWR VPWR _54523_/B sky130_fd_sc_hd__or2_2
+XFILLER_360_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66508_ _66172_/X _66504_/Y _66508_/C VGND VGND VPWR VPWR _66508_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_694_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51734_ _51731_/Y _51733_/X VGND VGND VPWR VPWR _77734_/D sky130_fd_sc_hd__nand2_2
+XFILLER_423_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39456_ _39442_/A _39452_/X _57167_/A VGND VGND VPWR VPWR _39457_/B sky130_fd_sc_hd__nand3_2
+XPHY_18319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58290_ _68498_/A _58138_/X VGND VGND VPWR VPWR _58290_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_431_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_388_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67488_ _79841_/Q _67824_/B VGND VGND VPWR VPWR _67491_/B sky130_fd_sc_hd__nor2_2
+XFILLER_622_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_167_1558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_423_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38407_ _38400_/A _38421_/B _67064_/A VGND VGND VPWR VPWR _38407_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_243_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_81505_ _81509_/CLK _81505_/D VGND VGND VPWR VPWR _67456_/A sky130_fd_sc_hd__dfxtp_4
+X_57241_ _56839_/X VGND VGND VPWR VPWR _57557_/B sky130_fd_sc_hd__buf_1
+XFILLER_247_1560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_659_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69227_ _69365_/A _69219_/Y _69227_/C VGND VGND VPWR VPWR _69227_/Y sky130_fd_sc_hd__nor3_2
+XPHY_3238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_401_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_149_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54453_ _54451_/Y _54453_/B VGND VGND VPWR VPWR _77015_/D sky130_fd_sc_hd__nand2_2
+XFILLER_364_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66439_ _66439_/A _66932_/B VGND VGND VPWR VPWR _66439_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_282_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39387_ _39384_/X _39387_/B VGND VGND VPWR VPWR _39387_/Y sky130_fd_sc_hd__nand2_2
+X_51665_ _51665_/A _51668_/B VGND VGND VPWR VPWR _51667_/A sky130_fd_sc_hd__nand2_2
+XPHY_3249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_221_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_497_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_477_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_180_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_120_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53404_ _77293_/Q _53401_/B VGND VGND VPWR VPWR _53406_/A sky130_fd_sc_hd__nand2_2
+XFILLER_74_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38338_ _38285_/A VGND VGND VPWR VPWR _38338_/X sky130_fd_sc_hd__buf_1
+X_50616_ _62655_/A _50611_/X VGND VGND VPWR VPWR _50616_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_694_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57172_ _57408_/A _57168_/Y _57172_/C VGND VGND VPWR VPWR _57181_/A sky130_fd_sc_hd__nor3_2
+X_69158_ _75072_/C _69158_/B VGND VGND VPWR VPWR _69160_/B sky130_fd_sc_hd__nor2_2
+X_81436_ _81435_/CLK _81436_/D VGND VGND VPWR VPWR _66601_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_1803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54384_ _59835_/A _54388_/B VGND VGND VPWR VPWR _54384_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_357_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_601_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51596_ _77771_/Q _51591_/X VGND VGND VPWR VPWR _51596_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_52_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_35_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_30_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56123_ _56129_/A _56122_/Y VGND VGND VPWR VPWR _56124_/B sky130_fd_sc_hd__nor2_2
+X_68109_ _68109_/A _68105_/Y _68109_/C VGND VGND VPWR VPWR _68109_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_123_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53335_ _53331_/Y _53335_/B VGND VGND VPWR VPWR _53335_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_196_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38269_ _38279_/A _38279_/B _38269_/C VGND VGND VPWR VPWR _38270_/B sky130_fd_sc_hd__nand3_2
+XFILLER_50_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50547_ _50546_/X VGND VGND VPWR VPWR _50548_/B sky130_fd_sc_hd__buf_1
+XFILLER_675_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81367_ _81366_/CLK _72680_/Y VGND VGND VPWR VPWR _65782_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_384_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69089_ _69089_/A _68946_/B VGND VGND VPWR VPWR _69089_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_358_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_149_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_517_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_358_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40300_ _40300_/A VGND VGND VPWR VPWR _40314_/A sky130_fd_sc_hd__buf_1
+XFILLER_555_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71120_ _71764_/A VGND VGND VPWR VPWR _71391_/A sky130_fd_sc_hd__buf_1
+XFILLER_149_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80318_ _80321_/CLK _80318_/D VGND VGND VPWR VPWR _80318_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_100_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56054_ _55984_/A _56054_/B _56054_/C VGND VGND VPWR VPWR _56055_/B sky130_fd_sc_hd__or3_2
+XFILLER_183_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41280_ _41277_/A _41277_/B _58772_/A VGND VGND VPWR VPWR _41281_/B sky130_fd_sc_hd__nand3_2
+XFILLER_182_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53266_ _53210_/A _53269_/B VGND VGND VPWR VPWR _53266_/X sky130_fd_sc_hd__or2_2
+XFILLER_221_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50478_ _50418_/X _50484_/B VGND VGND VPWR VPWR _50479_/B sky130_fd_sc_hd__or2_2
+XFILLER_178_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_139_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81298_ _81301_/CLK _81298_/D VGND VGND VPWR VPWR _81298_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_418_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_358_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_715_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55005_ _54977_/A _55011_/B VGND VGND VPWR VPWR _55006_/B sky130_fd_sc_hd__or2_2
+XFILLER_707_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40231_ _40225_/A _40225_/B _40231_/C VGND VGND VPWR VPWR _40231_/Y sky130_fd_sc_hd__nand3_2
+XPHY_22404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52217_ _52187_/A _52214_/B VGND VGND VPWR VPWR _52217_/X sky130_fd_sc_hd__or2_2
+XFILLER_30_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_555_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71051_ _42176_/B _42157_/A VGND VGND VPWR VPWR _71051_/X sky130_fd_sc_hd__or2_2
+XFILLER_716_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_707_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80249_ _80304_/CLK _38945_/Y VGND VGND VPWR VPWR _80249_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_219_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53197_ _53225_/A _53218_/B VGND VGND VPWR VPWR _53197_/X sky130_fd_sc_hd__or2_2
+XFILLER_276_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70002_ _70403_/A _70002_/B VGND VGND VPWR VPWR _70008_/A sky130_fd_sc_hd__or2_2
+XFILLER_11_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59813_ _59491_/A _59813_/B _59812_/Y VGND VGND VPWR VPWR _59813_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_325_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40162_ _40281_/A _40161_/X VGND VGND VPWR VPWR _40162_/X sky130_fd_sc_hd__or2_2
+X_52148_ _52035_/A _52125_/A VGND VGND VPWR VPWR _52149_/B sky130_fd_sc_hd__or2_2
+XPHY_21714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_532_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74810_ _76213_/A VGND VGND VPWR VPWR _74811_/A sky130_fd_sc_hd__buf_1
+XFILLER_467_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_510_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59744_ _59744_/A _59902_/B VGND VGND VPWR VPWR _59744_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_191_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_215_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40093_ _40075_/A VGND VGND VPWR VPWR _40094_/A sky130_fd_sc_hd__buf_1
+XFILLER_332_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44970_ _44970_/A VGND VGND VPWR VPWR _45497_/A sky130_fd_sc_hd__inv_8
+X_52079_ _52051_/A _52082_/B VGND VGND VPWR VPWR _52079_/X sky130_fd_sc_hd__or2_2
+X_56956_ _56956_/A _56954_/Y _56956_/C VGND VGND VPWR VPWR _56957_/C sky130_fd_sc_hd__nor3_2
+X_75790_ _75913_/A _75780_/B VGND VGND VPWR VPWR _75793_/A sky130_fd_sc_hd__or2_2
+XPHY_21769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_150_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_104_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_145_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43921_ _43716_/X VGND VGND VPWR VPWR _43930_/A sky130_fd_sc_hd__inv_8
+XFILLER_666_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55907_ _55901_/A _76678_/Q _69778_/Y VGND VGND VPWR VPWR _55909_/B sky130_fd_sc_hd__and3_2
+X_74741_ _74741_/A VGND VGND VPWR VPWR _75241_/A sky130_fd_sc_hd__buf_1
+XFILLER_627_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71953_ _71953_/A VGND VGND VPWR VPWR _42451_/A sky130_fd_sc_hd__buf_1
+XFILLER_584_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59675_ _59675_/A VGND VGND VPWR VPWR _59695_/A sky130_fd_sc_hd__buf_1
+XPHY_10545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56887_ _65498_/A _56633_/B VGND VGND VPWR VPWR _56887_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_438_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_3097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_510_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46640_ _46640_/A _58859_/A VGND VGND VPWR VPWR _46640_/Y sky130_fd_sc_hd__nor2_2
+X_70904_ _70353_/B VGND VGND VPWR VPWR _70906_/C sky130_fd_sc_hd__inv_8
+XPHY_10578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58626_ _58484_/A _58626_/B _58625_/Y VGND VGND VPWR VPWR _58626_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_482_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77460_ _77474_/CLK _77460_/D VGND VGND VPWR VPWR _61512_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_682_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43852_ _43979_/B VGND VGND VPWR VPWR _43891_/B sky130_fd_sc_hd__buf_1
+XFILLER_49_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55838_ _55838_/A _55838_/B VGND VGND VPWR VPWR _76762_/D sky130_fd_sc_hd__nand2_2
+X_74672_ _74672_/A VGND VGND VPWR VPWR _75189_/A sky130_fd_sc_hd__buf_1
+XFILLER_549_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_65_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_185_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71884_ _71549_/X _71875_/Y _71877_/Y _71883_/X VGND VGND VPWR VPWR _42756_/B sky130_fd_sc_hd__o22a_4
+XFILLER_210_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_426_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_670_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_330_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76411_ _76411_/A VGND VGND VPWR VPWR _76419_/A sky130_fd_sc_hd__buf_1
+XFILLER_369_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42803_ _42477_/X _70806_/X _70804_/X _42478_/X VGND VGND VPWR VPWR _42803_/X sky130_fd_sc_hd__o22a_4
+XFILLER_248_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73623_ _73623_/A _73507_/X _64198_/A VGND VGND VPWR VPWR _73623_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_213_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46571_ _46577_/A _46581_/B VGND VGND VPWR VPWR _46573_/B sky130_fd_sc_hd__nor2_2
+XFILLER_562_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58557_ _58557_/A _58234_/X VGND VGND VPWR VPWR _58557_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_287_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70835_ _71997_/A _70834_/Y VGND VGND VPWR VPWR _71964_/A sky130_fd_sc_hd__or2_2
+XFILLER_463_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77391_ _77749_/CLK _53017_/Y VGND VGND VPWR VPWR _53015_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_280_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_464_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_625_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55769_ _76781_/Q _55768_/X VGND VGND VPWR VPWR _55769_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_365_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43783_ _43790_/A _43783_/B _43783_/C VGND VGND VPWR VPWR _79297_/D sky130_fd_sc_hd__nor3_2
+XPHY_5130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_161_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40995_ _41005_/A _40888_/B _64624_/A VGND VGND VPWR VPWR _40996_/B sky130_fd_sc_hd__nand3_2
+XFILLER_720_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48310_ _48936_/A VGND VGND VPWR VPWR _48408_/A sky130_fd_sc_hd__buf_1
+XPHY_5152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79130_ _76708_/CLK _79130_/D VGND VGND VPWR VPWR _45582_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_226_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_59_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_185_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57508_ _57280_/A _57506_/Y _57507_/Y VGND VGND VPWR VPWR _57508_/Y sky130_fd_sc_hd__nor3_2
+X_45522_ _45506_/X _45435_/B VGND VGND VPWR VPWR _45522_/Y sky130_fd_sc_hd__nor2_2
+X_76342_ _76342_/A _76341_/Y VGND VGND VPWR VPWR _80463_/D sky130_fd_sc_hd__nand2_2
+XPHY_5163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_18_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42734_ _42734_/A _42734_/B _42733_/Y VGND VGND VPWR VPWR _42734_/Y sky130_fd_sc_hd__nor3_2
+X_73554_ _73552_/X _73554_/B VGND VGND VPWR VPWR _73554_/Y sky130_fd_sc_hd__nand2_2
+X_49290_ _78371_/Q _49286_/B VGND VGND VPWR VPWR _49290_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_59_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_320 _53650_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_58488_ _68771_/A _58563_/B VGND VGND VPWR VPWR _58490_/B sky130_fd_sc_hd__nor2_2
+X_70766_ _70766_/A _70765_/X VGND VGND VPWR VPWR _71969_/A sky130_fd_sc_hd__and2_2
+XFILLER_61_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_331 _54581_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_76_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_422_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_342 _51148_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_48241_ _48238_/Y _48241_/B VGND VGND VPWR VPWR _48241_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_343_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72505_ _72489_/X _72491_/X _81413_/Q VGND VGND VPWR VPWR _72506_/B sky130_fd_sc_hd__nand3_2
+XPHY_19587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79061_ _79057_/CLK _79061_/D VGND VGND VPWR VPWR _79061_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_699_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_353 _51167_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_57_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45453_ _45467_/A _45453_/B VGND VGND VPWR VPWR _45453_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57439_ _57439_/A _57517_/B VGND VGND VPWR VPWR _57439_/Y sky130_fd_sc_hd__nor2_2
+X_76273_ _76277_/A _76281_/B _80482_/Q VGND VGND VPWR VPWR _76273_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_379_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42665_ _42477_/X _70496_/Y _70494_/X _42478_/X VGND VGND VPWR VPWR _42665_/X sky130_fd_sc_hd__o22a_4
+XFILLER_695_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_578_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73485_ _75043_/A _73485_/B VGND VGND VPWR VPWR _73486_/B sky130_fd_sc_hd__or2_2
+XANTENNA_364 _50856_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_128_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_261_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70697_ _69743_/X _76649_/Q _70683_/C VGND VGND VPWR VPWR _70697_/X sky130_fd_sc_hd__and3_2
+XFILLER_221_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_375 _53063_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_35_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_386 _52181_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_78012_ _78006_/CLK _78012_/D VGND VGND VPWR VPWR _62805_/A sky130_fd_sc_hd__dfxtp_4
+X_44404_ _74953_/A _44608_/B _44389_/B _44403_/Y VGND VGND VPWR VPWR _44404_/X sky130_fd_sc_hd__o22a_4
+XPHY_3761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75224_ _75242_/A VGND VGND VPWR VPWR _75239_/A sky130_fd_sc_hd__buf_1
+XANTENNA_397 _53617_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_41616_ _41616_/A _41624_/B _65116_/A VGND VGND VPWR VPWR _41617_/B sky130_fd_sc_hd__nand3_2
+XFILLER_18_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48172_ _48171_/X VGND VGND VPWR VPWR _48172_/X sky130_fd_sc_hd__buf_1
+X_60450_ _60450_/A _61075_/B VGND VGND VPWR VPWR _60453_/B sky130_fd_sc_hd__nor2_2
+XFILLER_260_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72436_ _72677_/A _72442_/B VGND VGND VPWR VPWR _72438_/A sky130_fd_sc_hd__or2_2
+XFILLER_398_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45384_ _45113_/A _55440_/B VGND VGND VPWR VPWR _45384_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42596_ _42596_/A VGND VGND VPWR VPWR _42607_/B sky130_fd_sc_hd__buf_1
+XFILLER_222_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_591_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_183_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59109_ _59384_/A _59099_/Y _59109_/C VGND VGND VPWR VPWR _59129_/B sky130_fd_sc_hd__nor3_2
+X_47123_ _47123_/A _47122_/X VGND VGND VPWR VPWR _47123_/X sky130_fd_sc_hd__or2_2
+XFILLER_224_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44335_ _79225_/Q VGND VGND VPWR VPWR _67356_/A sky130_fd_sc_hd__inv_8
+X_75155_ _75274_/A _75151_/X VGND VGND VPWR VPWR _75155_/X sky130_fd_sc_hd__or2_2
+XFILLER_536_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41547_ _41042_/X _41547_/B VGND VGND VPWR VPWR _41550_/A sky130_fd_sc_hd__or2_2
+XPHY_35564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60381_ _60381_/A _59902_/B VGND VGND VPWR VPWR _60381_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_7_99_0_u_core.clock clkbuf_7_99_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_99_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_161_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72367_ _72367_/A _72367_/B VGND VGND VPWR VPWR _81450_/D sky130_fd_sc_hd__nand2_2
+XFILLER_302_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_439_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_296_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_454_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_35_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_413_0_u_core.clock clkbuf_9_413_0_u_core.clock/A VGND VGND VPWR VPWR _80912_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_25063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62120_ _78351_/Q _62120_/B VGND VGND VPWR VPWR _62121_/C sky130_fd_sc_hd__nor2_2
+XPHY_35597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74106_ _74500_/A _74085_/X VGND VGND VPWR VPWR _74108_/A sky130_fd_sc_hd__or2_2
+XFILLER_363_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47054_ _47046_/X _71928_/B _71872_/B _47021_/X VGND VGND VPWR VPWR _47055_/B sky130_fd_sc_hd__o22a_4
+X_71318_ _70353_/B _71316_/X VGND VGND VPWR VPWR _71319_/C sky130_fd_sc_hd__and2_2
+XFILLER_400_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44266_ _44266_/A _44265_/X VGND VGND VPWR VPWR _44268_/B sky130_fd_sc_hd__nor2_2
+XFILLER_272_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75086_ _75082_/X _75085_/Y VGND VGND VPWR VPWR _80777_/D sky130_fd_sc_hd__nand2_2
+XPHY_25085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79963_ _80031_/CLK _40084_/Y VGND VGND VPWR VPWR _79963_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_220_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41478_ _41107_/X _41478_/B VGND VGND VPWR VPWR _41478_/X sky130_fd_sc_hd__or2_2
+XFILLER_684_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72298_ _72298_/A VGND VGND VPWR VPWR _72299_/A sky130_fd_sc_hd__buf_1
+XFILLER_122_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_396_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_671_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_319_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46005_ _55646_/A _46005_/B VGND VGND VPWR VPWR _46007_/B sky130_fd_sc_hd__nor2_2
+XPHY_34896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43217_ _43217_/A VGND VGND VPWR VPWR _43224_/B sky130_fd_sc_hd__buf_1
+XFILLER_157_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62051_ _62051_/A _61894_/X VGND VGND VPWR VPWR _62051_/Y sky130_fd_sc_hd__nor2_2
+X_74037_ _74035_/X _74036_/Y VGND VGND VPWR VPWR _81030_/D sky130_fd_sc_hd__nand2_2
+X_78914_ _79040_/CLK _78914_/D VGND VGND VPWR VPWR _71927_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_616_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40429_ _40429_/A _40429_/B _40429_/C VGND VGND VPWR VPWR _40429_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_432_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_417_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71249_ _70219_/X _71249_/B VGND VGND VPWR VPWR _71252_/B sky130_fd_sc_hd__nor2_2
+XFILLER_632_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44197_ _44123_/X _44194_/Y _44023_/A _44196_/X VGND VGND VPWR VPWR _44198_/B sky130_fd_sc_hd__o22a_4
+XFILLER_186_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79894_ _80144_/CLK _79894_/D VGND VGND VPWR VPWR _56961_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_23650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_573_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_677_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_610_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61002_ _61471_/A _61002_/B _61001_/Y VGND VGND VPWR VPWR _61003_/C sky130_fd_sc_hd__nor3_2
+XFILLER_343_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_331_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_452_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_712_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43148_ _43148_/A VGND VGND VPWR VPWR _45222_/A sky130_fd_sc_hd__buf_1
+XFILLER_350_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78845_ _76729_/CLK _47416_/Y VGND VGND VPWR VPWR _63052_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_233_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_154_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_174_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_181_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_430_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_550_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65810_ _65810_/A _65312_/B VGND VGND VPWR VPWR _65811_/C sky130_fd_sc_hd__nor2_2
+XFILLER_688_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_124_0_u_core.clock clkbuf_6_62_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_124_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_476_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47956_ _47972_/B VGND VGND VPWR VPWR _47956_/X sky130_fd_sc_hd__buf_1
+XFILLER_413_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43079_ _45367_/A VGND VGND VPWR VPWR _46114_/A sky130_fd_sc_hd__inv_8
+XFILLER_155_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66790_ _40949_/C _66789_/X VGND VGND VPWR VPWR _66791_/C sky130_fd_sc_hd__nor2_2
+XFILLER_659_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78776_ _78766_/CLK _47718_/Y VGND VGND VPWR VPWR _78776_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_170_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75988_ _75988_/A _75992_/B VGND VGND VPWR VPWR _75991_/A sky130_fd_sc_hd__or2_2
+Xclkbuf_8_184_0_u_core.clock clkbuf_7_92_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_369_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_429_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_3027 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_350_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_9_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_29_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46907_ _46907_/A _46907_/B VGND VGND VPWR VPWR _46908_/B sky130_fd_sc_hd__and2_2
+X_65741_ _65741_/A _65906_/B VGND VGND VPWR VPWR _65741_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_332_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77727_ _77729_/CLK _51760_/Y VGND VGND VPWR VPWR _60809_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_458_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74939_ _74937_/X _74938_/Y VGND VGND VPWR VPWR _80815_/D sky130_fd_sc_hd__nand2_2
+X_62953_ _59067_/X VGND VGND VPWR VPWR _62954_/B sky130_fd_sc_hd__buf_1
+XFILLER_674_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47887_ _47946_/A _47887_/B VGND VGND VPWR VPWR _47913_/B sky130_fd_sc_hd__or2_2
+XFILLER_411_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_211_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_500_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_485_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61904_ _61581_/A _61904_/B _61903_/Y VGND VGND VPWR VPWR _61904_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_268_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49626_ _49626_/A _49625_/X VGND VGND VPWR VPWR _49627_/B sky130_fd_sc_hd__or2_2
+XFILLER_228_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68460_ _80263_/Q _68625_/B VGND VGND VPWR VPWR _68463_/B sky130_fd_sc_hd__nor2_2
+XFILLER_411_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46838_ _46848_/A _46837_/X VGND VGND VPWR VPWR _46838_/X sky130_fd_sc_hd__or2_2
+XFILLER_725_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65672_ _79990_/Q _65672_/B VGND VGND VPWR VPWR _65674_/B sky130_fd_sc_hd__nor2_2
+XFILLER_626_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77658_ _77705_/CLK _52016_/Y VGND VGND VPWR VPWR _77658_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_548_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62884_ _59740_/A VGND VGND VPWR VPWR _62884_/X sky130_fd_sc_hd__buf_1
+XFILLER_96_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_684_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_42_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_283_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67411_ _67411_/A _67912_/B VGND VGND VPWR VPWR _67411_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_443_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64623_ _64623_/A _64623_/B VGND VGND VPWR VPWR _64623_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_271_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_20_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_79_0_u_core.clock clkbuf_8_79_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_79_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_76609_ VGND VGND VPWR VPWR _76609_/HI la_data_out[105] sky130_fd_sc_hd__conb_1
+XFILLER_7_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61835_ _61835_/A _61519_/B VGND VGND VPWR VPWR _61837_/B sky130_fd_sc_hd__nor2_2
+X_49557_ _53379_/A _49557_/B VGND VGND VPWR VPWR _49558_/A sky130_fd_sc_hd__or2_2
+X_68391_ _81095_/Q _68391_/B VGND VGND VPWR VPWR _68392_/C sky130_fd_sc_hd__nor2_2
+XFILLER_633_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46769_ _46769_/A VGND VGND VPWR VPWR _46770_/B sky130_fd_sc_hd__inv_8
+XFILLER_168_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77589_ _78599_/CLK _52277_/Y VGND VGND VPWR VPWR _77589_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_604_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_506_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_3_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39310_ _39317_/A _39310_/B _80160_/Q VGND VGND VPWR VPWR _39310_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_188_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_482_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48508_ _48508_/A _48508_/B VGND VGND VPWR VPWR _78576_/D sky130_fd_sc_hd__nand2_2
+X_67342_ _67186_/A _67342_/B _67342_/C VGND VGND VPWR VPWR _67346_/B sky130_fd_sc_hd__nor3_2
+XFILLER_446_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79328_ _78931_/CLK _79328_/D VGND VGND VPWR VPWR _71226_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_614_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_719_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64554_ _65989_/A VGND VGND VPWR VPWR _64561_/A sky130_fd_sc_hd__buf_1
+XFILLER_506_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61766_ _61921_/A _61758_/Y _61766_/C VGND VGND VPWR VPWR _61785_/A sky130_fd_sc_hd__nor3_2
+XFILLER_428_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49488_ _49488_/A VGND VGND VPWR VPWR _49795_/A sky130_fd_sc_hd__buf_1
+XFILLER_599_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_601_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_224_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_252_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_559_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39241_ _39239_/X _39241_/B VGND VGND VPWR VPWR _39241_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_90_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63505_ _63039_/A _63502_/Y _63505_/C VGND VGND VPWR VPWR _63509_/B sky130_fd_sc_hd__nor3_2
+XFILLER_539_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60717_ _60717_/A _60406_/B VGND VGND VPWR VPWR _60719_/B sky130_fd_sc_hd__nor2_2
+XFILLER_36_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48439_ _63446_/A _48425_/B VGND VGND VPWR VPWR _48441_/A sky130_fd_sc_hd__nand2_2
+X_67273_ _66606_/A _67273_/B _67272_/Y VGND VGND VPWR VPWR _67273_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_205_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_602_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79259_ _79298_/CLK _79259_/D VGND VGND VPWR VPWR _44014_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_63_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_361_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64485_ _64298_/A VGND VGND VPWR VPWR _66401_/A sky130_fd_sc_hd__buf_1
+XFILLER_659_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_506_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61697_ _59319_/A VGND VGND VPWR VPWR _61700_/A sky130_fd_sc_hd__buf_1
+XFILLER_587_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69012_ _80747_/Q _68858_/B VGND VGND VPWR VPWR _69012_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_574_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66224_ _80858_/Q _66710_/B VGND VGND VPWR VPWR _66225_/C sky130_fd_sc_hd__nor2_2
+XFILLER_146_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51450_ _51466_/B VGND VGND VPWR VPWR _51450_/X sky130_fd_sc_hd__buf_1
+XFILLER_528_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39172_ _39159_/A _39159_/B _67343_/A VGND VGND VPWR VPWR _39173_/B sky130_fd_sc_hd__nand3_2
+XFILLER_261_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63436_ _63436_/A _63581_/B VGND VGND VPWR VPWR _63436_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_440_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60648_ _60648_/A _60648_/B VGND VGND VPWR VPWR _60649_/C sky130_fd_sc_hd__nor2_2
+XFILLER_205_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_691_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_555_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_504_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_359_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_36_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50401_ _50401_/A VGND VGND VPWR VPWR _50429_/B sky130_fd_sc_hd__buf_1
+XFILLER_479_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_81221_ _81190_/CLK _73304_/Y VGND VGND VPWR VPWR _68085_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_500_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66155_ _66155_/A _66153_/Y _66155_/C VGND VGND VPWR VPWR _66155_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_149_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51381_ _61187_/A _51369_/X VGND VGND VPWR VPWR _51383_/A sky130_fd_sc_hd__nand2_2
+XFILLER_634_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63367_ _63199_/X _63367_/B _63366_/Y VGND VGND VPWR VPWR _63368_/C sky130_fd_sc_hd__nor3_2
+XFILLER_654_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60579_ _60579_/A _60421_/X VGND VGND VPWR VPWR _60580_/C sky130_fd_sc_hd__nor2_2
+XFILLER_225_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_279_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_299_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53120_ _53117_/Y _53120_/B VGND VGND VPWR VPWR _77362_/D sky130_fd_sc_hd__nand2_2
+X_65106_ _72692_/C _64771_/B VGND VGND VPWR VPWR _65106_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_637_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50332_ _50332_/A _50331_/X VGND VGND VPWR VPWR _78103_/D sky130_fd_sc_hd__nand2_2
+X_62318_ _62318_/A _62170_/X VGND VGND VPWR VPWR _62318_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_165_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81152_ _81060_/CLK _81152_/D VGND VGND VPWR VPWR _67242_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_164_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66086_ _66908_/A VGND VGND VPWR VPWR _66568_/B sky130_fd_sc_hd__buf_1
+XFILLER_359_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63298_ _62986_/A _63292_/Y _63298_/C VGND VGND VPWR VPWR _63298_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_101_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_594_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80103_ _80099_/CLK _80103_/D VGND VGND VPWR VPWR _58285_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_372_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65037_ _65037_/A _65037_/B _65036_/Y VGND VGND VPWR VPWR _65038_/C sky130_fd_sc_hd__nor3_2
+X_53051_ _53051_/A _53051_/B VGND VGND VPWR VPWR _53051_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_66_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69914_ _69654_/A _55948_/A _69911_/B VGND VGND VPWR VPWR _69914_/X sky130_fd_sc_hd__o21a_4
+XFILLER_669_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_527_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50263_ _62239_/A _50241_/B VGND VGND VPWR VPWR _50267_/A sky130_fd_sc_hd__nand2_2
+X_62249_ _60687_/A VGND VGND VPWR VPWR _62250_/B sky130_fd_sc_hd__buf_1
+XFILLER_337_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81083_ _81083_/CLK _73826_/Y VGND VGND VPWR VPWR _81083_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_453_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_542_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_470_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_450_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_431_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_380_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_591_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_533_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52002_ _52005_/A VGND VGND VPWR VPWR _52012_/B sky130_fd_sc_hd__buf_1
+XFILLER_375_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_59_0_u_core.clock clkbuf_9_59_0_u_core.clock/A VGND VGND VPWR VPWR _78849_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_552_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80034_ _79940_/CLK _39798_/Y VGND VGND VPWR VPWR _80034_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_689_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69845_ _69845_/A VGND VGND VPWR VPWR _55291_/A sky130_fd_sc_hd__buf_1
+XFILLER_138_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50194_ _50191_/Y _50194_/B VGND VGND VPWR VPWR _78138_/D sky130_fd_sc_hd__nand2_2
+XFILLER_523_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_685_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_118_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_668_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56810_ _56347_/A VGND VGND VPWR VPWR _56810_/X sky130_fd_sc_hd__buf_1
+XFILLER_59_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_726_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38956_ _38586_/A _38965_/B VGND VGND VPWR VPWR _38961_/A sky130_fd_sc_hd__or2_2
+XFILLER_255_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57790_ _57790_/A VGND VGND VPWR VPWR _57790_/X sky130_fd_sc_hd__buf_1
+X_69776_ _55901_/C _69755_/X _69573_/X VGND VGND VPWR VPWR _69801_/A sky130_fd_sc_hd__and3_2
+XFILLER_416_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66988_ _39816_/C _66652_/B VGND VGND VPWR VPWR _66989_/C sky130_fd_sc_hd__nor2_2
+XFILLER_331_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_609_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68727_ _68392_/A _68725_/Y _68727_/C VGND VGND VPWR VPWR _68727_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_409_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56741_ _65337_/A _56740_/X VGND VGND VPWR VPWR _56744_/B sky130_fd_sc_hd__nor2_2
+XFILLER_259_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53953_ _53950_/Y _53953_/B VGND VGND VPWR VPWR _77148_/D sky130_fd_sc_hd__nand2_2
+XFILLER_47_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65939_ _65939_/A _65449_/B VGND VGND VPWR VPWR _65939_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_151_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38887_ _38900_/A _38900_/B _80265_/Q VGND VGND VPWR VPWR _38887_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_449_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52904_ _54815_/A _52968_/B VGND VGND VPWR VPWR _52938_/B sky130_fd_sc_hd__or2_2
+XFILLER_8_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_624_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59460_ _59460_/A _59460_/B _59459_/Y VGND VGND VPWR VPWR _59460_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_47_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_21_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56672_ _65171_/A _56508_/X VGND VGND VPWR VPWR _56672_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_112_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80936_ _80911_/CLK _80936_/D VGND VGND VPWR VPWR _68571_/A sky130_fd_sc_hd__dfxtp_4
+X_68658_ _68321_/X _68656_/Y _68658_/C VGND VGND VPWR VPWR _68659_/C sky130_fd_sc_hd__nor3_2
+XFILLER_707_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_429_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_75_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53884_ _48103_/X _53912_/B VGND VGND VPWR VPWR _53885_/A sky130_fd_sc_hd__or2_2
+XFILLER_436_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_563_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_99_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_644_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58411_ _56810_/X VGND VGND VPWR VPWR _58705_/B sky130_fd_sc_hd__buf_1
+XFILLER_80_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_463_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55623_ _55525_/X _55623_/B VGND VGND VPWR VPWR _55623_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_210_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67609_ _64267_/X VGND VGND VPWR VPWR _68274_/A sky130_fd_sc_hd__buf_1
+XFILLER_46_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_626_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52835_ _60899_/A _52832_/B VGND VGND VPWR VPWR _52837_/A sky130_fd_sc_hd__nand2_2
+XPHY_29329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59391_ _59551_/A _59387_/Y _59391_/C VGND VGND VPWR VPWR _59391_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_481_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80867_ _80867_/CLK _74727_/Y VGND VGND VPWR VPWR _80867_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_249_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_249_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68589_ _68589_/A _68419_/B VGND VGND VPWR VPWR _68589_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_680_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39508_ _39508_/A VGND VGND VPWR VPWR _39524_/B sky130_fd_sc_hd__buf_1
+X_58342_ _56201_/A VGND VGND VPWR VPWR _58342_/X sky130_fd_sc_hd__buf_1
+X_70620_ _70613_/X _70619_/X VGND VGND VPWR VPWR _70620_/X sky130_fd_sc_hd__and2_2
+XFILLER_269_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55554_ _55396_/A _55553_/X VGND VGND VPWR VPWR _55554_/X sky130_fd_sc_hd__or2_2
+XFILLER_164_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40780_ _40394_/A _40777_/B VGND VGND VPWR VPWR _40780_/X sky130_fd_sc_hd__or2_2
+X_52766_ _52766_/A _52756_/X VGND VGND VPWR VPWR _52770_/A sky130_fd_sc_hd__nand2_2
+XFILLER_112_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_446_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80798_ _80844_/CLK _75008_/Y VGND VGND VPWR VPWR _66890_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_544_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_696_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_309_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_82_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54505_ _77000_/Q _54505_/B VGND VGND VPWR VPWR _54505_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_303_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51717_ _51835_/A _51733_/B VGND VGND VPWR VPWR _51717_/X sky130_fd_sc_hd__or2_2
+X_39439_ _39437_/X _39438_/Y VGND VGND VPWR VPWR _80126_/D sky130_fd_sc_hd__nand2_2
+XFILLER_432_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58273_ _68480_/A _58273_/B VGND VGND VPWR VPWR _58274_/C sky130_fd_sc_hd__nor2_2
+XPHY_18149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70551_ _70933_/A _70550_/Y VGND VGND VPWR VPWR _70551_/Y sky130_fd_sc_hd__nor2_2
+XPHY_27938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_3068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55485_ _71573_/A _55451_/B VGND VGND VPWR VPWR _55490_/A sky130_fd_sc_hd__or2_2
+XFILLER_432_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_389_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52697_ _52695_/Y _52696_/X VGND VGND VPWR VPWR _77477_/D sky130_fd_sc_hd__nand2_2
+XPHY_3046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_187_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57224_ _57210_/Y _57223_/Y VGND VGND VPWR VPWR _57224_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_657_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_519_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42450_ _79141_/Q VGND VGND VPWR VPWR _69420_/A sky130_fd_sc_hd__inv_8
+X_73270_ _74946_/A _73381_/B VGND VGND VPWR VPWR _73271_/B sky130_fd_sc_hd__or2_2
+X_54436_ _54464_/A _54454_/B VGND VGND VPWR VPWR _54436_/X sky130_fd_sc_hd__or2_2
+XFILLER_303_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51648_ _77756_/Q _51662_/B VGND VGND VPWR VPWR _51648_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70482_ _70482_/A _70091_/X VGND VGND VPWR VPWR _70485_/B sky130_fd_sc_hd__nor2_2
+XFILLER_600_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_180_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41401_ _41401_/A _41401_/B _79629_/Q VGND VGND VPWR VPWR _41401_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_260_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72221_ _74049_/A VGND VGND VPWR VPWR _72885_/A sky130_fd_sc_hd__buf_1
+XPHY_2367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1190 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57155_ _56913_/X _57155_/B _57154_/Y VGND VGND VPWR VPWR _57156_/C sky130_fd_sc_hd__nor3_2
+XFILLER_204_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81419_ _81421_/CLK _81419_/D VGND VGND VPWR VPWR _72483_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_54_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42381_ _42381_/A _42381_/B VGND VGND VPWR VPWR _79488_/D sky130_fd_sc_hd__nor2_2
+XPHY_2378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54367_ _54367_/A _54366_/X VGND VGND VPWR VPWR _77037_/D sky130_fd_sc_hd__nand2_2
+XFILLER_715_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51579_ _51728_/A VGND VGND VPWR VPWR _51580_/A sky130_fd_sc_hd__buf_1
+XPHY_1644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_718_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_453_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44120_ _72866_/A _44095_/X VGND VGND VPWR VPWR _44121_/C sky130_fd_sc_hd__nor2_2
+XPHY_1666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_573_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56106_ _45385_/Y _56086_/B VGND VGND VPWR VPWR _56107_/B sky130_fd_sc_hd__nor2_2
+XFILLER_123_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41332_ _41295_/X VGND VGND VPWR VPWR _41332_/X sky130_fd_sc_hd__buf_1
+XPHY_33414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53318_ _53444_/A _53341_/B VGND VGND VPWR VPWR _53319_/B sky130_fd_sc_hd__or2_2
+XPHY_34159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72152_ _74819_/A VGND VGND VPWR VPWR _39887_/A sky130_fd_sc_hd__buf_1
+XPHY_1677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_178_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57086_ _57086_/A _57167_/B VGND VGND VPWR VPWR _57086_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_295_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54298_ _54298_/A _54297_/X VGND VGND VPWR VPWR _54298_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_303_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_655_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_13_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_538_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71103_ _39747_/A VGND VGND VPWR VPWR _42892_/A sky130_fd_sc_hd__buf_1
+XFILLER_492_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44051_ _67694_/A _44050_/X VGND VGND VPWR VPWR _44141_/A sky130_fd_sc_hd__or2_4
+XFILLER_654_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_551_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_104_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56037_ _56037_/A _56037_/B VGND VGND VPWR VPWR _56037_/X sky130_fd_sc_hd__and2_2
+XFILLER_48_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_437_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41263_ _41263_/A VGND VGND VPWR VPWR _41277_/A sky130_fd_sc_hd__buf_1
+XPHY_32724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53249_ _53249_/A _53221_/B VGND VGND VPWR VPWR _53259_/A sky130_fd_sc_hd__or2_2
+XFILLER_221_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76960_ _76921_/CLK _54656_/Y VGND VGND VPWR VPWR _76960_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72083_ _72083_/A _72080_/Y _72083_/C _72083_/D VGND VGND VPWR VPWR _72083_/X sky130_fd_sc_hd__or4_2
+XFILLER_475_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43002_ _43002_/A _42279_/B VGND VGND VPWR VPWR _43002_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_193_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_117_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40214_ _40212_/X _40213_/Y VGND VGND VPWR VPWR _79928_/D sky130_fd_sc_hd__nand2_2
+XFILLER_336_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_512_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75911_ _75908_/A _75908_/B _66073_/A VGND VGND VPWR VPWR _75912_/B sky130_fd_sc_hd__nand3_2
+XFILLER_502_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71034_ _71034_/A VGND VGND VPWR VPWR _76073_/A sky130_fd_sc_hd__inv_8
+XPHY_32768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41194_ _41051_/X _41187_/B VGND VGND VPWR VPWR _41197_/A sky130_fd_sc_hd__or2_2
+XFILLER_687_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76891_ _76890_/CLK _76891_/D VGND VGND VPWR VPWR _60250_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_354_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_136_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_163_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_668_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47810_ _47810_/A _47810_/B VGND VGND VPWR VPWR _78751_/D sky130_fd_sc_hd__nand2_2
+XFILLER_685_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78630_ _78156_/CLK _48282_/Y VGND VGND VPWR VPWR _78630_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_9941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40145_ _40266_/A _40142_/B VGND VGND VPWR VPWR _40145_/X sky130_fd_sc_hd__or2_2
+X_75842_ _75897_/A VGND VGND VPWR VPWR _75842_/X sky130_fd_sc_hd__buf_1
+XFILLER_458_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48790_ _48787_/Y _48789_/X VGND VGND VPWR VPWR _78503_/D sky130_fd_sc_hd__nand2_2
+XPHY_9952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57988_ _57672_/X _57988_/B _57987_/Y VGND VGND VPWR VPWR _57988_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_371_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_627_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_85_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_105_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_3025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47741_ _47741_/A _47740_/X VGND VGND VPWR VPWR _47741_/X sky130_fd_sc_hd__or2_2
+XFILLER_212_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59727_ _59727_/A VGND VGND VPWR VPWR _59893_/A sky130_fd_sc_hd__buf_1
+XFILLER_82_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_117_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78561_ _78561_/CLK _48563_/Y VGND VGND VPWR VPWR _63599_/A sky130_fd_sc_hd__dfxtp_4
+X_40076_ _40076_/A _40069_/B _79965_/Q VGND VGND VPWR VPWR _40076_/Y sky130_fd_sc_hd__nand3_2
+XPHY_9996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44953_ _44953_/A _44913_/X VGND VGND VPWR VPWR _44954_/B sky130_fd_sc_hd__nor2_2
+X_56939_ _56527_/A VGND VGND VPWR VPWR _57261_/B sky130_fd_sc_hd__buf_1
+XPHY_20854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75773_ _75716_/X VGND VGND VPWR VPWR _75785_/A sky130_fd_sc_hd__buf_1
+XPHY_21599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_564_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_332_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72985_ _72985_/A _74156_/B VGND VGND VPWR VPWR _75705_/B sky130_fd_sc_hd__or2_2
+XFILLER_616_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_137_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_77_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_10353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_467_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77512_ _77515_/CLK _52567_/Y VGND VGND VPWR VPWR _60912_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_627_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43904_ _79282_/Q _43934_/B VGND VGND VPWR VPWR _43904_/Y sky130_fd_sc_hd__nor2_2
+XPHY_10364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74724_ _75348_/A _74729_/B VGND VGND VPWR VPWR _74724_/X sky130_fd_sc_hd__or2_2
+XFILLER_293_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_482_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47672_ _47672_/A _47663_/B VGND VGND VPWR VPWR _47676_/A sky130_fd_sc_hd__nand2_2
+X_59658_ _77000_/Q _59495_/B VGND VGND VPWR VPWR _59658_/Y sky130_fd_sc_hd__nor2_2
+XPHY_20898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71936_ _71759_/X _71935_/Y VGND VGND VPWR VPWR _71937_/C sky130_fd_sc_hd__nor2_2
+X_78492_ _78474_/CLK _48836_/Y VGND VGND VPWR VPWR _63939_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_43_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_78_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44884_ _75566_/A _44457_/X VGND VGND VPWR VPWR _44885_/B sky130_fd_sc_hd__or2_2
+XFILLER_486_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_203_0_u_core.clock clkbuf_9_203_0_u_core.clock/A VGND VGND VPWR VPWR _79384_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_671_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_267_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_455_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_130_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49411_ _49411_/A _49410_/X VGND VGND VPWR VPWR _78346_/D sky130_fd_sc_hd__nand2_2
+XFILLER_187_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_482_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_189_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58609_ _58609_/A _58609_/B _58609_/C VGND VGND VPWR VPWR _58610_/C sky130_fd_sc_hd__nor3_2
+X_46623_ _45136_/A _46622_/Y VGND VGND VPWR VPWR _46623_/Y sky130_fd_sc_hd__nor2_2
+X_77443_ _77444_/CLK _77443_/D VGND VGND VPWR VPWR _61367_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_4_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43835_ _72885_/A _43787_/X VGND VGND VPWR VPWR _43835_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_615_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74655_ _74655_/A _74654_/Y VGND VGND VPWR VPWR _74655_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_225_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_507_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59589_ _59589_/A _59757_/B VGND VGND VPWR VPWR _59589_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_20_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71867_ _71867_/A _71675_/B VGND VGND VPWR VPWR _71867_/X sky130_fd_sc_hd__and2_2
+XFILLER_523_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_210_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_382_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61620_ _61620_/A _62092_/B VGND VGND VPWR VPWR _61620_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_428_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49342_ _49354_/A _49341_/X VGND VGND VPWR VPWR _49344_/A sky130_fd_sc_hd__or2_2
+XPHY_29852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73606_ _73969_/A _73609_/B VGND VGND VPWR VPWR _73608_/A sky130_fd_sc_hd__or2_2
+XFILLER_362_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46554_ _42299_/Y _46554_/B VGND VGND VPWR VPWR _46554_/X sky130_fd_sc_hd__or2_2
+XFILLER_630_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70818_ _70813_/Y _70818_/B _70818_/C VGND VGND VPWR VPWR _70819_/A sky130_fd_sc_hd__or3_2
+XFILLER_482_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77374_ _77379_/CLK _53076_/Y VGND VGND VPWR VPWR _60688_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_379_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43766_ _43686_/Y _43795_/B VGND VGND VPWR VPWR _43767_/A sky130_fd_sc_hd__or2_2
+Xclkbuf_9_372_0_u_core.clock clkbuf_9_373_0_u_core.clock/A VGND VGND VPWR VPWR _78202_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_19340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74586_ _74567_/A VGND VGND VPWR VPWR _74590_/B sky130_fd_sc_hd__buf_1
+XFILLER_523_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40978_ _40978_/A VGND VGND VPWR VPWR _40992_/B sky130_fd_sc_hd__buf_1
+XPHY_29874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71798_ _71798_/A VGND VGND VPWR VPWR _75536_/A sky130_fd_sc_hd__inv_8
+XFILLER_605_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_261_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79113_ _79116_/CLK _79113_/D VGND VGND VPWR VPWR _45644_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_422_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45505_ _44970_/A VGND VGND VPWR VPWR _45514_/A sky130_fd_sc_hd__buf_1
+XPHY_29896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76325_ _76318_/A _76321_/X _76325_/C VGND VGND VPWR VPWR _76326_/B sky130_fd_sc_hd__nand3_2
+XPHY_19373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_283_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42717_ _42631_/A _42716_/X VGND VGND VPWR VPWR _42717_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_562_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61551_ _61551_/A _61551_/B _61551_/C VGND VGND VPWR VPWR _61560_/B sky130_fd_sc_hd__nor3_2
+XFILLER_18_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73537_ _73537_/A VGND VGND VPWR VPWR _73538_/A sky130_fd_sc_hd__buf_1
+XFILLER_45_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49273_ _49273_/A _49273_/B VGND VGND VPWR VPWR _49273_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_460_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_611_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46485_ _46490_/A _46471_/Y VGND VGND VPWR VPWR _46485_/X sky130_fd_sc_hd__or2_2
+X_70749_ _70749_/A VGND VGND VPWR VPWR _70750_/A sky130_fd_sc_hd__inv_8
+XFILLER_2_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_150 _39193_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_696_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_395_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_703_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43697_ _43697_/A _68024_/A _43824_/A _43696_/Y VGND VGND VPWR VPWR _43697_/X sky130_fd_sc_hd__or4_2
+XPHY_4270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_161 _73227_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_72_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_319_0_u_core.clock clkbuf_9_319_0_u_core.clock/A VGND VGND VPWR VPWR _80923_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_343_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_692_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_172 _69566_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_575_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60502_ _60502_/A _60010_/B VGND VGND VPWR VPWR _60504_/B sky130_fd_sc_hd__nor2_2
+XFILLER_460_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48224_ _48222_/Y _48223_/X VGND VGND VPWR VPWR _48224_/Y sky130_fd_sc_hd__nand2_2
+XPHY_18672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79044_ _79095_/CLK _79044_/D VGND VGND VPWR VPWR _41640_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_221_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_183 _72659_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_61_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45436_ _45421_/Y _45435_/Y VGND VGND VPWR VPWR _45436_/X sky130_fd_sc_hd__and2_2
+XPHY_36040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64270_ _64270_/A VGND VGND VPWR VPWR _69385_/A sky130_fd_sc_hd__buf_1
+X_76256_ _76256_/A _76256_/B VGND VGND VPWR VPWR _76256_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_476_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42648_ _42626_/A _42648_/B _42647_/Y VGND VGND VPWR VPWR _42648_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_222_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61482_ _77779_/Q _61167_/B VGND VGND VPWR VPWR _61484_/B sky130_fd_sc_hd__nor2_2
+XFILLER_679_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_194 _75947_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_73468_ _73468_/A _73467_/X VGND VGND VPWR VPWR _73468_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_37_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_241_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_638_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_657_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63221_ _63059_/X _63219_/Y _63221_/C VGND VGND VPWR VPWR _63228_/B sky130_fd_sc_hd__nor3_2
+X_75207_ _75243_/A VGND VGND VPWR VPWR _75218_/B sky130_fd_sc_hd__buf_1
+XFILLER_395_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48155_ _47997_/A VGND VGND VPWR VPWR _48275_/A sky130_fd_sc_hd__buf_1
+X_60433_ _77445_/Q _59943_/B VGND VGND VPWR VPWR _60434_/C sky130_fd_sc_hd__nor2_2
+XFILLER_128_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72419_ _72429_/A _72422_/B _66601_/A VGND VGND VPWR VPWR _72419_/Y sky130_fd_sc_hd__nand3_2
+XPHY_17982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45367_ _45367_/A _45341_/B VGND VGND VPWR VPWR _45367_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_226_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76187_ _76187_/A VGND VGND VPWR VPWR _38317_/A sky130_fd_sc_hd__buf_1
+XFILLER_575_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42579_ _42579_/A _42578_/X VGND VGND VPWR VPWR _42581_/B sky130_fd_sc_hd__nor2_2
+XFILLER_14_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73399_ _73385_/A VGND VGND VPWR VPWR _73400_/A sky130_fd_sc_hd__buf_1
+XPHY_35361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_488_0_u_core.clock clkbuf_9_489_0_u_core.clock/A VGND VGND VPWR VPWR _80226_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_140_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_725_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_525_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47106_ _46432_/B _47106_/B VGND VGND VPWR VPWR _47107_/B sky130_fd_sc_hd__or2_2
+XFILLER_201_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_359_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44318_ _44378_/C _44398_/B VGND VGND VPWR VPWR _44318_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_278_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63152_ _78734_/Q _63001_/B VGND VGND VPWR VPWR _63154_/B sky130_fd_sc_hd__nor2_2
+XFILLER_159_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75138_ _75131_/A _75124_/B _80763_/Q VGND VGND VPWR VPWR _75138_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_419_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_146_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_595_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60364_ _60364_/A _60364_/B _60363_/Y VGND VGND VPWR VPWR _60364_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_50_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48086_ _78681_/Q _48089_/B VGND VGND VPWR VPWR _48086_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_336_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45298_ _45325_/A _45292_/Y _45297_/Y VGND VGND VPWR VPWR _45299_/B sky130_fd_sc_hd__or3_2
+XPHY_34660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_556_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_517_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_376_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_354_3141 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_553_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62103_ _59740_/A VGND VGND VPWR VPWR _62259_/B sky130_fd_sc_hd__buf_1
+XFILLER_128_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_347_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_372_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47037_ _46018_/A VGND VGND VPWR VPWR _47048_/A sky130_fd_sc_hd__buf_1
+XFILLER_174_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_31_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_347_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44249_ _79247_/Q _44264_/B VGND VGND VPWR VPWR _44255_/B sky130_fd_sc_hd__nor2_2
+XFILLER_278_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63083_ _62774_/X _63083_/B _63082_/Y VGND VGND VPWR VPWR _63083_/Y sky130_fd_sc_hd__nor3_2
+X_67960_ _67940_/X _67950_/Y _67959_/Y VGND VGND VPWR VPWR _67960_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_60_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75069_ _75069_/A _75069_/B VGND VGND VPWR VPWR _75069_/Y sky130_fd_sc_hd__nand2_2
+X_79946_ _79944_/CLK _79946_/D VGND VGND VPWR VPWR _79946_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_651_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60295_ _76916_/Q _59811_/B VGND VGND VPWR VPWR _60296_/C sky130_fd_sc_hd__nor2_2
+XFILLER_274_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_439_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_256_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66911_ _66735_/A _66904_/Y _66910_/Y VGND VGND VPWR VPWR _66912_/C sky130_fd_sc_hd__nor3_2
+XFILLER_376_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62034_ _59355_/A VGND VGND VPWR VPWR _62034_/X sky130_fd_sc_hd__buf_1
+XPHY_33992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_304_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67891_ _80452_/Q _67733_/B VGND VGND VPWR VPWR _67891_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_520_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79877_ _80039_/CLK _40416_/Y VGND VGND VPWR VPWR _68152_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_283_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_337_1469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_515_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_315_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_290_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38810_ _38810_/A _38809_/Y VGND VGND VPWR VPWR _38810_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_694_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69630_ _69627_/A _69630_/B _69625_/C _69622_/D VGND VGND VPWR VPWR _69631_/B sky130_fd_sc_hd__nor4_2
+XFILLER_389_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66842_ _80125_/Q _67009_/B VGND VGND VPWR VPWR _66842_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_303_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78828_ _78794_/CLK _78828_/D VGND VGND VPWR VPWR _78828_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_319_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39790_ _39155_/A VGND VGND VPWR VPWR _39790_/X sky130_fd_sc_hd__buf_1
+XFILLER_83_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_192_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48988_ _48988_/A VGND VGND VPWR VPWR _48989_/B sky130_fd_sc_hd__buf_1
+XFILLER_211_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_610_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_638_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38741_ _38763_/A VGND VGND VPWR VPWR _38750_/B sky130_fd_sc_hd__buf_1
+XFILLER_6_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69561_ _42063_/C _69561_/B VGND VGND VPWR VPWR _69561_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_44_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47939_ _47851_/A _47930_/B VGND VGND VPWR VPWR _47939_/X sky130_fd_sc_hd__or2_2
+XFILLER_26_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66773_ _66606_/A _66773_/B _66773_/C VGND VGND VPWR VPWR _66773_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_465_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78759_ _78756_/CLK _78759_/D VGND VGND VPWR VPWR _47778_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_269_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_684_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63985_ _63985_/A _59325_/B VGND VGND VPWR VPWR _63987_/B sky130_fd_sc_hd__nor2_2
+XFILLER_42_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_9_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_211_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_563_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68512_ _64102_/A VGND VGND VPWR VPWR _68512_/X sky130_fd_sc_hd__buf_1
+XFILLER_331_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65724_ _66547_/A VGND VGND VPWR VPWR _66395_/A sky130_fd_sc_hd__buf_1
+XFILLER_446_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_649_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50950_ _50922_/A _50956_/B VGND VGND VPWR VPWR _50950_/X sky130_fd_sc_hd__or2_2
+X_38672_ _38672_/A VGND VGND VPWR VPWR _38688_/B sky130_fd_sc_hd__buf_1
+XFILLER_545_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62936_ _63554_/A _62934_/Y _62936_/C VGND VGND VPWR VPWR _62937_/C sky130_fd_sc_hd__nor3_2
+XFILLER_2_2809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69492_ _69492_/A _64444_/X VGND VGND VPWR VPWR _69492_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_168_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_465_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_268_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_417_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_634_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_661_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_opt_9_u_core.clock _79559_/CLK VGND VGND VPWR VPWR _79013_/CLK sky130_fd_sc_hd__clkbuf_16
+XFILLER_283_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80721_ _80742_/CLK _75293_/Y VGND VGND VPWR VPWR _80721_/Q sky130_fd_sc_hd__dfxtp_4
+X_49609_ _49609_/A _49608_/X VGND VGND VPWR VPWR _49609_/Y sky130_fd_sc_hd__nand2_2
+X_68443_ _68433_/X _68443_/B _68442_/Y VGND VGND VPWR VPWR _68444_/C sky130_fd_sc_hd__nor3_2
+XFILLER_28_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65655_ _80950_/Q _65314_/B VGND VGND VPWR VPWR _65657_/B sky130_fd_sc_hd__nor2_2
+XFILLER_604_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_580_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50881_ _50906_/B VGND VGND VPWR VPWR _50881_/X sky130_fd_sc_hd__buf_1
+XFILLER_289_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62867_ _62242_/A _62865_/Y _62867_/C VGND VGND VPWR VPWR _62868_/C sky130_fd_sc_hd__nor3_2
+XFILLER_662_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52620_ _52738_/A _52632_/B VGND VGND VPWR VPWR _52621_/B sky130_fd_sc_hd__or2_2
+XFILLER_326_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64606_ _64599_/X _64606_/B _64605_/Y VGND VGND VPWR VPWR _64607_/C sky130_fd_sc_hd__nor3_2
+XFILLER_20_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61818_ _61818_/A _61662_/B VGND VGND VPWR VPWR _61820_/B sky130_fd_sc_hd__nor2_2
+X_68374_ _68374_/A _68370_/Y _68374_/C VGND VGND VPWR VPWR _68383_/B sky130_fd_sc_hd__nor3_2
+X_80652_ _80685_/CLK _75597_/Y VGND VGND VPWR VPWR _80652_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_563_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65586_ _38318_/C _65909_/B VGND VGND VPWR VPWR _65586_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_59_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62798_ _77924_/Q _62172_/X VGND VGND VPWR VPWR _62799_/C sky130_fd_sc_hd__nor2_2
+XFILLER_246_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_408_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_146_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_37_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_695_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67325_ _67325_/A _67485_/B VGND VGND VPWR VPWR _67325_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_164_1506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64537_ _64488_/A VGND VGND VPWR VPWR _68196_/A sky130_fd_sc_hd__buf_1
+X_52551_ _61531_/A _52555_/B VGND VGND VPWR VPWR _52551_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_326_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61749_ _61749_/A VGND VGND VPWR VPWR _61752_/A sky130_fd_sc_hd__buf_1
+XFILLER_142_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_224_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80583_ _80535_/CLK _75860_/Y VGND VGND VPWR VPWR _68369_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_621_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_601_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_386_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39224_ _39221_/X _39224_/B VGND VGND VPWR VPWR _39224_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_398_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51502_ _61485_/A _51497_/X VGND VGND VPWR VPWR _51504_/A sky130_fd_sc_hd__nand2_2
+XFILLER_656_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55270_ _55307_/A _55268_/Y _55270_/C VGND VGND VPWR VPWR _55270_/Y sky130_fd_sc_hd__nor3_2
+X_67256_ _67256_/A _67254_/Y _67255_/Y VGND VGND VPWR VPWR _67256_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_90_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_209_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52482_ _52181_/A VGND VGND VPWR VPWR _52569_/A sky130_fd_sc_hd__buf_1
+X_64468_ _65045_/A _64468_/B _64467_/Y VGND VGND VPWR VPWR _64468_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_178_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_75_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_200_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_209_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54221_ _54221_/A _54239_/B VGND VGND VPWR VPWR _54225_/A sky130_fd_sc_hd__nand2_2
+XFILLER_127_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_593_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66207_ _44797_/A _66039_/X VGND VGND VPWR VPWR _66374_/A sky130_fd_sc_hd__nor2_2
+XFILLER_500_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39155_ _39155_/A VGND VGND VPWR VPWR _39156_/A sky130_fd_sc_hd__buf_1
+XFILLER_396_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51433_ _51519_/A _51412_/X VGND VGND VPWR VPWR _51434_/B sky130_fd_sc_hd__or2_2
+X_63419_ _63419_/A _62795_/B VGND VGND VPWR VPWR _63419_/Y sky130_fd_sc_hd__nor2_2
+XPHY_15309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67187_ _57661_/A _67018_/B VGND VGND VPWR VPWR _67189_/B sky130_fd_sc_hd__nor2_2
+XFILLER_304_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_656_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_33_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64399_ _64141_/A VGND VGND VPWR VPWR _66361_/A sky130_fd_sc_hd__buf_1
+XFILLER_459_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_140_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_120_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81204_ _81421_/CLK _73367_/Y VGND VGND VPWR VPWR _81204_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_354_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54152_ _59517_/A _54152_/B VGND VGND VPWR VPWR _54152_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_292_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66138_ _79737_/Q _65967_/B VGND VGND VPWR VPWR _66139_/C sky130_fd_sc_hd__nor2_2
+XFILLER_672_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39086_ _39086_/A _39086_/B VGND VGND VPWR VPWR _39086_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_516_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51364_ _51394_/A _51338_/X VGND VGND VPWR VPWR _51364_/X sky130_fd_sc_hd__or2_2
+XFILLER_515_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_338_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_353_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53103_ _53075_/A _53103_/B VGND VGND VPWR VPWR _53103_/X sky130_fd_sc_hd__or2_2
+XFILLER_119_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50315_ _50245_/A _50336_/B VGND VGND VPWR VPWR _50316_/B sky130_fd_sc_hd__or2_2
+XFILLER_257_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_474_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_81135_ _80809_/CLK _73624_/Y VGND VGND VPWR VPWR _64198_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_454_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_437_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54083_ _54083_/A _54083_/B VGND VGND VPWR VPWR _54083_/X sky130_fd_sc_hd__or2_2
+X_58960_ _59645_/A VGND VGND VPWR VPWR _59485_/B sky130_fd_sc_hd__buf_1
+XFILLER_688_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66069_ _66395_/A _66067_/Y _66068_/Y VGND VGND VPWR VPWR _66069_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_515_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51295_ _51295_/A _51283_/X VGND VGND VPWR VPWR _51297_/A sky130_fd_sc_hd__nand2_2
+XFILLER_633_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_472_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_454_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_6_37_0_u_core.clock clkbuf_5_18_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_75_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_390_1405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_435_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_341_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57911_ _75756_/C _57911_/B VGND VGND VPWR VPWR _57914_/B sky130_fd_sc_hd__nor2_2
+XFILLER_453_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53034_ _53050_/B VGND VGND VPWR VPWR _53047_/B sky130_fd_sc_hd__buf_1
+XFILLER_175_1613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_31319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_489_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50246_ _50246_/A _50245_/X VGND VGND VPWR VPWR _50246_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_496_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81066_ _81162_/CLK _81066_/D VGND VGND VPWR VPWR _68895_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_513_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_216_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58891_ _62365_/A VGND VGND VPWR VPWR _63869_/B sky130_fd_sc_hd__buf_1
+XFILLER_290_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_274_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_587_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_431_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_69_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80017_ _79902_/CLK _39877_/Y VGND VGND VPWR VPWR _80017_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_630_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57842_ _58088_/A _57842_/B VGND VGND VPWR VPWR _57863_/B sky130_fd_sc_hd__nor2_2
+XFILLER_195_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69828_ _69773_/C _69749_/Y _55890_/A VGND VGND VPWR VPWR _69829_/B sky130_fd_sc_hd__nor3_2
+XPHY_20106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50177_ _50120_/A _50168_/B VGND VGND VPWR VPWR _50178_/B sky130_fd_sc_hd__or2_2
+XFILLER_251_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_685_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39988_ _40348_/A _39977_/B VGND VGND VPWR VPWR _39988_/X sky130_fd_sc_hd__or2_2
+XFILLER_350_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_117_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38939_ _38921_/A VGND VGND VPWR VPWR _38947_/A sky130_fd_sc_hd__buf_1
+XFILLER_251_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57773_ _67290_/A _57613_/X VGND VGND VPWR VPWR _57773_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_248_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69759_ _76680_/Q _69758_/X VGND VGND VPWR VPWR _69759_/X sky130_fd_sc_hd__and2_2
+XFILLER_548_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54985_ _59407_/A _54985_/B VGND VGND VPWR VPWR _54987_/A sky130_fd_sc_hd__nand2_2
+XFILLER_487_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_303_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_82_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_59_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_581_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59512_ _59512_/A _59512_/B _59511_/Y VGND VGND VPWR VPWR _59532_/A sky130_fd_sc_hd__nor3_2
+XFILLER_388_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56724_ _56648_/A _56721_/Y _56723_/Y VGND VGND VPWR VPWR _56724_/Y sky130_fd_sc_hd__nor3_2
+XPHY_7846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_41950_ _41949_/Y VGND VGND VPWR VPWR _41950_/Y sky130_fd_sc_hd__inv_8
+X_53936_ _77151_/Q _53940_/B VGND VGND VPWR VPWR _53939_/A sky130_fd_sc_hd__nand2_2
+X_72770_ _72770_/A _72784_/B _72770_/C VGND VGND VPWR VPWR _72771_/B sky130_fd_sc_hd__nand3_2
+XFILLER_366_3056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_704_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40901_ _40901_/A _40889_/B _79754_/Q VGND VGND VPWR VPWR _40901_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_437_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_1_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59443_ _59443_/A _59443_/B VGND VGND VPWR VPWR _59443_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_274_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71721_ _71721_/A VGND VGND VPWR VPWR _71722_/B sky130_fd_sc_hd__buf_1
+XFILLER_663_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56655_ _56407_/A _56655_/B _56654_/Y VGND VGND VPWR VPWR _56656_/C sky130_fd_sc_hd__nor3_2
+XFILLER_207_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80919_ _80884_/CLK _74507_/Y VGND VGND VPWR VPWR _65759_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_182_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41881_ _41868_/X _41880_/X _45335_/A _41874_/X VGND VGND VPWR VPWR _79549_/D sky130_fd_sc_hd__o22a_4
+XFILLER_210_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53867_ _53867_/A _53867_/B VGND VGND VPWR VPWR _77170_/D sky130_fd_sc_hd__nand2_2
+XFILLER_327_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_726_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_366_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_262_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_70_0_u_core.clock clkbuf_7_71_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_70_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_464_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43620_ _43616_/A _43618_/Y _43620_/C VGND VGND VPWR VPWR _79315_/D sky130_fd_sc_hd__nor3_2
+XFILLER_652_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55606_ _55606_/A _55397_/B VGND VGND VPWR VPWR _55606_/X sky130_fd_sc_hd__or2_2
+XFILLER_210_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_644_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74440_ _74440_/A _74428_/B VGND VGND VPWR VPWR _74441_/B sky130_fd_sc_hd__or2_2
+XFILLER_407_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_284_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40832_ _40593_/A _40829_/B VGND VGND VPWR VPWR _40832_/X sky130_fd_sc_hd__or2_2
+XPHY_28414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52818_ _52818_/A _52817_/X VGND VGND VPWR VPWR _52818_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_612_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59374_ _77206_/Q _59374_/B VGND VGND VPWR VPWR _59374_/Y sky130_fd_sc_hd__nor2_2
+XPHY_29159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71652_ _70542_/Y _71652_/B VGND VGND VPWR VPWR _71652_/X sky130_fd_sc_hd__or2_2
+XFILLER_622_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56586_ _56586_/A _56508_/X VGND VGND VPWR VPWR _56586_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_76_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_249_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_464_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53798_ _53798_/A _53797_/X VGND VGND VPWR VPWR _77189_/D sky130_fd_sc_hd__nand2_2
+XFILLER_451_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_16_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_243_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_227_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58325_ _56259_/X VGND VGND VPWR VPWR _58634_/B sky130_fd_sc_hd__buf_1
+X_70603_ _70606_/A _70020_/B VGND VGND VPWR VPWR _70604_/B sky130_fd_sc_hd__nand2_2
+XFILLER_622_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43551_ _42788_/A _43551_/B _43550_/Y VGND VGND VPWR VPWR _79334_/D sky130_fd_sc_hd__nor3_2
+XFILLER_649_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_598_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55537_ _55422_/A VGND VGND VPWR VPWR _55710_/A sky130_fd_sc_hd__buf_1
+X_74371_ _74371_/A _74371_/B _80950_/Q VGND VGND VPWR VPWR _74371_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_540_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40763_ _40876_/B VGND VGND VPWR VPWR _40764_/B sky130_fd_sc_hd__buf_1
+XFILLER_245_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52749_ _60579_/A _52752_/B VGND VGND VPWR VPWR _52749_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_128_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71583_ _70932_/Y _71583_/B VGND VGND VPWR VPWR _71583_/X sky130_fd_sc_hd__or2_2
+XFILLER_164_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_7_17_0_u_core.clock clkbuf_6_8_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_35_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_499_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_349_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76110_ _72203_/A VGND VGND VPWR VPWR _76111_/A sky130_fd_sc_hd__buf_1
+XPHY_17223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42502_ _42502_/A _42502_/B _42615_/C _42501_/X VGND VGND VPWR VPWR _42503_/C sky130_fd_sc_hd__nor4_2
+XFILLER_621_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73322_ _73322_/A _73321_/X VGND VGND VPWR VPWR _81216_/D sky130_fd_sc_hd__nand2_2
+XFILLER_54_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_696_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46270_ _45222_/A _46265_/B VGND VGND VPWR VPWR _46274_/B sky130_fd_sc_hd__nor2_2
+XPHY_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58256_ _58256_/A _58016_/X VGND VGND VPWR VPWR _58258_/B sky130_fd_sc_hd__nor2_2
+XFILLER_42_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70534_ _70534_/A _70534_/B VGND VGND VPWR VPWR _71686_/A sky130_fd_sc_hd__nand2_2
+X_77090_ _78599_/CLK _77090_/D VGND VGND VPWR VPWR _54170_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_376_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43482_ _42404_/A _43482_/B VGND VGND VPWR VPWR _43514_/A sky130_fd_sc_hd__or2_2
+XFILLER_227_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55468_ _55457_/A _55468_/B VGND VGND VPWR VPWR _55468_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_559_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40694_ _40692_/X _40693_/Y VGND VGND VPWR VPWR _40694_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_566_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_231_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_298_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45221_ _69662_/A _45278_/B VGND VGND VPWR VPWR _45248_/B sky130_fd_sc_hd__nor2_2
+XFILLER_208_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57207_ _79347_/Q _57126_/X VGND VGND VPWR VPWR _57209_/B sky130_fd_sc_hd__nor2_2
+XFILLER_639_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76041_ _76038_/A _76027_/B _65575_/A VGND VGND VPWR VPWR _76041_/Y sky130_fd_sc_hd__nand3_2
+XPHY_2153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_321_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42433_ _76681_/Q _42433_/B _76680_/Q _55884_/C VGND VGND VPWR VPWR _42439_/C sky130_fd_sc_hd__nor4_2
+XFILLER_187_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54419_ _54419_/A _54419_/B VGND VGND VPWR VPWR _54419_/Y sky130_fd_sc_hd__nand2_2
+XPHY_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_392_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73253_ _73253_/A _73253_/B _81232_/Q VGND VGND VPWR VPWR _73253_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_243_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_399_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_639_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70465_ _69893_/A _70464_/X VGND VGND VPWR VPWR _70465_/X sky130_fd_sc_hd__or2_2
+XFILLER_494_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58187_ _58352_/A _58187_/B _58187_/C VGND VGND VPWR VPWR _58187_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_30_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_205_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55399_ _55399_/A _55398_/Y VGND VGND VPWR VPWR _55399_/X sky130_fd_sc_hd__or2_2
+XFILLER_230_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_455_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_201_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_665_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_500_0_u_core.clock clkbuf_9_501_0_u_core.clock/A VGND VGND VPWR VPWR _80577_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_670_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_243_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_592_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72204_ _74709_/A VGND VGND VPWR VPWR _72206_/A sky130_fd_sc_hd__buf_1
+XPHY_15832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_592_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45152_ _45095_/A _45146_/Y _45152_/C VGND VGND VPWR VPWR _45153_/B sky130_fd_sc_hd__or3_2
+XPHY_33200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57138_ _56819_/A _57138_/B _57137_/Y VGND VGND VPWR VPWR _57139_/C sky130_fd_sc_hd__nor3_2
+XPHY_15843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42364_ _46558_/A _42364_/B _42363_/Y VGND VGND VPWR VPWR _42370_/A sky130_fd_sc_hd__nor3_2
+XFILLER_436_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_616_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73184_ _73230_/A VGND VGND VPWR VPWR _73203_/B sky130_fd_sc_hd__buf_1
+XFILLER_708_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70396_ _70234_/X _70393_/X _70174_/X _70395_/Y VGND VGND VPWR VPWR _70396_/X sky130_fd_sc_hd__o22a_4
+XFILLER_455_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_613_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_338_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_711_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_690_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_338_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44103_ _44008_/Y _44174_/B _44103_/C VGND VGND VPWR VPWR _44103_/X sky130_fd_sc_hd__and3_2
+XFILLER_32_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79800_ _79775_/CLK _79800_/D VGND VGND VPWR VPWR _57078_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_494_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41315_ _41304_/A _41315_/B _58097_/A VGND VGND VPWR VPWR _41315_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_193_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72135_ _72135_/A _72134_/X VGND VGND VPWR VPWR _72137_/B sky130_fd_sc_hd__nor2_2
+XFILLER_10_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_345_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49960_ _49957_/Y _49960_/B VGND VGND VPWR VPWR _78201_/D sky130_fd_sc_hd__nand2_2
+X_45083_ _45376_/A _45078_/Y _45082_/Y VGND VGND VPWR VPWR _45083_/Y sky130_fd_sc_hd__nor3_2
+XPHY_32510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57069_ _79928_/Q _56742_/X VGND VGND VPWR VPWR _57070_/C sky130_fd_sc_hd__nor2_2
+XFILLER_633_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42295_ _79001_/Q VGND VGND VPWR VPWR _46517_/A sky130_fd_sc_hd__inv_8
+XFILLER_494_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_158_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77992_ _77998_/CLK _50749_/Y VGND VGND VPWR VPWR _62184_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_8_102_0_u_core.clock clkbuf_7_51_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_205_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_32532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48911_ _63075_/A _48893_/B VGND VGND VPWR VPWR _48911_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44034_ _44034_/A VGND VGND VPWR VPWR _64860_/A sky130_fd_sc_hd__inv_8
+XFILLER_291_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_9_162_0_u_core.clock clkbuf_8_81_0_u_core.clock/X VGND VGND VPWR VPWR _79051_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_79731_ _79794_/CLK _40986_/Y VGND VGND VPWR VPWR _56730_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_125_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41246_ _41263_/A VGND VGND VPWR VPWR _41260_/A sky130_fd_sc_hd__buf_1
+XPHY_33299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76943_ _76787_/CLK _54723_/Y VGND VGND VPWR VPWR _59463_/A sky130_fd_sc_hd__dfxtp_4
+X_60080_ _53161_/A _59595_/B VGND VGND VPWR VPWR _60082_/B sky130_fd_sc_hd__nor2_2
+XFILLER_338_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72066_ _72063_/Y _70164_/X _70165_/X _72065_/X VGND VGND VPWR VPWR _72066_/X sky130_fd_sc_hd__o22a_4
+XFILLER_561_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_139_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49891_ _49920_/A _49906_/B VGND VGND VPWR VPWR _49892_/B sky130_fd_sc_hd__or2_2
+XFILLER_178_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_50_0_u_core.clock clkbuf_8_51_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_50_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_84_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71017_ _70211_/X VGND VGND VPWR VPWR _71017_/Y sky130_fd_sc_hd__inv_8
+XPHY_31853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48842_ _48842_/A _48845_/B VGND VGND VPWR VPWR _48843_/B sky130_fd_sc_hd__or2_2
+XFILLER_512_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_10_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79662_ _79757_/CLK _41278_/Y VGND VGND VPWR VPWR _58839_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_234_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_586_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41177_ _41176_/X VGND VGND VPWR VPWR _41178_/B sky130_fd_sc_hd__buf_1
+XFILLER_191_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76874_ _76876_/CLK _76874_/D VGND VGND VPWR VPWR _60048_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_649_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_109_0_u_core.clock clkbuf_8_54_0_u_core.clock/X VGND VGND VPWR VPWR _77840_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_453_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_551_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_65_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78613_ _78589_/CLK _78613_/D VGND VGND VPWR VPWR _78613_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_31897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40128_ _40249_/A _40127_/X VGND VGND VPWR VPWR _40128_/X sky130_fd_sc_hd__or2_2
+XPHY_9771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75825_ _75825_/A _69856_/B _75825_/C VGND VGND VPWR VPWR _38224_/B sky130_fd_sc_hd__or3_2
+XFILLER_61_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48773_ _48770_/Y _48772_/X VGND VGND VPWR VPWR _78507_/D sky130_fd_sc_hd__nand2_2
+XPHY_21385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79593_ _79626_/CLK _79593_/D VGND VGND VPWR VPWR _68769_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_681_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45985_ _47276_/A _45974_/B VGND VGND VPWR VPWR _45987_/B sky130_fd_sc_hd__nor2_2
+XFILLER_332_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_20651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_414_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_130_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_300_248 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_8_218_0_u_core.clock clkbuf_8_218_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_437_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_332_1377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_230_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47724_ _47724_/A _47723_/X VGND VGND VPWR VPWR _78774_/D sky130_fd_sc_hd__nand2_2
+XFILLER_455_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_289_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78544_ _78545_/CLK _78544_/D VGND VGND VPWR VPWR _48629_/A sky130_fd_sc_hd__dfxtp_4
+X_40059_ _40055_/X _40058_/Y VGND VGND VPWR VPWR _79970_/D sky130_fd_sc_hd__nand2_2
+X_44936_ _45413_/A _44935_/Y VGND VGND VPWR VPWR _44937_/B sky130_fd_sc_hd__nor2_2
+XFILLER_250_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75756_ _75755_/X _75749_/B _75756_/C VGND VGND VPWR VPWR _75757_/B sky130_fd_sc_hd__nand3_2
+XFILLER_466_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_278_0_u_core.clock clkbuf_9_279_0_u_core.clock/A VGND VGND VPWR VPWR _77128_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_384_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63770_ _47739_/A _63901_/B VGND VGND VPWR VPWR _63772_/B sky130_fd_sc_hd__nor2_2
+XFILLER_39_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60982_ _60982_/A _60820_/B VGND VGND VPWR VPWR _60983_/C sky130_fd_sc_hd__nor2_2
+X_72968_ _73979_/A _72974_/B VGND VGND VPWR VPWR _72971_/A sky130_fd_sc_hd__or2_2
+XFILLER_447_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_482_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_627_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_545_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62721_ _62721_/A _63037_/B VGND VGND VPWR VPWR _62724_/B sky130_fd_sc_hd__nor2_2
+XFILLER_281_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74707_ _74721_/A _74716_/B _80871_/Q VGND VGND VPWR VPWR _74708_/B sky130_fd_sc_hd__nand3_2
+XFILLER_286_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_529_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_482_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47655_ _63045_/A _47655_/B VGND VGND VPWR VPWR _47657_/A sky130_fd_sc_hd__nand2_2
+XFILLER_226_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71919_ _71772_/A VGND VGND VPWR VPWR _71919_/X sky130_fd_sc_hd__buf_1
+XFILLER_294_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78475_ _78102_/CLK _48897_/Y VGND VGND VPWR VPWR _63805_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_482_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44867_ _44892_/A _44867_/B VGND VGND VPWR VPWR _44867_/X sky130_fd_sc_hd__and2_2
+XFILLER_644_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75687_ _75680_/A _75684_/B _80628_/Q VGND VGND VPWR VPWR _75688_/B sky130_fd_sc_hd__nand3_2
+XFILLER_464_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72899_ _73926_/A _72910_/B VGND VGND VPWR VPWR _72903_/A sky130_fd_sc_hd__or2_2
+XFILLER_414_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_681_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46606_ _46601_/A _46570_/A VGND VGND VPWR VPWR _46606_/X sky130_fd_sc_hd__and2_2
+X_65440_ _64757_/A _65440_/B _65440_/C VGND VGND VPWR VPWR _65463_/A sky130_fd_sc_hd__nor3_2
+XFILLER_4_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77426_ _76779_/CLK _77426_/D VGND VGND VPWR VPWR _52885_/A sky130_fd_sc_hd__dfxtp_4
+X_43818_ _43854_/A _43816_/Y _43818_/C VGND VGND VPWR VPWR _43819_/C sky130_fd_sc_hd__nor3_2
+XFILLER_47_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_20_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62652_ _62967_/A _62650_/Y _62652_/C VGND VGND VPWR VPWR _62653_/C sky130_fd_sc_hd__nor3_2
+X_74638_ _74636_/X _74637_/Y VGND VGND VPWR VPWR _74638_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_507_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47586_ _47644_/A _47582_/X VGND VGND VPWR VPWR _47587_/B sky130_fd_sc_hd__or2_2
+XFILLER_349_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_327_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44798_ _79187_/Q _44823_/C VGND VGND VPWR VPWR _44798_/Y sky130_fd_sc_hd__nand2_2
+XPHY_29660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_407_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_59_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_640_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61603_ _61920_/A _61596_/Y _61603_/C VGND VGND VPWR VPWR _61604_/C sky130_fd_sc_hd__nor3_2
+XPHY_29682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49325_ _49494_/A VGND VGND VPWR VPWR _51148_/A sky130_fd_sc_hd__buf_1
+XFILLER_597_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46537_ _42339_/B _46523_/X VGND VGND VPWR VPWR _46537_/X sky130_fd_sc_hd__or2_2
+XFILLER_206_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65371_ _65863_/A _65371_/B _65370_/Y VGND VGND VPWR VPWR _65372_/C sky130_fd_sc_hd__nor3_2
+XFILLER_37_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77357_ _77028_/CLK _53135_/Y VGND VGND VPWR VPWR _60463_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_169_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43749_ _43739_/X _43745_/Y _44038_/B _43748_/Y VGND VGND VPWR VPWR _43750_/B sky130_fd_sc_hd__o22a_4
+XFILLER_206_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62583_ _59891_/A VGND VGND VPWR VPWR _62584_/B sky130_fd_sc_hd__buf_1
+XFILLER_202_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74569_ _74569_/A _74568_/Y VGND VGND VPWR VPWR _74569_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_523_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67110_ _66606_/A _67110_/B _67110_/C VGND VGND VPWR VPWR _67111_/C sky130_fd_sc_hd__nor3_2
+XFILLER_362_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_263_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_600_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64322_ _64309_/A VGND VGND VPWR VPWR _64322_/X sky130_fd_sc_hd__buf_1
+XFILLER_92_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76308_ _38310_/A _76294_/B VGND VGND VPWR VPWR _76310_/A sky130_fd_sc_hd__or2_2
+XFILLER_601_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_410_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61534_ _77756_/Q _61231_/B VGND VGND VPWR VPWR _61536_/B sky130_fd_sc_hd__nor2_2
+X_49256_ _49255_/X VGND VGND VPWR VPWR _49257_/B sky130_fd_sc_hd__buf_1
+XFILLER_94_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68090_ _68747_/A _68088_/Y _68090_/C VGND VGND VPWR VPWR _68090_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_557_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46468_ _46480_/A _46467_/Y VGND VGND VPWR VPWR _47098_/A sky130_fd_sc_hd__or2_2
+XFILLER_718_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77288_ _77283_/CLK _53425_/Y VGND VGND VPWR VPWR _77288_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_206_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_56_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_321_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_34_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_596_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_30_0_u_core.clock clkbuf_9_31_0_u_core.clock/A VGND VGND VPWR VPWR _77888_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_72_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_378_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_206_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_441_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48207_ _48178_/A _48225_/B VGND VGND VPWR VPWR _48207_/X sky130_fd_sc_hd__or2_2
+XFILLER_15_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67041_ _75244_/C _66880_/B VGND VGND VPWR VPWR _67042_/C sky130_fd_sc_hd__nor2_2
+XFILLER_304_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79027_ _78899_/CLK _79027_/D VGND VGND VPWR VPWR _43140_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_593_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45419_ _45052_/A _45418_/Y VGND VGND VPWR VPWR _45419_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_509_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64253_ _56380_/A _64178_/B VGND VGND VPWR VPWR _64254_/C sky130_fd_sc_hd__nor2_2
+XFILLER_50_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76239_ _76359_/A _76254_/B VGND VGND VPWR VPWR _76241_/A sky130_fd_sc_hd__or2_2
+XFILLER_575_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1495 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_226_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61465_ _60838_/A _61465_/B _61465_/C VGND VGND VPWR VPWR _61471_/B sky130_fd_sc_hd__nor3_2
+X_49187_ _49187_/A _49193_/B VGND VGND VPWR VPWR _49187_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_575_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_716_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46399_ _46396_/X _46398_/Y VGND VGND VPWR VPWR _46462_/A sky130_fd_sc_hd__nor2_2
+XFILLER_575_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_382_218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63204_ _63204_/A _62894_/B VGND VGND VPWR VPWR _63204_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_673_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48138_ _48134_/X VGND VGND VPWR VPWR _48166_/B sky130_fd_sc_hd__buf_1
+X_60416_ _60101_/A _60416_/B _60416_/C VGND VGND VPWR VPWR _60417_/B sky130_fd_sc_hd__nor3_2
+XFILLER_106_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64184_ _64591_/A VGND VGND VPWR VPWR _66649_/A sky130_fd_sc_hd__buf_1
+XFILLER_375_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_102_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61396_ _61396_/A _61395_/Y VGND VGND VPWR VPWR _61397_/B sky130_fd_sc_hd__nor2_2
+XFILLER_651_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_321_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_391_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_634_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63135_ _63301_/A _63135_/B _63135_/C VGND VGND VPWR VPWR _63135_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_200_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48069_ _48040_/A _48043_/X VGND VGND VPWR VPWR _48069_/X sky130_fd_sc_hd__or2_2
+X_60347_ _60347_/A _60347_/B _60347_/C VGND VGND VPWR VPWR _60357_/B sky130_fd_sc_hd__nor3_2
+XFILLER_390_240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_471_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68992_ _68992_/A _68665_/B VGND VGND VPWR VPWR _68992_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_351_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_296_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_534_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_317_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_278_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50100_ _50097_/Y _50099_/X VGND VGND VPWR VPWR _78163_/D sky130_fd_sc_hd__nand2_2
+XFILLER_651_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_713_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39911_ _39901_/A VGND VGND VPWR VPWR _39985_/A sky130_fd_sc_hd__buf_1
+XFILLER_176_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_363_498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51080_ _51052_/A _51080_/B VGND VGND VPWR VPWR _51080_/X sky130_fd_sc_hd__or2_2
+X_79929_ _80027_/CLK _79929_/D VGND VGND VPWR VPWR _79929_/Q sky130_fd_sc_hd__dfxtp_4
+X_67943_ _67943_/A _68104_/B VGND VGND VPWR VPWR _67944_/C sky130_fd_sc_hd__nor2_2
+XFILLER_157_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63066_ _62447_/A _63066_/B _63066_/C VGND VGND VPWR VPWR _63066_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_436_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60278_ _59471_/X VGND VGND VPWR VPWR _60442_/B sky130_fd_sc_hd__buf_1
+XFILLER_127_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_685_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_126 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_569_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_517_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_66_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50031_ _50003_/A _50015_/A VGND VGND VPWR VPWR _50031_/X sky130_fd_sc_hd__or2_2
+XFILLER_489_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62017_ _62017_/A _61538_/B VGND VGND VPWR VPWR _62018_/C sky130_fd_sc_hd__nor2_2
+XFILLER_289_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_686_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39842_ _40331_/A _39828_/B VGND VGND VPWR VPWR _39844_/A sky130_fd_sc_hd__or2_2
+XFILLER_44_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67874_ _67375_/A _67874_/B _67873_/Y VGND VGND VPWR VPWR _67874_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_374_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_694_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69613_ _69612_/X VGND VGND VPWR VPWR _69660_/A sky130_fd_sc_hd__buf_1
+XFILLER_288_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_252_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_217_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66825_ _79933_/Q _66990_/B VGND VGND VPWR VPWR _66825_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_291_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39773_ _40277_/A _39753_/X VGND VGND VPWR VPWR _39773_/X sky130_fd_sc_hd__or2_2
+XPHY_7109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_681_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_385_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_61_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_487_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_449_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38724_ _38596_/A _38709_/X VGND VGND VPWR VPWR _38726_/A sky130_fd_sc_hd__or2_2
+XFILLER_419_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_285_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69544_ _69404_/A _69540_/Y _69544_/C VGND VGND VPWR VPWR _69544_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54770_ _54767_/Y _54770_/B VGND VGND VPWR VPWR _76930_/D sky130_fd_sc_hd__nand2_2
+XFILLER_582_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66756_ _65933_/A VGND VGND VPWR VPWR _66945_/A sky130_fd_sc_hd__buf_1
+XFILLER_6_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51982_ _61311_/A _51989_/B VGND VGND VPWR VPWR _51985_/A sky130_fd_sc_hd__nand2_2
+XFILLER_69_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63968_ _78372_/Q _58919_/B VGND VGND VPWR VPWR _63969_/C sky130_fd_sc_hd__nor2_2
+XFILLER_268_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_418_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_285_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_256_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_508_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53721_ _53721_/A _53721_/B VGND VGND VPWR VPWR _53721_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_419_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65707_ _65552_/Y _65707_/B _65707_/C _65706_/Y VGND VGND VPWR VPWR _76692_/D sky130_fd_sc_hd__or4_2
+XPHY_5707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38655_ _38508_/A _38669_/B VGND VGND VPWR VPWR _38657_/A sky130_fd_sc_hd__or2_2
+X_50933_ _61680_/A _50933_/B VGND VGND VPWR VPWR _50933_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_77_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62919_ _62844_/Y _62919_/B VGND VGND VPWR VPWR _76749_/D sky130_fd_sc_hd__nor2_2
+XFILLER_434_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69475_ _65057_/A _69473_/Y _69474_/Y VGND VGND VPWR VPWR _69475_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_244_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66687_ _66183_/X _66687_/B _66687_/C VGND VGND VPWR VPWR _66688_/B sky130_fd_sc_hd__nor3_2
+XFILLER_285_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_434_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63899_ _63899_/A _63898_/Y VGND VGND VPWR VPWR _63900_/B sky130_fd_sc_hd__nor2_2
+XPHY_5729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_508_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56440_ _56440_/A _56438_/Y _56439_/Y VGND VGND VPWR VPWR _56440_/Y sky130_fd_sc_hd__nor3_2
+X_80704_ _80734_/CLK _80704_/D VGND VGND VPWR VPWR _80704_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_661_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68426_ _58326_/A _68259_/X VGND VGND VPWR VPWR _68428_/B sky130_fd_sc_hd__nor2_2
+XFILLER_506_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53652_ _53652_/A _53663_/B VGND VGND VPWR VPWR _53655_/A sky130_fd_sc_hd__nand2_2
+X_65638_ _56967_/A _65474_/X VGND VGND VPWR VPWR _65638_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_285_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_582_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38586_ _38586_/A _38578_/B VGND VGND VPWR VPWR _38586_/X sky130_fd_sc_hd__or2_2
+XFILLER_521_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50864_ _62140_/A _50855_/B VGND VGND VPWR VPWR _50867_/A sky130_fd_sc_hd__nand2_2
+XFILLER_96_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_560_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_502_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_225_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_521_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52603_ _60462_/A _52603_/B VGND VGND VPWR VPWR _52603_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_345_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56371_ _56371_/A _56371_/B VGND VGND VPWR VPWR _56375_/B sky130_fd_sc_hd__nor2_2
+X_80635_ _80637_/CLK _80635_/D VGND VGND VPWR VPWR _80635_/Q sky130_fd_sc_hd__dfxtp_4
+X_68357_ _80871_/Q _67711_/B VGND VGND VPWR VPWR _68358_/C sky130_fd_sc_hd__nor2_2
+XFILLER_521_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53583_ _53555_/A _53567_/A VGND VGND VPWR VPWR _53584_/B sky130_fd_sc_hd__or2_2
+XFILLER_402_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65569_ _66066_/A _65569_/B _65569_/C VGND VGND VPWR VPWR _65573_/B sky130_fd_sc_hd__nor3_2
+XFILLER_587_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50795_ _50793_/Y _50794_/X VGND VGND VPWR VPWR _77979_/D sky130_fd_sc_hd__nand2_2
+XFILLER_285_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_73_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58110_ _58509_/A VGND VGND VPWR VPWR _58352_/A sky130_fd_sc_hd__buf_1
+XPHY_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55322_ _71065_/A _55248_/B VGND VGND VPWR VPWR _55337_/A sky130_fd_sc_hd__or2_2
+XFILLER_213_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67308_ _67147_/A _67305_/Y _67307_/Y VGND VGND VPWR VPWR _67308_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_198_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52534_ _61093_/A _52522_/X VGND VGND VPWR VPWR _52536_/A sky130_fd_sc_hd__nand2_2
+XPHY_26319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59090_ _59090_/A VGND VGND VPWR VPWR _59897_/A sky130_fd_sc_hd__buf_1
+XFILLER_341_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_142_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80566_ _80577_/CLK _75922_/Y VGND VGND VPWR VPWR _75921_/C sky130_fd_sc_hd__dfxtp_4
+X_68288_ _80262_/Q _68625_/B VGND VGND VPWR VPWR _68290_/B sky130_fd_sc_hd__nor2_2
+XFILLER_459_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_678_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_554_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_601_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39207_ _76178_/A VGND VGND VPWR VPWR _39208_/A sky130_fd_sc_hd__buf_1
+XFILLER_55_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58041_ _57790_/X _58041_/B _58040_/Y VGND VGND VPWR VPWR _58041_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_240_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_169_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_3062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67239_ _67239_/A VGND VGND VPWR VPWR _67901_/A sky130_fd_sc_hd__buf_1
+XFILLER_90_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55253_ _55252_/X VGND VGND VPWR VPWR _55377_/A sky130_fd_sc_hd__buf_1
+XFILLER_202_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_222_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52465_ _52763_/A VGND VGND VPWR VPWR _52556_/A sky130_fd_sc_hd__buf_1
+XPHY_25629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_178_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_355_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_146_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80497_ _80465_/CLK _76212_/Y VGND VGND VPWR VPWR _80497_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_590_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54204_ _77081_/Q _54207_/B VGND VGND VPWR VPWR _54204_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_279_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39138_ _39138_/A _39138_/B VGND VGND VPWR VPWR _39138_/X sky130_fd_sc_hd__or2_2
+XFILLER_374_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51416_ _51416_/A VGND VGND VPWR VPWR _51720_/A sky130_fd_sc_hd__buf_1
+XFILLER_224_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70250_ _70250_/A VGND VGND VPWR VPWR _71256_/A sky130_fd_sc_hd__buf_1
+XPHY_24928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_201_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55184_ _55177_/B _55176_/Y VGND VGND VPWR VPWR _55185_/C sky130_fd_sc_hd__nor2_2
+XPHY_14405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_50 wbs_adr_i[6] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_52396_ _52394_/Y _52395_/X VGND VGND VPWR VPWR _77558_/D sky130_fd_sc_hd__nand2_2
+XPHY_24939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_394_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_378_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_61 _57542_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_88_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_72 _56984_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_120_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_138_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54135_ _77100_/Q _54146_/B VGND VGND VPWR VPWR _54138_/A sky130_fd_sc_hd__nand2_2
+XFILLER_181_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_83 _59932_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_51347_ _51347_/A _51339_/X VGND VGND VPWR VPWR _51350_/A sky130_fd_sc_hd__nand2_2
+XFILLER_159_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39069_ _39050_/A VGND VGND VPWR VPWR _39074_/B sky130_fd_sc_hd__buf_1
+XFILLER_374_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70181_ _70181_/A VGND VGND VPWR VPWR _70182_/A sky130_fd_sc_hd__buf_1
+XPHY_13704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_94 _61198_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_292_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59992_ _54114_/A _59844_/B VGND VGND VPWR VPWR _59993_/C sky130_fd_sc_hd__nor2_2
+XFILLER_10_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_437_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_140_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_49_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41100_ _41081_/X _41118_/B _57302_/A VGND VGND VPWR VPWR _41100_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_354_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_570_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81118_ _81112_/CLK _73693_/Y VGND VGND VPWR VPWR _66915_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_180_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_106_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42080_ _42098_/A VGND VGND VPWR VPWR _42095_/B sky130_fd_sc_hd__buf_1
+XFILLER_543_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54066_ _54062_/A VGND VGND VPWR VPWR _54100_/B sky130_fd_sc_hd__buf_1
+X_58943_ _60587_/A VGND VGND VPWR VPWR _59308_/B sky130_fd_sc_hd__buf_1
+XFILLER_535_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51278_ _53078_/A VGND VGND VPWR VPWR _51394_/A sky130_fd_sc_hd__buf_1
+XPHY_13759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41031_ _41027_/X _41030_/Y VGND VGND VPWR VPWR _79721_/D sky130_fd_sc_hd__nand2_2
+XFILLER_270_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53017_ _53017_/A _53016_/X VGND VGND VPWR VPWR _53017_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_107_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_685_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50229_ _50227_/Y _50229_/B VGND VGND VPWR VPWR _78128_/D sky130_fd_sc_hd__nand2_2
+XFILLER_323_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_715_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73940_ _73883_/X VGND VGND VPWR VPWR _73951_/B sky130_fd_sc_hd__buf_1
+XFILLER_84_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81049_ _81047_/CLK _73954_/Y VGND VGND VPWR VPWR _81049_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_66_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58874_ _58877_/A _58871_/Y _58875_/B VGND VGND VPWR VPWR _78982_/D sky130_fd_sc_hd__nor3_2
+XFILLER_333_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_628_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_469_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_134_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57825_ _57904_/A _57823_/Y _57825_/C VGND VGND VPWR VPWR _57831_/B sky130_fd_sc_hd__nor3_2
+XFILLER_62_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73871_ _73750_/A _73987_/B VGND VGND VPWR VPWR _73871_/X sky130_fd_sc_hd__or2_2
+XFILLER_513_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_685_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75610_ _75620_/A _75626_/B _68683_/A VGND VGND VPWR VPWR _75610_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_643_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_529_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72822_ _72820_/X _72822_/B VGND VGND VPWR VPWR _72822_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_114_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45770_ _70208_/X VGND VGND VPWR VPWR _45771_/A sky130_fd_sc_hd__inv_8
+XFILLER_251_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57756_ _80608_/Q _57512_/B VGND VGND VPWR VPWR _57758_/B sky130_fd_sc_hd__nor2_2
+XFILLER_248_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76590_ VGND VGND VPWR VPWR _76590_/HI la_data_out[86] sky130_fd_sc_hd__conb_1
+XFILLER_0_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42982_ _42964_/A VGND VGND VPWR VPWR _42986_/A sky130_fd_sc_hd__buf_1
+XPHY_8388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54968_ _54968_/A _54967_/X VGND VGND VPWR VPWR _54968_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_388_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_723_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_624_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_153_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44721_ _79202_/Q _44707_/B VGND VGND VPWR VPWR _44721_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56707_ _80595_/Q _56707_/B VGND VGND VPWR VPWR _56710_/B sky130_fd_sc_hd__nor2_2
+XFILLER_48_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75541_ _76182_/A VGND VGND VPWR VPWR _75917_/A sky130_fd_sc_hd__buf_1
+XFILLER_483_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41933_ _41933_/A _43501_/A VGND VGND VPWR VPWR _41933_/Y sky130_fd_sc_hd__nor2_2
+XPHY_39424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53919_ _77155_/Q _53932_/B VGND VGND VPWR VPWR _53919_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_60_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72753_ _72750_/X _72752_/Y VGND VGND VPWR VPWR _81348_/D sky130_fd_sc_hd__nand2_2
+XFILLER_40_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57687_ _57598_/A _57687_/B _57686_/Y VGND VGND VPWR VPWR _57687_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_291_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_3052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54899_ _54955_/A _54893_/B VGND VGND VPWR VPWR _54899_/X sky130_fd_sc_hd__or2_2
+XFILLER_75_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_448_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_311_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_47_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47440_ _47440_/A _47436_/X VGND VGND VPWR VPWR _47440_/X sky130_fd_sc_hd__or2_2
+X_71704_ _76801_/Q VGND VGND VPWR VPWR _71705_/A sky130_fd_sc_hd__inv_8
+XFILLER_166_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59426_ _59426_/A VGND VGND VPWR VPWR _59751_/B sky130_fd_sc_hd__buf_1
+X_78260_ _78756_/CLK _78260_/D VGND VGND VPWR VPWR _78260_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_38723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44652_ _44829_/A VGND VGND VPWR VPWR _44797_/A sky130_fd_sc_hd__inv_8
+XPHY_6986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56638_ _56239_/Y VGND VGND VPWR VPWR _56638_/X sky130_fd_sc_hd__buf_1
+XFILLER_229_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75472_ _75865_/A _75481_/B VGND VGND VPWR VPWR _75474_/A sky130_fd_sc_hd__or2_2
+X_41864_ _41876_/A VGND VGND VPWR VPWR _41864_/X sky130_fd_sc_hd__buf_1
+XFILLER_75_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72684_ _72806_/A _72688_/B VGND VGND VPWR VPWR _72684_/X sky130_fd_sc_hd__or2_2
+XFILLER_263_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_21_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_262_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_542_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_524_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77211_ _77211_/CLK _53714_/Y VGND VGND VPWR VPWR _60183_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_440_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43603_ _43548_/A VGND VGND VPWR VPWR _43607_/B sky130_fd_sc_hd__buf_1
+XFILLER_600_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74423_ _74420_/X _74422_/X VGND VGND VPWR VPWR _80938_/D sky130_fd_sc_hd__nand2_2
+XPHY_38767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40815_ _40815_/A _40815_/B _57722_/A VGND VGND VPWR VPWR _40815_/Y sky130_fd_sc_hd__nand3_2
+XPHY_28244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47371_ _47371_/A _47371_/B VGND VGND VPWR VPWR _78858_/D sky130_fd_sc_hd__nand2_2
+XFILLER_246_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59357_ _59357_/A VGND VGND VPWR VPWR _59844_/B sky130_fd_sc_hd__buf_1
+XFILLER_34_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71635_ _71539_/X _71622_/X _71548_/X _42668_/B VGND VGND VPWR VPWR _71636_/A sky130_fd_sc_hd__o22a_4
+X_78191_ _78191_/CLK _49998_/Y VGND VGND VPWR VPWR _78191_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_38778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44583_ _79214_/Q _44583_/B VGND VGND VPWR VPWR _44583_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_327_1446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_284_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56569_ _56492_/A _56565_/Y _56569_/C VGND VGND VPWR VPWR _56569_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_249_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41795_ _41795_/A VGND VGND VPWR VPWR _41796_/B sky130_fd_sc_hd__buf_1
+XFILLER_559_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_406_0_u_core.clock clkbuf_9_406_0_u_core.clock/A VGND VGND VPWR VPWR _80887_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_49110_ _49073_/A _54851_/A VGND VGND VPWR VPWR _49110_/X sky130_fd_sc_hd__or2_2
+XFILLER_16_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46322_ _46317_/Y _46322_/B _46322_/C VGND VGND VPWR VPWR _46465_/A sky130_fd_sc_hd__or3_2
+X_58308_ _56228_/A VGND VGND VPWR VPWR _58391_/A sky130_fd_sc_hd__buf_1
+XFILLER_280_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77142_ _77142_/CLK _53972_/Y VGND VGND VPWR VPWR _77142_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_28288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43534_ _46058_/A VGND VGND VPWR VPWR _43534_/Y sky130_fd_sc_hd__inv_8
+XFILLER_188_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74354_ _74352_/X _74353_/Y VGND VGND VPWR VPWR _80955_/D sky130_fd_sc_hd__nand2_2
+X_40746_ _40987_/A _40755_/B VGND VGND VPWR VPWR _40746_/X sky130_fd_sc_hd__or2_2
+XFILLER_223_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_73_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71566_ _39174_/A VGND VGND VPWR VPWR _42940_/A sky130_fd_sc_hd__buf_1
+XFILLER_700_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59288_ _59461_/A _59288_/B _59287_/Y VGND VGND VPWR VPWR _59302_/B sky130_fd_sc_hd__nor3_2
+XFILLER_422_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_717_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73305_ _73239_/X VGND VGND VPWR VPWR _73305_/X sky130_fd_sc_hd__buf_1
+X_49041_ _49041_/A _49040_/X VGND VGND VPWR VPWR _49041_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_696_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_164_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46253_ _46243_/A _46253_/B _46252_/Y VGND VGND VPWR VPWR _79030_/D sky130_fd_sc_hd__nor3_2
+X_70517_ _70471_/A _70516_/Y VGND VGND VPWR VPWR _70523_/A sky130_fd_sc_hd__nand2_2
+X_58239_ _58239_/A _58239_/B _58238_/Y VGND VGND VPWR VPWR _58240_/B sky130_fd_sc_hd__nor3_2
+XPHY_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_520_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77073_ _77408_/CLK _77073_/D VGND VGND VPWR VPWR _77073_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43465_ _71947_/B _43465_/B VGND VGND VPWR VPWR _43465_/X sky130_fd_sc_hd__or2_2
+XFILLER_34_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74285_ _74285_/A _74285_/B _80973_/Q VGND VGND VPWR VPWR _74285_/Y sky130_fd_sc_hd__nand3_2
+XPHY_17075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40677_ _40414_/A _40677_/B VGND VGND VPWR VPWR _40677_/X sky130_fd_sc_hd__or2_2
+XFILLER_692_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_73_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71497_ _71423_/A _71497_/B VGND VGND VPWR VPWR _71498_/B sky130_fd_sc_hd__nor2_2
+XFILLER_160_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_297_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_145_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45204_ _45204_/A VGND VGND VPWR VPWR _45218_/A sky130_fd_sc_hd__buf_1
+XFILLER_670_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76024_ _76022_/X _76024_/B VGND VGND VPWR VPWR _80539_/D sky130_fd_sc_hd__nand2_2
+XFILLER_553_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42416_ _45403_/B VGND VGND VPWR VPWR _45233_/B sky130_fd_sc_hd__buf_1
+XFILLER_103_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_340_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61250_ _52530_/A _60628_/B VGND VGND VPWR VPWR _61250_/Y sky130_fd_sc_hd__nor2_2
+X_73236_ _73236_/A VGND VGND VPWR VPWR _75043_/A sky130_fd_sc_hd__buf_1
+XFILLER_346_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46184_ _46166_/Y _46168_/Y _46183_/Y VGND VGND VPWR VPWR _46184_/Y sky130_fd_sc_hd__nor3_2
+X_70448_ _70379_/Y _70448_/B VGND VGND VPWR VPWR _70449_/B sky130_fd_sc_hd__nor2_2
+XFILLER_157_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_158_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43396_ _43396_/A _43395_/Y VGND VGND VPWR VPWR _79361_/D sky130_fd_sc_hd__nand2_2
+XPHY_15640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_519_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_157_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_395_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_635_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_317_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60201_ _60201_/A VGND VGND VPWR VPWR _60828_/A sky130_fd_sc_hd__buf_1
+XFILLER_102_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45135_ _45134_/Y _45078_/B VGND VGND VPWR VPWR _45139_/B sky130_fd_sc_hd__nor2_2
+XFILLER_201_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_7_117_0_u_core.clock clkbuf_6_58_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_234_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_361_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42347_ _42335_/A _42347_/B _42347_/C VGND VGND VPWR VPWR _42348_/B sky130_fd_sc_hd__nor3_2
+XFILLER_494_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73167_ _74049_/A VGND VGND VPWR VPWR _74989_/A sky130_fd_sc_hd__buf_1
+X_61181_ _59594_/A VGND VGND VPWR VPWR _61182_/B sky130_fd_sc_hd__buf_1
+XFILLER_199_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_338_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_177_0_u_core.clock clkbuf_7_88_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_355_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_70379_ _70379_/A _70378_/Y VGND VGND VPWR VPWR _70379_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_715_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_119_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60132_ _54857_/A _59809_/B VGND VGND VPWR VPWR _60132_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_334_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_156_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72118_ _71039_/A _72118_/B VGND VGND VPWR VPWR _72118_/X sky130_fd_sc_hd__or2_2
+XFILLER_361_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49943_ _49943_/A _49961_/B VGND VGND VPWR VPWR _49947_/A sky130_fd_sc_hd__nand2_2
+X_45066_ _44980_/A VGND VGND VPWR VPWR _45407_/A sky130_fd_sc_hd__buf_1
+XPHY_32340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42278_ _42278_/A VGND VGND VPWR VPWR _42279_/B sky130_fd_sc_hd__inv_8
+XFILLER_436_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73098_ _73098_/A _73098_/B VGND VGND VPWR VPWR _81265_/D sky130_fd_sc_hd__nand2_2
+XFILLER_314_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77975_ _77987_/CLK _50808_/Y VGND VGND VPWR VPWR _50806_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_171_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_312_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44017_ _79256_/Q VGND VGND VPWR VPWR _67201_/A sky130_fd_sc_hd__inv_8
+XFILLER_193_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79714_ _79716_/CLK _41064_/Y VGND VGND VPWR VPWR _67611_/A sky130_fd_sc_hd__dfxtp_4
+X_41229_ _41226_/X _41229_/B VGND VGND VPWR VPWR _41229_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_625_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60063_ _60063_/A _59902_/B VGND VGND VPWR VPWR _60063_/Y sky130_fd_sc_hd__nor2_2
+X_64940_ _64939_/X VGND VGND VPWR VPWR _64949_/A sky130_fd_sc_hd__buf_1
+XFILLER_633_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76926_ _76998_/CLK _76926_/D VGND VGND VPWR VPWR _76926_/Q sky130_fd_sc_hd__dfxtp_4
+X_72049_ _69880_/A _72049_/B VGND VGND VPWR VPWR _72049_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_648_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49874_ _49874_/A _49873_/X VGND VGND VPWR VPWR _49874_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_98_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_124_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_676_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_486_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48825_ _48825_/A _48804_/B VGND VGND VPWR VPWR _48827_/A sky130_fd_sc_hd__nand2_2
+XPHY_21160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79645_ _79641_/CLK _41343_/Y VGND VGND VPWR VPWR _57533_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_80_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64871_ _65231_/A _64871_/B _64870_/Y VGND VGND VPWR VPWR _64871_/Y sky130_fd_sc_hd__nor3_2
+XPHY_31694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76857_ _76787_/CLK _55034_/Y VGND VGND VPWR VPWR _76857_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_21171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_285_214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66610_ _66125_/A _66609_/Y VGND VGND VPWR VPWR _66610_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_414_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63822_ _49020_/A _63412_/X VGND VGND VPWR VPWR _63822_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_61_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75808_ _76050_/A _75808_/B VGND VGND VPWR VPWR _75812_/A sky130_fd_sc_hd__or2_2
+XFILLER_349_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_586_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48756_ _48727_/A _48744_/B VGND VGND VPWR VPWR _48757_/B sky130_fd_sc_hd__or2_2
+XFILLER_462_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67590_ _67590_/A _68092_/B VGND VGND VPWR VPWR _67590_/Y sky130_fd_sc_hd__nor2_2
+XPHY_20470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79576_ _79610_/CLK _79576_/D VGND VGND VPWR VPWR _57124_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_607_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_23_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45968_ _42700_/B _45968_/B VGND VGND VPWR VPWR _45969_/C sky130_fd_sc_hd__nor2_2
+XFILLER_617_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76788_ _76787_/CLK _76788_/D VGND VGND VPWR VPWR _60115_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_709_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_544_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_6_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_78_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_26_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_705_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47707_ _47707_/A _47725_/B VGND VGND VPWR VPWR _47707_/X sky130_fd_sc_hd__or2_2
+X_66541_ _80732_/Q _66880_/B VGND VGND VPWR VPWR _66542_/C sky130_fd_sc_hd__nor2_2
+XFILLER_646_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_646_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78527_ _77525_/CLK _78527_/D VGND VGND VPWR VPWR _63282_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_542_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44919_ _44919_/A _44918_/X VGND VGND VPWR VPWR _44919_/X sky130_fd_sc_hd__and2_2
+XFILLER_227_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63753_ _63753_/A _63168_/B VGND VGND VPWR VPWR _63753_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_282_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75739_ _75739_/A _75738_/Y VGND VGND VPWR VPWR _80615_/D sky130_fd_sc_hd__nand2_2
+XFILLER_212_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_632_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60965_ _60500_/A _60964_/Y VGND VGND VPWR VPWR _60965_/Y sky130_fd_sc_hd__nor2_2
+X_48687_ _48687_/A _48686_/X VGND VGND VPWR VPWR _48687_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_93_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45899_ _45899_/A _45898_/X VGND VGND VPWR VPWR _79076_/D sky130_fd_sc_hd__nor2_2
+XFILLER_113_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_690_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_247_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_643_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38440_ _38438_/X _38440_/B VGND VGND VPWR VPWR _38440_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_384_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62704_ _62704_/A _62389_/B VGND VGND VPWR VPWR _62705_/C sky130_fd_sc_hd__nor2_2
+XFILLER_443_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_94_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_482_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69260_ _58668_/A _68981_/B VGND VGND VPWR VPWR _69260_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_310_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47638_ _47636_/Y _47637_/X VGND VGND VPWR VPWR _78795_/D sky130_fd_sc_hd__nand2_2
+XFILLER_627_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66472_ _66294_/X _66463_/Y _66471_/Y VGND VGND VPWR VPWR _66472_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_588_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78458_ _78451_/CLK _48968_/Y VGND VGND VPWR VPWR _63681_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_247_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_503_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63684_ _76763_/Q _59008_/B VGND VGND VPWR VPWR _63685_/C sky130_fd_sc_hd__nor2_2
+XFILLER_415_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60896_ _60896_/A _60426_/X VGND VGND VPWR VPWR _60897_/C sky130_fd_sc_hd__nor2_2
+XFILLER_66_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_148_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_184_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_564_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_408_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68211_ _38382_/C _67888_/B VGND VGND VPWR VPWR _68212_/C sky130_fd_sc_hd__nor2_2
+XFILLER_380_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_601_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_510_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65423_ _65423_/A _64899_/B VGND VGND VPWR VPWR _65423_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_430_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77409_ _77408_/CLK _77409_/D VGND VGND VPWR VPWR _61078_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_78_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38371_ _38374_/A _38385_/B _80393_/Q VGND VGND VPWR VPWR _38372_/B sky130_fd_sc_hd__nand3_2
+XFILLER_289_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62635_ _62630_/X _62632_/Y _62634_/Y VGND VGND VPWR VPWR _62635_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_282_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69191_ _81036_/Q _69329_/B VGND VGND VPWR VPWR _69192_/C sky130_fd_sc_hd__nor2_2
+XFILLER_59_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_56_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47569_ _48101_/A _47569_/B _48101_/C VGND VGND VPWR VPWR _47570_/A sky130_fd_sc_hd__or3_2
+X_78389_ _78758_/CLK _78389_/D VGND VGND VPWR VPWR _63041_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_694_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_52_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_222_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49308_ _49308_/A _49308_/B VGND VGND VPWR VPWR _78366_/D sky130_fd_sc_hd__nand2_2
+XFILLER_39_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80420_ _80420_/CLK _80420_/D VGND VGND VPWR VPWR _38269_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_440_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68142_ _39783_/C _67475_/B VGND VGND VPWR VPWR _68143_/C sky130_fd_sc_hd__nor2_2
+XFILLER_143_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_679_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65354_ _80084_/Q _64671_/X VGND VGND VPWR VPWR _65354_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_396_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_35_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50580_ _50664_/A _50546_/X VGND VGND VPWR VPWR _50580_/X sky130_fd_sc_hd__or2_2
+XFILLER_584_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62566_ _62566_/A _62565_/Y VGND VGND VPWR VPWR _62567_/B sky130_fd_sc_hd__nor2_2
+XFILLER_263_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_503_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_396_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_37_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_675_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_1500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_410_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64305_ _64305_/A _64305_/B _64304_/Y VGND VGND VPWR VPWR _64305_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_499_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49239_ _63633_/A _49242_/B VGND VGND VPWR VPWR _49239_/Y sky130_fd_sc_hd__nand2_2
+X_61517_ _61052_/A _61515_/Y _61516_/Y VGND VGND VPWR VPWR _61517_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_61_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80351_ _80393_/CLK _38542_/Y VGND VGND VPWR VPWR _67062_/A sky130_fd_sc_hd__dfxtp_4
+X_68073_ _68392_/A _68070_/Y _68073_/C VGND VGND VPWR VPWR _68074_/C sky130_fd_sc_hd__nor3_2
+XFILLER_222_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65285_ _81364_/Q _64771_/B VGND VGND VPWR VPWR _65286_/C sky130_fd_sc_hd__nor2_2
+XFILLER_21_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_572_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62497_ _60935_/A VGND VGND VPWR VPWR _62966_/B sky130_fd_sc_hd__buf_1
+XFILLER_538_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_182_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67024_ _67004_/Y _67024_/B VGND VGND VPWR VPWR _67025_/B sky130_fd_sc_hd__nor2_2
+XFILLER_556_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52250_ _48394_/B _52122_/B VGND VGND VPWR VPWR _52276_/B sky130_fd_sc_hd__or2_2
+XFILLER_50_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64236_ _64134_/A VGND VGND VPWR VPWR _64840_/A sky130_fd_sc_hd__buf_1
+XFILLER_421_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61448_ _51922_/A _60818_/B VGND VGND VPWR VPWR _61448_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_296_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_593_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80282_ _80289_/CLK _38821_/Y VGND VGND VPWR VPWR _80282_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_30_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_198_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_716_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51201_ _51145_/A _51222_/B VGND VGND VPWR VPWR _51202_/B sky130_fd_sc_hd__or2_2
+XFILLER_473_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_176_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_147_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52181_ _52181_/A VGND VGND VPWR VPWR _52182_/A sky130_fd_sc_hd__buf_1
+XFILLER_163_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64167_ _76221_/C _64167_/B VGND VGND VPWR VPWR _64168_/C sky130_fd_sc_hd__nor2_2
+XFILLER_536_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61379_ _77675_/Q _61066_/B VGND VGND VPWR VPWR _61380_/C sky130_fd_sc_hd__nor2_2
+XFILLER_120_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_198_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_258_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_618_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_194_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_434_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51132_ _62106_/A _51138_/B VGND VGND VPWR VPWR _51134_/A sky130_fd_sc_hd__nand2_2
+XFILLER_137_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_162_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63118_ _59765_/A VGND VGND VPWR VPWR _63716_/B sky130_fd_sc_hd__buf_1
+XFILLER_634_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64098_ _64098_/A VGND VGND VPWR VPWR _64130_/A sky130_fd_sc_hd__inv_8
+X_68975_ _69254_/A _68973_/Y _68974_/Y VGND VGND VPWR VPWR _68975_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_702_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_571_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_305_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_293_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_535_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55940_ _55934_/X _55940_/B VGND VGND VPWR VPWR _76681_/D sky130_fd_sc_hd__or2_2
+XFILLER_710_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67926_ _65248_/A VGND VGND VPWR VPWR _68094_/A sky130_fd_sc_hd__buf_1
+X_63049_ _63049_/A _63047_/Y _63049_/C VGND VGND VPWR VPWR _63049_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_137_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51063_ _51063_/A _51058_/X VGND VGND VPWR VPWR _51065_/A sky130_fd_sc_hd__nand2_2
+XFILLER_317_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_478_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_305_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_274_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_48_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_104_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50014_ _78186_/Q _50007_/B VGND VGND VPWR VPWR _50014_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_530_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39825_ _39816_/A _39816_/B _80028_/Q VGND VGND VPWR VPWR _39825_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_172_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55871_ _55880_/A _55870_/Y VGND VGND VPWR VPWR _55871_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_170_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_192_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_569_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67857_ _44142_/A _67857_/B VGND VGND VPWR VPWR _67857_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_24_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_569_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_350_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_22_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_547_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57610_ _56294_/A VGND VGND VPWR VPWR _57937_/A sky130_fd_sc_hd__buf_1
+XFILLER_660_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54822_ _76915_/Q _54831_/B VGND VGND VPWR VPWR _54825_/A sky130_fd_sc_hd__nand2_2
+XFILLER_113_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66808_ _66473_/X _66802_/Y _66808_/C VGND VGND VPWR VPWR _66808_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_2_3115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_467_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_647_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39756_ _39754_/X _39755_/Y VGND VGND VPWR VPWR _80043_/D sky130_fd_sc_hd__nand2_2
+XFILLER_258_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58590_ _56271_/X _58588_/Y _58589_/Y VGND VGND VPWR VPWR _58594_/B sky130_fd_sc_hd__nor3_2
+XFILLER_150_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67788_ _67788_/A _67788_/B _67787_/Y VGND VGND VPWR VPWR _67788_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_404_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_130_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_330_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_449_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_480_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_285_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38707_ _38696_/X _38701_/B _80312_/Q VGND VGND VPWR VPWR _38707_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_467_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57541_ _57541_/A _57521_/Y _57540_/Y VGND VGND VPWR VPWR _57541_/X sky130_fd_sc_hd__or3_2
+X_69527_ _40012_/C _69109_/B VGND VGND VPWR VPWR _69528_/C sky130_fd_sc_hd__nor2_2
+XFILLER_389_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54753_ _54810_/A _54753_/B VGND VGND VPWR VPWR _54754_/B sky130_fd_sc_hd__or2_2
+X_66739_ _65916_/A VGND VGND VPWR VPWR _66777_/A sky130_fd_sc_hd__buf_1
+XFILLER_723_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_608_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39687_ _39684_/X _39686_/Y VGND VGND VPWR VPWR _80060_/D sky130_fd_sc_hd__nand2_2
+X_51965_ _51963_/Y _51965_/B VGND VGND VPWR VPWR _77671_/D sky130_fd_sc_hd__nand2_2
+XFILLER_363_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_705_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_92_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_547_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53704_ _53761_/A _53679_/A VGND VGND VPWR VPWR _53705_/B sky130_fd_sc_hd__or2_2
+XFILLER_385_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38638_ _38638_/A _38637_/Y VGND VGND VPWR VPWR _80331_/D sky130_fd_sc_hd__nand2_2
+X_50916_ _50914_/Y _50916_/B VGND VGND VPWR VPWR _77947_/D sky130_fd_sc_hd__nand2_2
+XFILLER_508_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57472_ _57635_/A _57470_/Y _57472_/C VGND VGND VPWR VPWR _57473_/C sky130_fd_sc_hd__nor3_2
+XFILLER_2_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69458_ _81134_/Q _69180_/B VGND VGND VPWR VPWR _69460_/B sky130_fd_sc_hd__nor2_2
+XFILLER_404_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54684_ _54801_/A _54684_/B VGND VGND VPWR VPWR _54684_/X sky130_fd_sc_hd__or2_2
+XFILLER_285_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_57_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51896_ _51896_/A _51895_/X VGND VGND VPWR VPWR _51896_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59211_ _59199_/A VGND VGND VPWR VPWR _59423_/A sky130_fd_sc_hd__buf_1
+XFILLER_263_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56423_ _58821_/A _56419_/Y _56423_/C VGND VGND VPWR VPWR _56435_/A sky130_fd_sc_hd__nor3_2
+XPHY_4836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68409_ _73016_/C _67922_/X VGND VGND VPWR VPWR _68409_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_595_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53635_ _53635_/A VGND VGND VPWR VPWR _53667_/A sky130_fd_sc_hd__buf_1
+XFILLER_199_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_363_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_603_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50847_ _50847_/A VGND VGND VPWR VPWR _50969_/A sky130_fd_sc_hd__buf_1
+X_38569_ _38659_/A VGND VGND VPWR VPWR _38579_/A sky130_fd_sc_hd__buf_1
+XFILLER_0_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_246_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69389_ _68972_/A _69385_/Y _69389_/C VGND VGND VPWR VPWR _69397_/B sky130_fd_sc_hd__nor3_2
+XFILLER_232_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_25_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_599_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40600_ _40597_/X _40600_/B VGND VGND VPWR VPWR _40600_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_502_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71420_ _71420_/A VGND VGND VPWR VPWR _71421_/B sky130_fd_sc_hd__buf_1
+XFILLER_213_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59142_ _77133_/Q _59718_/B VGND VGND VPWR VPWR _59143_/C sky130_fd_sc_hd__nor2_2
+XFILLER_90_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80618_ _80495_/CLK _75727_/Y VGND VGND VPWR VPWR _80618_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_26105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56354_ _56354_/A VGND VGND VPWR VPWR _56355_/B sky130_fd_sc_hd__buf_1
+XFILLER_341_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41580_ _41566_/X _41573_/X _66782_/A VGND VGND VPWR VPWR _41580_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_709_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53566_ _77250_/Q _53570_/B VGND VGND VPWR VPWR _53566_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_402_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50778_ _61989_/A _50766_/B VGND VGND VPWR VPWR _50780_/A sky130_fd_sc_hd__nand2_2
+XFILLER_77_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55305_ _55464_/A VGND VGND VPWR VPWR _55352_/A sky130_fd_sc_hd__buf_1
+XFILLER_430_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40531_ _40531_/A _40530_/Y VGND VGND VPWR VPWR _40531_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52517_ _52515_/Y _52516_/X VGND VGND VPWR VPWR _52517_/Y sky130_fd_sc_hd__nand2_2
+XPHY_26149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59073_ _59073_/A VGND VGND VPWR VPWR _59240_/A sky130_fd_sc_hd__buf_1
+X_71351_ _71832_/B VGND VGND VPWR VPWR _71480_/B sky130_fd_sc_hd__buf_1
+XFILLER_224_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56285_ _39611_/C _56285_/B VGND VGND VPWR VPWR _56285_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_126_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80549_ _80577_/CLK _80549_/D VGND VGND VPWR VPWR _68044_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_478_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53497_ _53497_/A _53493_/B VGND VGND VPWR VPWR _53497_/Y sky130_fd_sc_hd__nand2_2
+XPHY_35949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_575_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58024_ _58180_/A _58024_/B _58023_/Y VGND VGND VPWR VPWR _58024_/X sky130_fd_sc_hd__or3_2
+XFILLER_16_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70302_ _69893_/X _70301_/X VGND VGND VPWR VPWR _70302_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_259_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43250_ _42888_/A _43360_/B VGND VGND VPWR VPWR _43252_/A sky130_fd_sc_hd__or2_2
+X_55236_ _70772_/A _45442_/A VGND VGND VPWR VPWR _55237_/B sky130_fd_sc_hd__nor2_2
+XFILLER_636_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74070_ _74741_/A VGND VGND VPWR VPWR _74070_/X sky130_fd_sc_hd__buf_1
+XFILLER_386_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40462_ _40449_/A _40443_/B _66506_/A VGND VGND VPWR VPWR _40463_/B sky130_fd_sc_hd__nand3_2
+X_52448_ _52448_/A _52451_/B VGND VGND VPWR VPWR _52450_/A sky130_fd_sc_hd__nand2_2
+XPHY_25459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71282_ _71394_/A VGND VGND VPWR VPWR _71423_/A sky130_fd_sc_hd__buf_1
+XFILLER_103_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_202_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_515_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_225_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_590_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_459_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42201_ _42201_/A _42201_/B _42200_/X VGND VGND VPWR VPWR _42202_/C sky130_fd_sc_hd__nor3_2
+XFILLER_103_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73021_ _42916_/A _73020_/X VGND VGND VPWR VPWR _73021_/X sky130_fd_sc_hd__or2_2
+XPHY_24747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_328_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70233_ _42550_/A _70268_/A VGND VGND VPWR VPWR _70233_/X sky130_fd_sc_hd__xor2_2
+XPHY_24758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43181_ _43181_/A VGND VGND VPWR VPWR _46171_/B sky130_fd_sc_hd__inv_8
+XFILLER_201_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55167_ _55167_/A _55166_/X VGND VGND VPWR VPWR _55167_/X sky130_fd_sc_hd__or2_2
+XFILLER_339_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40393_ _40393_/A VGND VGND VPWR VPWR _40394_/A sky130_fd_sc_hd__buf_1
+XFILLER_455_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52379_ _52316_/X _52394_/B VGND VGND VPWR VPWR _52380_/B sky130_fd_sc_hd__or2_2
+XFILLER_194_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_68_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42132_ _42132_/A _42118_/B VGND VGND VPWR VPWR _42134_/A sky130_fd_sc_hd__nor2_2
+XPHY_14268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54118_ _77105_/Q _54118_/B VGND VGND VPWR VPWR _54118_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_120_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70164_ _70164_/A VGND VGND VPWR VPWR _70164_/X sky130_fd_sc_hd__buf_1
+XPHY_14279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59975_ _77018_/Q _59975_/B VGND VGND VPWR VPWR _59977_/B sky130_fd_sc_hd__nor2_2
+X_55098_ _70606_/A _55098_/B VGND VGND VPWR VPWR _55098_/X sky130_fd_sc_hd__or2_2
+XPHY_12800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_181_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_155_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_49_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_314_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58926_ _59160_/A VGND VGND VPWR VPWR _63177_/A sky130_fd_sc_hd__buf_1
+XFILLER_445_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46940_ _71444_/A _46932_/B VGND VGND VPWR VPWR _46942_/B sky130_fd_sc_hd__nor2_2
+X_42063_ _42054_/Y _42063_/B _42063_/C VGND VGND VPWR VPWR _79535_/D sky130_fd_sc_hd__nor3_2
+XPHY_13578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54049_ _54049_/A _54046_/B VGND VGND VPWR VPWR _54051_/A sky130_fd_sc_hd__nand2_2
+X_77760_ _77741_/CLK _77760_/D VGND VGND VPWR VPWR _61006_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_153_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74972_ _74970_/Y _74971_/X VGND VGND VPWR VPWR _74972_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_489_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_352_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70095_ _70095_/A _70299_/B _70095_/C VGND VGND VPWR VPWR _70095_/Y sky130_fd_sc_hd__nor3_2
+XPHY_13589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_712_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41014_ _41010_/A _41010_/B _69220_/A VGND VGND VPWR VPWR _41014_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_268_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_122_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76711_ _79193_/CLK _76711_/D VGND VGND VPWR VPWR _70179_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_489_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73923_ _73923_/A _73923_/B VGND VGND VPWR VPWR _73923_/X sky130_fd_sc_hd__or2_2
+XFILLER_510_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46871_ _70173_/X _46871_/B VGND VGND VPWR VPWR _46871_/X sky130_fd_sc_hd__and2_2
+XPHY_12888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58857_ _58857_/A _58858_/B _58853_/X VGND VGND VPWR VPWR _78977_/D sky130_fd_sc_hd__nor3_2
+XFILLER_79_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77691_ _77679_/CLK _51896_/Y VGND VGND VPWR VPWR _77691_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_84_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_121_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_411_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48610_ _48610_/A VGND VGND VPWR VPWR _48611_/B sky130_fd_sc_hd__buf_1
+XFILLER_587_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79430_ _79425_/CLK _42936_/Y VGND VGND VPWR VPWR _67462_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_333_1472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45822_ _79092_/Q _45816_/B VGND VGND VPWR VPWR _45822_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_682_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57808_ _57409_/A VGND VGND VPWR VPWR _57808_/X sky130_fd_sc_hd__buf_1
+XFILLER_673_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76642_ _76720_/CLK _55911_/Y VGND VGND VPWR VPWR _76642_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_295_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_122_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73854_ _73852_/X _73853_/Y VGND VGND VPWR VPWR _81075_/D sky130_fd_sc_hd__nand2_2
+Xclkbuf_9_365_0_u_core.clock clkbuf_9_365_0_u_core.clock/A VGND VGND VPWR VPWR _77714_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_368_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49590_ _49590_/A _49589_/X VGND VGND VPWR VPWR _78300_/D sky130_fd_sc_hd__nand2_2
+XFILLER_431_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58788_ _58788_/A _58788_/B _58787_/Y VGND VGND VPWR VPWR _58788_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_314_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72805_ _72803_/X _72805_/B VGND VGND VPWR VPWR _72805_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_310_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48541_ _63137_/A _48523_/B VGND VGND VPWR VPWR _48543_/A sky130_fd_sc_hd__nand2_2
+XFILLER_526_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79361_ _81285_/CLK _79361_/D VGND VGND VPWR VPWR _58324_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_276_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45753_ _70158_/X VGND VGND VPWR VPWR _45754_/A sky130_fd_sc_hd__inv_8
+XFILLER_231_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57739_ _57340_/A VGND VGND VPWR VPWR _57810_/B sky130_fd_sc_hd__buf_1
+X_76573_ VGND VGND VPWR VPWR _76573_/HI la_data_out[69] sky130_fd_sc_hd__conb_1
+XPHY_7473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42965_ _42968_/A _42968_/B _66153_/A VGND VGND VPWR VPWR _42966_/B sky130_fd_sc_hd__nand3_2
+XFILLER_268_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73785_ _73785_/A _73785_/B VGND VGND VPWR VPWR _73785_/Y sky130_fd_sc_hd__nand2_2
+XPHY_39221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70997_ _70908_/Y _70997_/B VGND VGND VPWR VPWR _70997_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_149_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_483_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78312_ _78809_/CLK _78312_/D VGND VGND VPWR VPWR _62282_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_625_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44704_ _44701_/A _44700_/X _44696_/B _44703_/Y VGND VGND VPWR VPWR _44705_/B sky130_fd_sc_hd__o22a_4
+XFILLER_526_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_48_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_76_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75524_ _75524_/A _75523_/Y VGND VGND VPWR VPWR _80667_/D sky130_fd_sc_hd__nand2_2
+XFILLER_723_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41916_ _76828_/Q VGND VGND VPWR VPWR _55214_/A sky130_fd_sc_hd__inv_8
+XPHY_39254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60750_ _60750_/A _60288_/B VGND VGND VPWR VPWR _60751_/C sky130_fd_sc_hd__nor2_2
+XFILLER_346_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48472_ _48472_/A _48471_/X VGND VGND VPWR VPWR _48472_/Y sky130_fd_sc_hd__nand2_2
+X_72736_ _72195_/A _72728_/B VGND VGND VPWR VPWR _72739_/A sky130_fd_sc_hd__or2_2
+XFILLER_504_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79292_ _79271_/CLK _79292_/D VGND VGND VPWR VPWR _43695_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_409_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45684_ _45607_/A VGND VGND VPWR VPWR _45684_/X sky130_fd_sc_hd__buf_1
+XPHY_38520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42896_ _42933_/A VGND VGND VPWR VPWR _42908_/B sky130_fd_sc_hd__buf_1
+XFILLER_79_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_2_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47423_ _48101_/C VGND VGND VPWR VPWR _59715_/A sky130_fd_sc_hd__buf_1
+XFILLER_330_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59409_ _60050_/A _59409_/B _59408_/Y VGND VGND VPWR VPWR _59409_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_166_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78243_ _78176_/CLK _78243_/D VGND VGND VPWR VPWR _62691_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_406_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44635_ _44477_/X VGND VGND VPWR VPWR _44636_/B sky130_fd_sc_hd__buf_1
+XFILLER_1_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75455_ _75455_/A _75473_/B _68684_/A VGND VGND VPWR VPWR _75455_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_598_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_229_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_422_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_299_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41847_ _41846_/Y _41796_/B VGND VGND VPWR VPWR _41852_/A sky130_fd_sc_hd__or2_2
+XPHY_38564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60681_ _60364_/A _60681_/B _60680_/Y VGND VGND VPWR VPWR _60691_/B sky130_fd_sc_hd__nor3_2
+X_72667_ _72667_/A VGND VGND VPWR VPWR _72674_/B sky130_fd_sc_hd__buf_1
+XFILLER_21_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_162_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_169_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_539_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_189_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_405_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74406_ _74406_/A _74414_/B VGND VGND VPWR VPWR _74406_/X sky130_fd_sc_hd__or2_2
+X_62420_ _62420_/A _62111_/B VGND VGND VPWR VPWR _62421_/C sky130_fd_sc_hd__nor2_2
+XFILLER_189_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_396_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47354_ _47299_/A _47452_/A VGND VGND VPWR VPWR _47354_/X sky130_fd_sc_hd__or2_2
+XFILLER_246_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71618_ _76804_/Q VGND VGND VPWR VPWR _71619_/A sky130_fd_sc_hd__inv_8
+XFILLER_147_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78174_ _78176_/CLK _50057_/Y VGND VGND VPWR VPWR _78174_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_566_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44566_ _79216_/Q _44576_/A VGND VGND VPWR VPWR _44566_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_305_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75386_ _75384_/X _75385_/Y VGND VGND VPWR VPWR _80697_/D sky130_fd_sc_hd__nand2_2
+XPHY_28085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_92_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_539_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41778_ _41768_/A _41777_/X VGND VGND VPWR VPWR _41778_/X sky130_fd_sc_hd__or2_2
+XFILLER_166_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72598_ _72170_/A _72703_/B VGND VGND VPWR VPWR _72600_/A sky130_fd_sc_hd__or2_2
+XPHY_28096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_203_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_559_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_537_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46305_ _46667_/A _46303_/Y _46305_/C VGND VGND VPWR VPWR _79019_/D sky130_fd_sc_hd__nor3_2
+XFILLER_232_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_657_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77125_ _77141_/CLK _54031_/Y VGND VGND VPWR VPWR _77125_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_338_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_2906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43517_ _43517_/A _42471_/X _43516_/Y VGND VGND VPWR VPWR _79336_/D sky130_fd_sc_hd__nor3_2
+XFILLER_207_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62351_ _61890_/A _62351_/B _62350_/Y VGND VGND VPWR VPWR _62359_/B sky130_fd_sc_hd__nor3_2
+XFILLER_43_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_204_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74337_ _74674_/A VGND VGND VPWR VPWR _74559_/A sky130_fd_sc_hd__buf_1
+X_40729_ _40724_/X _40717_/X _56996_/A VGND VGND VPWR VPWR _40730_/B sky130_fd_sc_hd__nand3_2
+XPHY_27384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47285_ _47283_/X _47285_/B VGND VGND VPWR VPWR _47422_/A sky130_fd_sc_hd__nand2_2
+XFILLER_654_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71549_ _71253_/X VGND VGND VPWR VPWR _71549_/X sky130_fd_sc_hd__buf_1
+XFILLER_598_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_554_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44497_ _44536_/A _44495_/Y _44497_/C VGND VGND VPWR VPWR _44498_/C sky130_fd_sc_hd__nor3_2
+XPHY_27395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61302_ _61302_/A _61300_/Y _61302_/C VGND VGND VPWR VPWR _61306_/B sky130_fd_sc_hd__nor3_2
+X_49024_ _49014_/X VGND VGND VPWR VPWR _49024_/X sky130_fd_sc_hd__buf_1
+XFILLER_538_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_365_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46236_ _45026_/A _46236_/B VGND VGND VPWR VPWR _46236_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_582_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65070_ _65916_/A VGND VGND VPWR VPWR _65787_/A sky130_fd_sc_hd__buf_1
+X_77056_ _76907_/CLK _54301_/Y VGND VGND VPWR VPWR _77056_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_199_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43448_ _43466_/A VGND VGND VPWR VPWR _43463_/A sky130_fd_sc_hd__buf_1
+XFILLER_572_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74268_ _74274_/A _74265_/B _74268_/C VGND VGND VPWR VPWR _74268_/Y sky130_fd_sc_hd__nand3_2
+XPHY_16160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62282_ _62282_/A _61662_/B VGND VGND VPWR VPWR _62282_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_576_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_340_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_203_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76007_ _76007_/A _76007_/B VGND VGND VPWR VPWR _76010_/A sky130_fd_sc_hd__or2_2
+XFILLER_635_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64021_ _64021_/A _64021_/B _64020_/Y VGND VGND VPWR VPWR _64021_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_121_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61233_ _51347_/A _61233_/B VGND VGND VPWR VPWR _61233_/Y sky130_fd_sc_hd__nor2_2
+XPHY_25982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73219_ _75028_/A _73219_/B VGND VGND VPWR VPWR _73219_/X sky130_fd_sc_hd__or2_2
+XFILLER_652_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46167_ _45250_/A _43175_/Y VGND VGND VPWR VPWR _46168_/C sky130_fd_sc_hd__nor2_2
+XFILLER_103_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43379_ _43373_/X _43366_/X _69074_/A VGND VGND VPWR VPWR _43380_/B sky130_fd_sc_hd__nand3_2
+XFILLER_723_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74199_ _74181_/A VGND VGND VPWR VPWR _74203_/B sky130_fd_sc_hd__buf_1
+XFILLER_294_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_275_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_550_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_534_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45118_ _45207_/A _45118_/B VGND VGND VPWR VPWR _45118_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_631_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61164_ _61164_/A _60544_/B VGND VGND VPWR VPWR _61164_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_613_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46098_ _43047_/Y _46754_/A VGND VGND VPWR VPWR _46098_/X sky130_fd_sc_hd__or2_2
+XFILLER_176_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_648_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_236_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60115_ _60115_/A _59633_/X VGND VGND VPWR VPWR _60115_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_12_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_305_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49926_ _62376_/A _49929_/B VGND VGND VPWR VPWR _49926_/Y sky130_fd_sc_hd__nand2_2
+X_45049_ _44933_/A _45048_/Y VGND VGND VPWR VPWR _45050_/B sky130_fd_sc_hd__nor2_2
+XFILLER_173_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68760_ _68760_/A _68759_/Y VGND VGND VPWR VPWR _68761_/B sky130_fd_sc_hd__nor2_2
+XFILLER_299_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_316_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61095_ _61095_/A _61093_/Y _61095_/C VGND VGND VPWR VPWR _61095_/Y sky130_fd_sc_hd__nor3_2
+X_65972_ _65486_/A _65970_/Y _65971_/Y VGND VGND VPWR VPWR _65972_/Y sky130_fd_sc_hd__nor3_2
+XPHY_32181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77958_ _77956_/CLK _50875_/Y VGND VGND VPWR VPWR _50872_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_275_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_360_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67711_ _80867_/Q _67711_/B VGND VGND VPWR VPWR _67712_/C sky130_fd_sc_hd__nor2_2
+XFILLER_503_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60046_ _60046_/A _60046_/B _60046_/C VGND VGND VPWR VPWR _60050_/B sky130_fd_sc_hd__nor3_2
+X_64923_ _64923_/A _64923_/B VGND VGND VPWR VPWR _64923_/Y sky130_fd_sc_hd__nor2_2
+X_76909_ _76911_/CLK _76909_/D VGND VGND VPWR VPWR _58953_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_370_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_316_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49857_ _49857_/A VGND VGND VPWR VPWR _49858_/B sky130_fd_sc_hd__buf_1
+XFILLER_119_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68691_ _67044_/A VGND VGND VPWR VPWR _68694_/A sky130_fd_sc_hd__buf_1
+XPHY_31480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_648_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_291_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77889_ _77891_/CLK _77889_/D VGND VGND VPWR VPWR _62415_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_132_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_487_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39610_ _39247_/A _39498_/A VGND VGND VPWR VPWR _39612_/A sky130_fd_sc_hd__or2_2
+XFILLER_234_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_86_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48808_ _48806_/Y _48807_/X VGND VGND VPWR VPWR _78499_/D sky130_fd_sc_hd__nand2_2
+X_67642_ _67620_/Y _67642_/B VGND VGND VPWR VPWR _67642_/Y sky130_fd_sc_hd__nor2_2
+X_79628_ _79599_/CLK _79628_/D VGND VGND VPWR VPWR _69217_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_286_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64854_ _44663_/A _64082_/B VGND VGND VPWR VPWR _65022_/A sky130_fd_sc_hd__nor2_2
+XFILLER_312_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49788_ _49788_/A _49788_/B VGND VGND VPWR VPWR _49788_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_269_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_288_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_224_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_484_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_80_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39541_ _39539_/X _39540_/Y VGND VGND VPWR VPWR _80099_/D sky130_fd_sc_hd__nand2_2
+XFILLER_446_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63805_ _63805_/A _59267_/A VGND VGND VPWR VPWR _63805_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_214_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_45_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48739_ _63836_/A _48742_/B VGND VGND VPWR VPWR _48741_/A sky130_fd_sc_hd__nand2_2
+XFILLER_239_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67573_ _68229_/A _67573_/B _67572_/Y VGND VGND VPWR VPWR _67577_/B sky130_fd_sc_hd__nor3_2
+XFILLER_466_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79559_ _79559_/CLK _41802_/Y _41787_/X VGND VGND VPWR VPWR _46646_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_691_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_617_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64785_ _64270_/A VGND VGND VPWR VPWR _64788_/A sky130_fd_sc_hd__buf_1
+XFILLER_269_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_78_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61997_ _59338_/A VGND VGND VPWR VPWR _61997_/X sky130_fd_sc_hd__buf_1
+XFILLER_329_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_347_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_132_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69312_ _76351_/C _69312_/B VGND VGND VPWR VPWR _69313_/C sky130_fd_sc_hd__nor2_2
+XFILLER_58_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66524_ _66686_/A _66524_/B _66523_/Y VGND VGND VPWR VPWR _66525_/C sky130_fd_sc_hd__nor3_2
+XFILLER_505_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51750_ _51835_/A _51753_/B VGND VGND VPWR VPWR _51751_/B sky130_fd_sc_hd__or2_2
+XFILLER_427_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39472_ _39460_/X _39472_/B _65533_/A VGND VGND VPWR VPWR _39473_/B sky130_fd_sc_hd__nand3_2
+XFILLER_407_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63736_ _59181_/A _63735_/Y VGND VGND VPWR VPWR _63736_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_149_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60948_ _77368_/Q _60783_/B VGND VGND VPWR VPWR _60948_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_282_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50701_ _50697_/Y _50701_/B VGND VGND VPWR VPWR _78004_/D sky130_fd_sc_hd__nand2_2
+X_38423_ _76169_/A _38420_/B VGND VGND VPWR VPWR _38426_/A sky130_fd_sc_hd__or2_2
+XFILLER_521_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69243_ _69227_/Y _69243_/B VGND VGND VPWR VPWR _69243_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_470_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66455_ _66125_/A _66454_/Y VGND VGND VPWR VPWR _66455_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_659_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51681_ _77747_/Q _51676_/X VGND VGND VPWR VPWR _51683_/A sky130_fd_sc_hd__nand2_2
+XPHY_3409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63667_ _63228_/A _63667_/B _63666_/Y VGND VGND VPWR VPWR _63668_/C sky130_fd_sc_hd__nor3_2
+XFILLER_403_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_110_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60879_ _59260_/A VGND VGND VPWR VPWR _60884_/A sky130_fd_sc_hd__buf_1
+XFILLER_408_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_525_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_655_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53420_ _77289_/Q _53413_/B VGND VGND VPWR VPWR _53420_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_282_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65406_ _80469_/Q _65406_/B VGND VGND VPWR VPWR _65408_/B sky130_fd_sc_hd__nor2_2
+XFILLER_78_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38354_ _38235_/A _38460_/B VGND VGND VPWR VPWR _38354_/X sky130_fd_sc_hd__or2_2
+X_50632_ _61874_/A _50635_/B VGND VGND VPWR VPWR _50634_/A sky130_fd_sc_hd__nand2_2
+XFILLER_241_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62618_ _61993_/X _62616_/Y _62617_/Y VGND VGND VPWR VPWR _62618_/Y sky130_fd_sc_hd__nor3_2
+X_69174_ _69174_/A _68556_/B VGND VGND VPWR VPWR _69175_/C sky130_fd_sc_hd__nor2_2
+X_81452_ _81454_/CLK _81452_/D VGND VGND VPWR VPWR _69203_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_74_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66386_ _66386_/A VGND VGND VPWR VPWR _66880_/B sky130_fd_sc_hd__buf_1
+XFILLER_362_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_342_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_522_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_599_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63598_ _63991_/A _63596_/Y _63597_/Y VGND VGND VPWR VPWR _63598_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_569_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_50_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_694_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80403_ _80375_/CLK _80403_/D VGND VGND VPWR VPWR _80403_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_577_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_557_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_538_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68125_ _68125_/A _67628_/X VGND VGND VPWR VPWR _68126_/C sky130_fd_sc_hd__nor2_2
+XFILLER_499_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_479_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53351_ _53351_/A _53278_/X VGND VGND VPWR VPWR _53354_/A sky130_fd_sc_hd__or2_2
+XFILLER_282_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65337_ _65337_/A _64987_/X VGND VGND VPWR VPWR _65337_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_168_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_573_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50563_ _50861_/A VGND VGND VPWR VPWR _50652_/A sky130_fd_sc_hd__buf_1
+X_38285_ _38285_/A VGND VGND VPWR VPWR _38293_/A sky130_fd_sc_hd__buf_1
+XFILLER_620_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62549_ _58991_/X VGND VGND VPWR VPWR _62864_/A sky130_fd_sc_hd__buf_1
+X_81383_ _81352_/CLK _81383_/D VGND VGND VPWR VPWR _68419_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_356_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_584_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_50_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_178_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_241_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52302_ _60637_/A _52305_/B VGND VGND VPWR VPWR _52304_/A sky130_fd_sc_hd__nand2_2
+XFILLER_13_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_10_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80334_ _80332_/CLK _80334_/D VGND VGND VPWR VPWR _38626_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_440_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56070_ _56091_/A _55496_/B VGND VGND VPWR VPWR _56074_/B sky130_fd_sc_hd__nor2_2
+X_68056_ _68056_/A _67733_/B VGND VGND VPWR VPWR _68057_/C sky130_fd_sc_hd__nor2_2
+XFILLER_395_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53282_ _53280_/A VGND VGND VPWR VPWR _53298_/B sky130_fd_sc_hd__buf_1
+XFILLER_241_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65268_ _65081_/A _65268_/B _65267_/Y VGND VGND VPWR VPWR _65272_/B sky130_fd_sc_hd__nor3_2
+XFILLER_108_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_594_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50494_ _50494_/A _50494_/B VGND VGND VPWR VPWR _78060_/D sky130_fd_sc_hd__nand2_2
+XFILLER_195_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_526_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_358_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_590_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_519_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55021_ _55021_/A _55020_/X VGND VGND VPWR VPWR _55021_/Y sky130_fd_sc_hd__nand2_2
+X_67007_ _80094_/Q _66352_/X VGND VGND VPWR VPWR _67007_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_33_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52233_ _52167_/A _52245_/B VGND VGND VPWR VPWR _52234_/B sky130_fd_sc_hd__or2_2
+XFILLER_715_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64219_ _81167_/Q _64175_/B VGND VGND VPWR VPWR _64221_/B sky130_fd_sc_hd__nor2_2
+XFILLER_473_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80265_ _80238_/CLK _38888_/Y VGND VGND VPWR VPWR _80265_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_325_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65199_ _65021_/A _65199_/B _65199_/C VGND VGND VPWR VPWR _65200_/D sky130_fd_sc_hd__nor3_2
+XFILLER_378_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_258_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_163_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_536_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_317_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_163_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52164_ _51410_/A VGND VGND VPWR VPWR _52767_/A sky130_fd_sc_hd__buf_1
+XFILLER_438_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_588_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80196_ _80195_/CLK _80196_/D VGND VGND VPWR VPWR _68011_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_351_221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_276_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_258_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_200_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_219_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_324_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51115_ _62887_/A _51119_/B VGND VGND VPWR VPWR _51118_/A sky130_fd_sc_hd__nand2_2
+XFILLER_118_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_352_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_1_0_0_u_core.clock clkbuf_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_1_0_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_265_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59760_ _77352_/Q _59595_/B VGND VGND VPWR VPWR _59762_/B sky130_fd_sc_hd__nor2_2
+XFILLER_135_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_117_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52095_ _61606_/A _52102_/B VGND VGND VPWR VPWR _52098_/A sky130_fd_sc_hd__nand2_2
+X_56972_ _56648_/A _56970_/Y _56971_/Y VGND VGND VPWR VPWR _56972_/Y sky130_fd_sc_hd__nor3_2
+X_68958_ _68958_/A VGND VGND VPWR VPWR _69516_/B sky130_fd_sc_hd__buf_1
+XPHY_21929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_219_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_497_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_270_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_85_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_232_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58711_ _58703_/Y _58711_/B VGND VGND VPWR VPWR _58711_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_486_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51046_ _51015_/A _51049_/B VGND VGND VPWR VPWR _51047_/B sky130_fd_sc_hd__or2_2
+X_55923_ _42510_/X _55922_/Y _55923_/C _42444_/A VGND VGND VPWR VPWR _55927_/B sky130_fd_sc_hd__or4_2
+XFILLER_172_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67909_ _67909_/A _68396_/B VGND VGND VPWR VPWR _67910_/C sky130_fd_sc_hd__nor2_2
+XFILLER_150_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_330_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59691_ _59078_/X VGND VGND VPWR VPWR _59851_/B sky130_fd_sc_hd__buf_1
+XFILLER_252_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_81_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68889_ _65388_/A VGND VGND VPWR VPWR _69180_/B sky130_fd_sc_hd__buf_1
+XFILLER_521_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_710_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_3071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_410_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_7_63_0_u_core.clock clkbuf_7_63_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_63_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_8_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_628_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39808_ _39174_/A VGND VGND VPWR VPWR _39947_/A sky130_fd_sc_hd__buf_1
+X_70920_ _70919_/Y _70598_/X VGND VGND VPWR VPWR _70928_/A sky130_fd_sc_hd__nor2_2
+XFILLER_150_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58642_ _58709_/A _58640_/Y _58641_/Y VGND VGND VPWR VPWR _58643_/C sky130_fd_sc_hd__nor3_2
+XFILLER_311_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_189_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55854_ _44973_/Y _55853_/Y VGND VGND VPWR VPWR _55855_/B sky130_fd_sc_hd__nor2_2
+XFILLER_569_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_445_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_466_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_412_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54805_ _54805_/A _54804_/X VGND VGND VPWR VPWR _76920_/D sky130_fd_sc_hd__nand2_2
+XFILLER_267_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_670_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39739_ _39727_/A _39738_/X _39739_/C VGND VGND VPWR VPWR _39740_/B sky130_fd_sc_hd__nand3_2
+XFILLER_582_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58573_ _79754_/Q _58707_/B VGND VGND VPWR VPWR _58573_/Y sky130_fd_sc_hd__nor2_2
+X_70851_ _70620_/X _70621_/Y VGND VGND VPWR VPWR _70851_/X sky130_fd_sc_hd__or2_2
+XFILLER_699_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55785_ _55783_/Y _55785_/B VGND VGND VPWR VPWR _76777_/D sky130_fd_sc_hd__nand2_2
+XPHY_6035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_493_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52997_ _53022_/B VGND VGND VPWR VPWR _52997_/X sky130_fd_sc_hd__buf_1
+XFILLER_654_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_480_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_720_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_287_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_58_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57524_ _57203_/X _57522_/Y _57523_/Y VGND VGND VPWR VPWR _57524_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_363_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54736_ _76939_/Q _54731_/X VGND VGND VPWR VPWR _54736_/Y sky130_fd_sc_hd__nand2_2
+X_42750_ _42461_/A VGND VGND VPWR VPWR _42750_/X sky130_fd_sc_hd__buf_1
+X_73570_ _73811_/A _73560_/B VGND VGND VPWR VPWR _73572_/A sky130_fd_sc_hd__or2_2
+XFILLER_311_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51948_ _51892_/A _51948_/B VGND VGND VPWR VPWR _51949_/B sky130_fd_sc_hd__or2_2
+XFILLER_72_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70782_ _70782_/A _70815_/B VGND VGND VPWR VPWR _70784_/B sky130_fd_sc_hd__nor2_2
+XFILLER_18_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_131_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_502 _65740_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_540_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_407_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_150_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41701_ _41699_/Y _41698_/B _41700_/X VGND VGND VPWR VPWR _41702_/C sky130_fd_sc_hd__nor3_2
+XPHY_37104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72521_ _72509_/X _72521_/B _67423_/A VGND VGND VPWR VPWR _72521_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_385_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_287_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_513 _65452_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_79_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57455_ _57455_/A _57213_/B VGND VGND VPWR VPWR _57455_/Y sky130_fd_sc_hd__nor2_2
+XPHY_37115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42681_ _55192_/A _42650_/B VGND VGND VPWR VPWR _42681_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_699_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_543_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54667_ _54667_/A VGND VGND VPWR VPWR _54682_/B sky130_fd_sc_hd__buf_1
+XFILLER_57_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_524 _65376_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_37126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51879_ _51879_/A _51879_/B VGND VGND VPWR VPWR _51879_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_535 _67695_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_37137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_546 _68681_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44420_ _44468_/A _44424_/C VGND VGND VPWR VPWR _44420_/X sky130_fd_sc_hd__and2_2
+XPHY_4666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56406_ _64273_/A _56406_/B VGND VGND VPWR VPWR _56407_/C sky130_fd_sc_hd__nor2_2
+XFILLER_693_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75240_ _75238_/X _75239_/Y VGND VGND VPWR VPWR _75240_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_246_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_557 ANTENNA_557/DIODE VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_41632_ la_oen[126] VGND VGND VPWR VPWR _41634_/B sky130_fd_sc_hd__inv_8
+XPHY_36414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53618_ _53618_/A VGND VGND VPWR VPWR _53642_/B sky130_fd_sc_hd__buf_1
+XFILLER_443_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72452_ _72452_/A _72452_/B VGND VGND VPWR VPWR _81427_/D sky130_fd_sc_hd__nand2_2
+XFILLER_363_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_548_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57386_ _57386_/A _57384_/Y _57385_/Y VGND VGND VPWR VPWR _57390_/B sky130_fd_sc_hd__nor3_2
+XFILLER_183_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54598_ _54655_/A _54601_/B VGND VGND VPWR VPWR _54599_/B sky130_fd_sc_hd__or2_2
+XFILLER_14_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_208_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59125_ _60025_/A VGND VGND VPWR VPWR _59549_/B sky130_fd_sc_hd__buf_1
+XFILLER_403_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71403_ _71403_/A VGND VGND VPWR VPWR _72215_/A sky130_fd_sc_hd__inv_8
+XFILLER_619_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_461_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_25_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56337_ _58609_/A _56333_/Y _56336_/Y VGND VGND VPWR VPWR _56338_/C sky130_fd_sc_hd__nor3_2
+X_44351_ _79216_/Q VGND VGND VPWR VPWR _44364_/A sky130_fd_sc_hd__inv_8
+XPHY_3976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_657_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75171_ _75169_/X _75171_/B VGND VGND VPWR VPWR _80754_/D sky130_fd_sc_hd__nand2_2
+XFILLER_14_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_224_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41563_ _41563_/A _41562_/Y VGND VGND VPWR VPWR _79586_/D sky130_fd_sc_hd__nand2_2
+XFILLER_70_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_709_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53549_ _53521_/A _53549_/B VGND VGND VPWR VPWR _53550_/B sky130_fd_sc_hd__or2_2
+X_72383_ _72381_/X _72382_/Y VGND VGND VPWR VPWR _81446_/D sky130_fd_sc_hd__nand2_2
+XFILLER_347_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_220_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_400_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_161_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_458_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_43_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43302_ _43302_/A _43302_/B VGND VGND VPWR VPWR _79386_/D sky130_fd_sc_hd__nand2_2
+X_74122_ _74253_/A _74127_/B VGND VGND VPWR VPWR _74125_/A sky130_fd_sc_hd__or2_2
+Xclkbuf_9_155_0_u_core.clock clkbuf_8_77_0_u_core.clock/X VGND VGND VPWR VPWR _79271_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_35_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_595_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40514_ _40514_/A _40514_/B VGND VGND VPWR VPWR _40517_/A sky130_fd_sc_hd__or2_2
+XFILLER_40_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47070_ _47048_/A _47069_/X VGND VGND VPWR VPWR _47074_/A sky130_fd_sc_hd__or2_2
+XFILLER_693_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59056_ _59682_/A _59052_/Y _59055_/Y VGND VGND VPWR VPWR _59056_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_51_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71334_ _70906_/C _71332_/X VGND VGND VPWR VPWR _71335_/C sky130_fd_sc_hd__nor2_2
+XFILLER_591_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44282_ _44276_/B _44281_/X VGND VGND VPWR VPWR _44284_/B sky130_fd_sc_hd__nor2_2
+XFILLER_35_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56268_ _56239_/Y VGND VGND VPWR VPWR _57084_/A sky130_fd_sc_hd__buf_1
+XFILLER_478_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41494_ _41503_/A _41503_/B _65300_/A VGND VGND VPWR VPWR _41495_/B sky130_fd_sc_hd__nand3_2
+XFILLER_13_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_159_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_519_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_122_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_43_0_u_core.clock clkbuf_8_42_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_87_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_300_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46021_ _46962_/A VGND VGND VPWR VPWR _46836_/A sky130_fd_sc_hd__buf_1
+XFILLER_671_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58007_ _58169_/A _58007_/B _58007_/C VGND VGND VPWR VPWR _58007_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_100_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_177_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43233_ _43227_/X _43233_/B _43232_/Y VGND VGND VPWR VPWR _79404_/D sky130_fd_sc_hd__nor3_2
+X_55219_ _55216_/X _55219_/B VGND VGND VPWR VPWR _76827_/D sky130_fd_sc_hd__or2_2
+XPHY_14010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74053_ _74053_/A _74052_/Y VGND VGND VPWR VPWR _74053_/Y sky130_fd_sc_hd__nand2_2
+X_78930_ _78931_/CLK _78930_/D VGND VGND VPWR VPWR _71413_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_535_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40445_ _76150_/A VGND VGND VPWR VPWR _40445_/X sky130_fd_sc_hd__buf_1
+XFILLER_272_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71265_ _71436_/A VGND VGND VPWR VPWR _71376_/B sky130_fd_sc_hd__buf_1
+XFILLER_159_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56199_ _56199_/A VGND VGND VPWR VPWR _56200_/A sky130_fd_sc_hd__buf_1
+XPHY_24555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_673_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_590_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_122_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73004_ _69566_/B VGND VGND VPWR VPWR _73005_/A sky130_fd_sc_hd__buf_1
+XPHY_24577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70216_ _70206_/A _70021_/X VGND VGND VPWR VPWR _70217_/B sky130_fd_sc_hd__nand2_2
+XPHY_14054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_550_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43164_ _43134_/Y _43164_/B VGND VGND VPWR VPWR _43164_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_617_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78861_ _78405_/CLK _47356_/Y VGND VGND VPWR VPWR _63055_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40376_ _40338_/A VGND VGND VPWR VPWR _40377_/A sky130_fd_sc_hd__buf_1
+XPHY_23854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71196_ _71190_/X _71196_/B _71193_/Y _71195_/Y VGND VGND VPWR VPWR _71196_/X sky130_fd_sc_hd__or4_2
+XPHY_13331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_343_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_276_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42115_ _42110_/Y _42115_/B _42109_/C VGND VGND VPWR VPWR _79522_/D sky130_fd_sc_hd__nor3_2
+XPHY_14098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_99_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77812_ _77769_/CLK _77812_/D VGND VGND VPWR VPWR _77812_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_175_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70147_ _71118_/A VGND VGND VPWR VPWR _70147_/Y sky130_fd_sc_hd__inv_8
+XFILLER_181_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47972_ _47855_/A _47972_/B VGND VGND VPWR VPWR _47972_/X sky130_fd_sc_hd__or2_2
+XPHY_23898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43095_ _43094_/X VGND VGND VPWR VPWR _43095_/X sky130_fd_sc_hd__buf_1
+XFILLER_177_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_237_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_434_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59958_ _77330_/Q _59648_/B VGND VGND VPWR VPWR _59959_/C sky130_fd_sc_hd__nor2_2
+XPHY_12630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78792_ _78794_/CLK _47648_/Y VGND VGND VPWR VPWR _63511_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_218_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_343_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_430_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_417_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_415_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_370_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49711_ _49711_/A _49732_/B VGND VGND VPWR VPWR _49711_/X sky130_fd_sc_hd__or2_2
+XFILLER_218_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42046_ _42043_/Y _42045_/Y _41971_/A VGND VGND VPWR VPWR _42046_/Y sky130_fd_sc_hd__nor3_2
+X_46923_ _71384_/A _46887_/B VGND VGND VPWR VPWR _46926_/B sky130_fd_sc_hd__nor2_2
+XPHY_12663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58909_ _59099_/A _58909_/B _58908_/Y VGND VGND VPWR VPWR _58910_/C sky130_fd_sc_hd__nor3_2
+XFILLER_253_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77743_ _77741_/CLK _51696_/Y VGND VGND VPWR VPWR _77743_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_30031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74955_ _74965_/A _74952_/B _69019_/A VGND VGND VPWR VPWR _74959_/A sky130_fd_sc_hd__nand3_2
+X_70078_ _72079_/A _70077_/X VGND VGND VPWR VPWR _70078_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59889_ _59889_/A VGND VGND VPWR VPWR _60214_/B sky130_fd_sc_hd__buf_1
+XFILLER_430_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_68_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_528_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_95_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61920_ _61920_/A _61916_/Y _61920_/C VGND VGND VPWR VPWR _61921_/C sky130_fd_sc_hd__nor3_2
+X_73906_ _73904_/X _73905_/Y VGND VGND VPWR VPWR _73906_/Y sky130_fd_sc_hd__nand2_2
+X_49642_ _49642_/A _49641_/X VGND VGND VPWR VPWR _49642_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_643_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_685_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46854_ _46836_/X _71149_/Y _71067_/Y _46846_/X VGND VGND VPWR VPWR _46855_/B sky130_fd_sc_hd__o22a_4
+XFILLER_472_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77674_ _77613_/CLK _77674_/D VGND VGND VPWR VPWR _51953_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74886_ _74884_/X _74885_/Y VGND VGND VPWR VPWR _80830_/D sky130_fd_sc_hd__nand2_2
+XFILLER_368_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_96_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_587_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79413_ _80046_/CLK _79413_/D VGND VGND VPWR VPWR _64642_/A sky130_fd_sc_hd__dfxtp_4
+X_45805_ _45684_/X _45805_/B _45805_/C VGND VGND VPWR VPWR _79095_/D sky130_fd_sc_hd__nor3_2
+XFILLER_682_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76625_ VGND VGND VPWR VPWR _76625_/HI la_data_out[121] sky130_fd_sc_hd__conb_1
+XFILLER_237_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61851_ _61851_/A _61850_/X VGND VGND VPWR VPWR _61851_/Y sky130_fd_sc_hd__nor2_2
+X_49573_ _62267_/A _49573_/B VGND VGND VPWR VPWR _49573_/Y sky130_fd_sc_hd__nand2_2
+X_73837_ _73762_/A VGND VGND VPWR VPWR _73845_/B sky130_fd_sc_hd__buf_1
+XFILLER_673_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46785_ _46711_/B VGND VGND VPWR VPWR _46786_/B sky130_fd_sc_hd__inv_8
+XFILLER_706_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43997_ _43996_/Y VGND VGND VPWR VPWR _44243_/A sky130_fd_sc_hd__inv_8
+XPHY_7270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_565_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_168_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_3054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60802_ _63145_/A VGND VGND VPWR VPWR _60845_/A sky130_fd_sc_hd__buf_1
+XFILLER_23_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48524_ _48524_/A _48523_/X VGND VGND VPWR VPWR _48524_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_608_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_548_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79344_ _79589_/CLK _79344_/D VGND VGND VPWR VPWR _56970_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_184_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45736_ _70505_/X VGND VGND VPWR VPWR _45736_/Y sky130_fd_sc_hd__inv_8
+XFILLER_471_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64570_ _64298_/A VGND VGND VPWR VPWR _64570_/X sky130_fd_sc_hd__buf_1
+XFILLER_326_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76556_ VGND VGND VPWR VPWR _76556_/HI la_data_out[52] sky130_fd_sc_hd__conb_1
+XFILLER_580_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42948_ _42948_/A _42948_/B VGND VGND VPWR VPWR _42948_/X sky130_fd_sc_hd__or2_2
+X_73768_ _73776_/A _73756_/B _81098_/Q VGND VGND VPWR VPWR _73768_/Y sky130_fd_sc_hd__nand3_2
+X_61782_ _61782_/A _61782_/B _61781_/Y VGND VGND VPWR VPWR _61783_/C sky130_fd_sc_hd__nor3_2
+XFILLER_409_2052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_23_0_u_core.clock clkbuf_9_23_0_u_core.clock/A VGND VGND VPWR VPWR _78619_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_75_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_599_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_522_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_608_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_110_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_425_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75507_ _75204_/A VGND VGND VPWR VPWR _75508_/A sky130_fd_sc_hd__buf_1
+XFILLER_94_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63521_ _60396_/X VGND VGND VPWR VPWR _63521_/X sky130_fd_sc_hd__buf_1
+XFILLER_248_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_559_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_452_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60733_ _60733_/A _60733_/B _60733_/C VGND VGND VPWR VPWR _60733_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_64_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48455_ _78588_/Q _48455_/B VGND VGND VPWR VPWR _48455_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_247_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72719_ _72719_/A _72718_/Y VGND VGND VPWR VPWR _81357_/D sky130_fd_sc_hd__nand2_2
+XFILLER_444_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79275_ _79216_/CLK _79275_/D VGND VGND VPWR VPWR _79275_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_642_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45667_ _45660_/X VGND VGND VPWR VPWR _45667_/X sky130_fd_sc_hd__buf_1
+XFILLER_127_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_40_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76487_ VGND VGND VPWR VPWR _76487_/HI io_out[9] sky130_fd_sc_hd__conb_1
+XFILLER_504_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42879_ _42871_/X _42877_/Y VGND VGND VPWR VPWR _42880_/C sky130_fd_sc_hd__and2_2
+XFILLER_248_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73699_ _73689_/A _73688_/X _66574_/A VGND VGND VPWR VPWR _73699_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_90_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_244_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_405_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_264_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47406_ _47443_/A _47399_/X VGND VGND VPWR VPWR _47406_/X sky130_fd_sc_hd__or2_2
+X_66240_ _66240_/A _66902_/B VGND VGND VPWR VPWR _66240_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_252_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78226_ _78699_/CLK _78226_/D VGND VGND VPWR VPWR _62532_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_320_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44618_ _44618_/A VGND VGND VPWR VPWR _44620_/A sky130_fd_sc_hd__inv_8
+XFILLER_223_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63452_ _63445_/X _63448_/Y _63451_/Y VGND VGND VPWR VPWR _63463_/B sky130_fd_sc_hd__nor3_2
+XFILLER_700_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75438_ _75438_/A _75438_/B VGND VGND VPWR VPWR _75438_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_409_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60664_ _61445_/A VGND VGND VPWR VPWR _60665_/B sky130_fd_sc_hd__buf_1
+X_48386_ _48386_/A _48385_/X VGND VGND VPWR VPWR _78607_/D sky130_fd_sc_hd__nand2_2
+XFILLER_695_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_203_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45598_ _71564_/A _45609_/B VGND VGND VPWR VPWR _45598_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_500_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62403_ _62251_/A _62403_/B _62402_/Y VGND VGND VPWR VPWR _62403_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_299_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_676_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47337_ _49331_/A VGND VGND VPWR VPWR _47337_/X sky130_fd_sc_hd__buf_1
+X_78157_ _78708_/CLK _50125_/Y VGND VGND VPWR VPWR _78157_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_402_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66171_ _66171_/A _66171_/B _66170_/Y VGND VGND VPWR VPWR _66182_/B sky130_fd_sc_hd__nor3_2
+XFILLER_18_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44549_ _44549_/A _44557_/A VGND VGND VPWR VPWR _44549_/Y sky130_fd_sc_hd__nor2_2
+XPHY_27170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63383_ _63228_/A _63383_/B _63383_/C VGND VGND VPWR VPWR _63383_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_242_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75369_ _75249_/A _75366_/B VGND VGND VPWR VPWR _75369_/X sky130_fd_sc_hd__or2_2
+XFILLER_555_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60595_ _60577_/X _60584_/Y _60595_/C VGND VGND VPWR VPWR _60615_/A sky130_fd_sc_hd__nor3_2
+XFILLER_324_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_393_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_125_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_143_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_716_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65122_ _68434_/A VGND VGND VPWR VPWR _65123_/B sky130_fd_sc_hd__buf_1
+XFILLER_650_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77108_ _76907_/CLK _77108_/D VGND VGND VPWR VPWR _77108_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_556_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_377_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62334_ _62334_/A _62813_/B VGND VGND VPWR VPWR _62334_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47268_ _47268_/A VGND VGND VPWR VPWR _47857_/A sky130_fd_sc_hd__buf_1
+XFILLER_140_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_203_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78088_ _78092_/CLK _50387_/Y VGND VGND VPWR VPWR _62199_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_492_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_554_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_654_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_615_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_379_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49007_ _78446_/Q _48989_/B VGND VGND VPWR VPWR _49007_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_650_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_31_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46219_ _46218_/A _46219_/B _46197_/X VGND VGND VPWR VPWR _46219_/Y sky130_fd_sc_hd__nor3_2
+X_65053_ _65053_/A _65052_/X VGND VGND VPWR VPWR _65054_/C sky130_fd_sc_hd__nor2_2
+XFILLER_392_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69930_ _69920_/A VGND VGND VPWR VPWR _70081_/A sky130_fd_sc_hd__inv_8
+XFILLER_717_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77039_ _77082_/CLK _54361_/Y VGND VGND VPWR VPWR _77039_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_590_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62265_ _62112_/A _62263_/Y _62264_/Y VGND VGND VPWR VPWR _62265_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_125_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47199_ _47167_/B VGND VGND VPWR VPWR _47200_/C sky130_fd_sc_hd__buf_1
+XFILLER_145_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_713_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_670_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64004_ _78748_/Q _64004_/B VGND VGND VPWR VPWR _64004_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_394_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_129_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80050_ _80073_/CLK _39722_/Y VGND VGND VPWR VPWR _80050_/Q sky130_fd_sc_hd__dfxtp_4
+X_61216_ _63177_/A VGND VGND VPWR VPWR _61216_/X sky130_fd_sc_hd__buf_1
+XFILLER_650_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_258_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69861_ _71141_/A VGND VGND VPWR VPWR _69861_/X sky130_fd_sc_hd__buf_1
+XFILLER_336_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_334_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62196_ _61877_/X _62194_/Y _62196_/C VGND VGND VPWR VPWR _62196_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_689_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_306_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_220_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_723_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_452_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68812_ _68812_/A _68478_/B VGND VGND VPWR VPWR _68812_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_201_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_117_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61147_ _60994_/A _61147_/B _61146_/Y VGND VGND VPWR VPWR _61155_/B sky130_fd_sc_hd__nor3_2
+XFILLER_322_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_353_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38972_ _38963_/A _38959_/X _38972_/C VGND VGND VPWR VPWR _38972_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_173_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69792_ _69792_/A _69789_/Y _69791_/Y VGND VGND VPWR VPWR _69792_/X sky130_fd_sc_hd__or3_2
+XFILLER_431_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_696_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_649_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_236_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49909_ _78213_/Q _49906_/B VGND VGND VPWR VPWR _49909_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_451_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_1377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68743_ _65249_/A VGND VGND VPWR VPWR _69336_/B sky130_fd_sc_hd__buf_1
+XFILLER_511_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65955_ _65955_/A _65955_/B _65954_/Y VGND VGND VPWR VPWR _66036_/B sky130_fd_sc_hd__nor3_2
+XFILLER_609_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61078_ _61078_/A _60756_/B VGND VGND VPWR VPWR _61080_/B sky130_fd_sc_hd__nor2_2
+XFILLER_173_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_113_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_9_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_45_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52920_ _61079_/A _52924_/B VGND VGND VPWR VPWR _52923_/A sky130_fd_sc_hd__nand2_2
+XFILLER_98_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60029_ _59392_/A _60029_/B _60028_/Y VGND VGND VPWR VPWR _60052_/A sky130_fd_sc_hd__nor3_2
+X_64906_ _74651_/C _64750_/B VGND VGND VPWR VPWR _64908_/B sky130_fd_sc_hd__nor2_2
+XFILLER_683_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80952_ _80884_/CLK _80952_/D VGND VGND VPWR VPWR _80952_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_382_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68674_ _69138_/A _68674_/B _68674_/C VGND VGND VPWR VPWR _68674_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_259_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_370_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_476_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65886_ _65886_/A _65886_/B VGND VGND VPWR VPWR _65886_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_511_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_331_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_110_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67625_ _67454_/X _67622_/Y _67624_/Y VGND VGND VPWR VPWR _67625_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_347_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52851_ _52880_/A _52866_/B VGND VGND VPWR VPWR _52852_/B sky130_fd_sc_hd__or2_2
+XFILLER_475_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64837_ _64389_/X _64837_/B _64836_/Y VGND VGND VPWR VPWR _64837_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_446_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_60_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80883_ _80969_/CLK _74648_/Y VGND VGND VPWR VPWR _80883_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_429_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51802_ _51712_/A _51817_/B VGND VGND VPWR VPWR _51802_/X sky130_fd_sc_hd__or2_2
+XFILLER_464_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39524_ _39138_/A _39524_/B VGND VGND VPWR VPWR _39524_/X sky130_fd_sc_hd__or2_2
+XFILLER_67_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_466_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55570_ _55396_/A _55569_/X VGND VGND VPWR VPWR _55572_/A sky130_fd_sc_hd__or2_2
+X_67556_ _67556_/A _67391_/B VGND VGND VPWR VPWR _67556_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_347_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_607_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52782_ _52779_/Y _52782_/B VGND VGND VPWR VPWR _52782_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_484_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_462_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64768_ _64768_/A _69312_/B VGND VGND VPWR VPWR _64768_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_3_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_27_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_505_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54521_ _54530_/A VGND VGND VPWR VPWR _54541_/B sky130_fd_sc_hd__buf_1
+XFILLER_82_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66507_ _66177_/X _66507_/B _66507_/C VGND VGND VPWR VPWR _66508_/C sky130_fd_sc_hd__nor3_2
+XFILLER_110_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51733_ _51733_/A _51733_/B VGND VGND VPWR VPWR _51733_/X sky130_fd_sc_hd__or2_2
+XFILLER_462_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39455_ _39203_/A _39445_/B VGND VGND VPWR VPWR _39455_/X sky130_fd_sc_hd__or2_2
+XFILLER_589_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63719_ _63850_/A _63719_/B _63718_/Y VGND VGND VPWR VPWR _63719_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_27_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67487_ _64367_/X VGND VGND VPWR VPWR _67824_/B sky130_fd_sc_hd__buf_1
+XFILLER_149_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64699_ _64698_/Y _64859_/B VGND VGND VPWR VPWR _64701_/B sky130_fd_sc_hd__nor2_2
+XFILLER_247_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38406_ _38388_/A VGND VGND VPWR VPWR _38421_/B sky130_fd_sc_hd__buf_1
+XFILLER_497_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57240_ _57240_/A _57239_/X VGND VGND VPWR VPWR _57243_/B sky130_fd_sc_hd__nor2_2
+XFILLER_208_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81504_ _81499_/CLK _81504_/D VGND VGND VPWR VPWR _67297_/A sky130_fd_sc_hd__dfxtp_4
+X_69226_ _64317_/A _69226_/B _69225_/Y VGND VGND VPWR VPWR _69227_/C sky130_fd_sc_hd__nor3_2
+XFILLER_97_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54452_ _54509_/A _54455_/B VGND VGND VPWR VPWR _54453_/B sky130_fd_sc_hd__or2_2
+XPHY_17608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66438_ _68205_/A VGND VGND VPWR VPWR _66932_/B sky130_fd_sc_hd__buf_1
+XFILLER_677_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_659_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39386_ _39399_/A _39391_/B _39386_/C VGND VGND VPWR VPWR _39387_/B sky130_fd_sc_hd__nand3_2
+XFILLER_440_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51664_ _51662_/Y _51663_/X VGND VGND VPWR VPWR _51664_/Y sky130_fd_sc_hd__nand2_2
+XPHY_17619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_702_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_149_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53403_ _53403_/A _53402_/X VGND VGND VPWR VPWR _53403_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_71_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_221_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38337_ _38337_/A _38331_/B VGND VGND VPWR VPWR _38337_/X sky130_fd_sc_hd__or2_2
+X_50615_ _50615_/A _50615_/B VGND VGND VPWR VPWR _78028_/D sky130_fd_sc_hd__nand2_2
+XFILLER_211_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81435_ _81435_/CLK _72423_/Y VGND VGND VPWR VPWR _66447_/A sky130_fd_sc_hd__dfxtp_4
+X_57171_ _57008_/A _57171_/B _57171_/C VGND VGND VPWR VPWR _57172_/C sky130_fd_sc_hd__nor3_2
+XFILLER_168_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69157_ _68694_/A _69155_/Y _69157_/C VGND VGND VPWR VPWR _69157_/Y sky130_fd_sc_hd__nor3_2
+XPHY_2538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54383_ _54383_/A _54383_/B VGND VGND VPWR VPWR _77034_/D sky130_fd_sc_hd__nand2_2
+XFILLER_120_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66369_ _66183_/X _66360_/Y _66369_/C VGND VGND VPWR VPWR _66370_/B sky130_fd_sc_hd__nor3_2
+XFILLER_384_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_655_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51595_ _51592_/Y _51595_/B VGND VGND VPWR VPWR _77772_/D sky130_fd_sc_hd__nand2_2
+XPHY_2549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_70_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56122_ _45347_/A _56121_/X VGND VGND VPWR VPWR _56122_/Y sky130_fd_sc_hd__nor2_2
+X_68108_ _68431_/A _68106_/Y _68108_/C VGND VGND VPWR VPWR _68109_/C sky130_fd_sc_hd__nor3_2
+XFILLER_718_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53334_ _53454_/A _53344_/B VGND VGND VPWR VPWR _53335_/B sky130_fd_sc_hd__or2_2
+XFILLER_356_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38268_ _38304_/A VGND VGND VPWR VPWR _38279_/B sky130_fd_sc_hd__buf_1
+X_50546_ _54368_/A _50517_/X VGND VGND VPWR VPWR _50546_/X sky130_fd_sc_hd__or2_2
+XFILLER_706_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81366_ _81366_/CLK _72683_/Y VGND VGND VPWR VPWR _65627_/A sky130_fd_sc_hd__dfxtp_4
+X_69088_ _69088_/A _68945_/B VGND VGND VPWR VPWR _69088_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_383_121 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_393_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56053_ _45168_/B _56041_/B VGND VGND VPWR VPWR _56054_/C sky130_fd_sc_hd__nor2_2
+XFILLER_203_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68039_ _68039_/A _67372_/B VGND VGND VPWR VPWR _68039_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_590_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80317_ _80321_/CLK _80317_/D VGND VGND VPWR VPWR _80317_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_517_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53265_ _77328_/Q _53258_/B VGND VGND VPWR VPWR _53265_/Y sky130_fd_sc_hd__nand2_2
+XPHY_33629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_52_1475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50477_ _62210_/A _50462_/X VGND VGND VPWR VPWR _50479_/A sky130_fd_sc_hd__nand2_2
+X_81297_ _81301_/CLK _81297_/D VGND VGND VPWR VPWR _81297_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_149_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_336_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_148_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_182_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55004_ _55004_/A _54992_/X VGND VGND VPWR VPWR _55006_/A sky130_fd_sc_hd__nand2_2
+XPHY_32917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40230_ _39991_/A _40216_/B VGND VGND VPWR VPWR _40232_/A sky130_fd_sc_hd__or2_2
+XFILLER_555_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52216_ _52216_/A _52197_/X VGND VGND VPWR VPWR _52218_/A sky130_fd_sc_hd__nand2_2
+XFILLER_135_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71050_ _71050_/A _71050_/B VGND VGND VPWR VPWR _42157_/A sky130_fd_sc_hd__and2_2
+XFILLER_89_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80248_ _80304_/CLK _80248_/D VGND VGND VPWR VPWR _80248_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53196_ _53203_/A VGND VGND VPWR VPWR _53218_/B sky130_fd_sc_hd__buf_1
+XFILLER_324_221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_30_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_716_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_163_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70001_ _70001_/A _55104_/A _69990_/X _70001_/D VGND VGND VPWR VPWR _70002_/B sky130_fd_sc_hd__or4_2
+XFILLER_371_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59812_ _59812_/A _59809_/Y _59812_/C VGND VGND VPWR VPWR _59812_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_340_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_65_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_324_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40161_ _40197_/A VGND VGND VPWR VPWR _40161_/X sky130_fd_sc_hd__buf_1
+XFILLER_332_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_513_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52147_ _52147_/A _52125_/X VGND VGND VPWR VPWR _52147_/Y sky130_fd_sc_hd__nand2_2
+XPHY_21704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80179_ _80200_/CLK _39233_/Y VGND VGND VPWR VPWR _56687_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_488_2809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_675_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_416_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_371_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_314_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59743_ _59743_/A VGND VGND VPWR VPWR _59902_/B sky130_fd_sc_hd__buf_1
+XPHY_11225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40092_ _40331_/A _40079_/B VGND VGND VPWR VPWR _40095_/A sky130_fd_sc_hd__or2_2
+XFILLER_1_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52078_ _77641_/Q _52067_/B VGND VGND VPWR VPWR _52078_/Y sky130_fd_sc_hd__nand2_2
+X_56955_ _81462_/Q _56702_/B VGND VGND VPWR VPWR _56956_/C sky130_fd_sc_hd__nor2_2
+XFILLER_314_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_510_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_65_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_115_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_173_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_3054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43920_ _43922_/A _43934_/B VGND VGND VPWR VPWR _43926_/B sky130_fd_sc_hd__nor2_2
+XFILLER_254_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51029_ _54851_/A _50965_/B VGND VGND VPWR VPWR _51055_/B sky130_fd_sc_hd__or2_2
+XPHY_10524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55906_ _69806_/X _55890_/A VGND VGND VPWR VPWR _55906_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_723_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74740_ _74740_/A _74740_/B VGND VGND VPWR VPWR _74740_/Y sky130_fd_sc_hd__nand2_2
+XPHY_11269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_452_0_u_core.clock clkbuf_9_453_0_u_core.clock/A VGND VGND VPWR VPWR _81133_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_59674_ _59091_/A VGND VGND VPWR VPWR _59675_/A sky130_fd_sc_hd__buf_1
+XFILLER_292_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71952_ _69655_/A VGND VGND VPWR VPWR _71953_/A sky130_fd_sc_hd__inv_8
+XFILLER_627_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56886_ _65499_/A _56886_/B VGND VGND VPWR VPWR _56888_/B sky130_fd_sc_hd__nor2_2
+XFILLER_330_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_145_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_277_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_78_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_568_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_271_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58625_ _69095_/A _56312_/B VGND VGND VPWR VPWR _58625_/Y sky130_fd_sc_hd__nor2_2
+X_70903_ _70903_/A VGND VGND VPWR VPWR _70903_/Y sky130_fd_sc_hd__inv_8
+XFILLER_130_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55837_ _49240_/A _55843_/B VGND VGND VPWR VPWR _55838_/B sky130_fd_sc_hd__or2_2
+X_43851_ _44220_/A _43842_/X _43851_/C VGND VGND VPWR VPWR _43854_/B sky130_fd_sc_hd__nor3_2
+X_74671_ _74667_/X _74671_/B VGND VGND VPWR VPWR _74671_/Y sky130_fd_sc_hd__nand2_2
+XPHY_10579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71883_ _71078_/X _71883_/B _71882_/Y VGND VGND VPWR VPWR _71883_/X sky130_fd_sc_hd__or3_2
+XFILLER_189_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_682_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76410_ _76316_/A VGND VGND VPWR VPWR _76411_/A sky130_fd_sc_hd__buf_1
+XFILLER_150_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_0_u_core.clock _55957_/X VGND VGND VPWR VPWR clkbuf_0_u_core.clock/X sky130_fd_sc_hd__clkbuf_16
+XFILLER_267_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_2_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42802_ _64421_/A _42664_/B VGND VGND VPWR VPWR _42806_/A sky130_fd_sc_hd__nor2_2
+XFILLER_527_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73622_ _73744_/A _73509_/X VGND VGND VPWR VPWR _73622_/X sky130_fd_sc_hd__or2_2
+XFILLER_59_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_246_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46570_ _46570_/A VGND VGND VPWR VPWR _46581_/B sky130_fd_sc_hd__buf_1
+XFILLER_699_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58556_ _58391_/A _58556_/B _58556_/C VGND VGND VPWR VPWR _58560_/B sky130_fd_sc_hd__nor3_2
+X_70834_ _70957_/B _71999_/A VGND VGND VPWR VPWR _70834_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_670_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77390_ _77749_/CLK _53020_/Y VGND VGND VPWR VPWR _60718_/A sky130_fd_sc_hd__dfxtp_4
+X_43782_ _43674_/X _43782_/B _43782_/C VGND VGND VPWR VPWR _43783_/C sky130_fd_sc_hd__nor3_2
+XPHY_5120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_382_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55768_ _55793_/B VGND VGND VPWR VPWR _55768_/X sky130_fd_sc_hd__buf_1
+XFILLER_347_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40994_ _40509_/X _40994_/B VGND VGND VPWR VPWR _40996_/A sky130_fd_sc_hd__or2_2
+XFILLER_265_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_445_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_365_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45521_ _56478_/A _45521_/B VGND VGND VPWR VPWR _45525_/B sky130_fd_sc_hd__nor2_2
+XFILLER_720_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57507_ _81469_/Q _57430_/B VGND VGND VPWR VPWR _57507_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_425_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76341_ _76334_/X _76234_/B _76341_/C VGND VGND VPWR VPWR _76341_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_343_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42733_ _42729_/Y _42733_/B _42732_/Y _42712_/D VGND VGND VPWR VPWR _42733_/Y sky130_fd_sc_hd__nor4_2
+X_54719_ _54804_/A _54722_/B VGND VGND VPWR VPWR _54719_/X sky130_fd_sc_hd__or2_2
+X_73553_ _73539_/A _73550_/B _81155_/Q VGND VGND VPWR VPWR _73554_/B sky130_fd_sc_hd__nand3_2
+XFILLER_624_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58487_ _58220_/X _58487_/B VGND VGND VPWR VPWR _58504_/B sky130_fd_sc_hd__nor2_2
+XFILLER_308_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70765_ _69969_/X _70763_/X _70034_/A _70764_/X VGND VGND VPWR VPWR _70765_/X sky130_fd_sc_hd__o22a_4
+XFILLER_562_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_310 _53437_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_96_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_382_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55699_ _55686_/Y _55695_/Y _55698_/Y VGND VGND VPWR VPWR _55700_/B sky130_fd_sc_hd__nor3_2
+XFILLER_611_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_321 _53650_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_226_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_332 _54609_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_72_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48240_ _48182_/A _48252_/B VGND VGND VPWR VPWR _48241_/B sky130_fd_sc_hd__or2_2
+X_72504_ _72211_/A _72500_/X VGND VGND VPWR VPWR _72504_/X sky130_fd_sc_hd__or2_2
+XPHY_18832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79060_ _79315_/CLK _45954_/Y VGND VGND VPWR VPWR _79060_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_205_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57438_ _57039_/A VGND VGND VPWR VPWR _57517_/B sky130_fd_sc_hd__buf_1
+XANTENNA_343 _51148_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_61_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45452_ _70616_/A _45457_/B VGND VGND VPWR VPWR _45456_/B sky130_fd_sc_hd__nor2_2
+X_76272_ _76272_/A _76272_/B VGND VGND VPWR VPWR _76272_/X sky130_fd_sc_hd__or2_2
+XPHY_4463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42664_ _66694_/A _42664_/B VGND VGND VPWR VPWR _42669_/A sky130_fd_sc_hd__nor2_2
+XANTENNA_354 _51141_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73484_ _73484_/A _73494_/B _81172_/Q VGND VGND VPWR VPWR _73486_/A sky130_fd_sc_hd__nand3_2
+XFILLER_423_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_724_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_543_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70696_ _70683_/C _70696_/B VGND VGND VPWR VPWR _70696_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_37_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_365 _50865_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_376 _53063_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_78011_ _78006_/CLK _78011_/D VGND VGND VPWR VPWR _62647_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_423_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44403_ _79236_/Q _44403_/B _44322_/A VGND VGND VPWR VPWR _44403_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75223_ _75223_/A _75231_/B VGND VGND VPWR VPWR _75223_/X sky130_fd_sc_hd__or2_2
+XFILLER_610_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_387 _52278_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_41615_ _41256_/A _41615_/B VGND VGND VPWR VPWR _41615_/X sky130_fd_sc_hd__or2_2
+XFILLER_15_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48171_ _48134_/A _52037_/A VGND VGND VPWR VPWR _48171_/X sky130_fd_sc_hd__or2_2
+XFILLER_359_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72435_ _72417_/A VGND VGND VPWR VPWR _72442_/B sky130_fd_sc_hd__buf_1
+XFILLER_363_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45383_ _45383_/A _45382_/Y VGND VGND VPWR VPWR _55440_/B sky130_fd_sc_hd__nor2_2
+XPHY_35510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_398 _53631_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_183_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57369_ _57369_/A _57126_/X VGND VGND VPWR VPWR _57369_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42595_ _42606_/A _42583_/Y _42594_/Y VGND VGND VPWR VPWR _79474_/D sky130_fd_sc_hd__nor3_2
+XFILLER_603_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_158_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_198_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47122_ _46358_/B _47121_/X VGND VGND VPWR VPWR _47122_/X sky130_fd_sc_hd__or2_2
+X_59108_ _59383_/A _59108_/B _59107_/Y VGND VGND VPWR VPWR _59109_/C sky130_fd_sc_hd__nor3_2
+XFILLER_92_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_725_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44334_ _44332_/Y _44442_/A VGND VGND VPWR VPWR _44372_/C sky130_fd_sc_hd__or2_2
+XPHY_25020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_201_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75154_ _75152_/X _75153_/Y VGND VGND VPWR VPWR _80759_/D sky130_fd_sc_hd__nand2_2
+XFILLER_576_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41546_ _41526_/A VGND VGND VPWR VPWR _41547_/B sky130_fd_sc_hd__buf_1
+XFILLER_70_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_591_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60380_ _77244_/Q _59741_/B VGND VGND VPWR VPWR _60382_/B sky130_fd_sc_hd__nor2_2
+XPHY_36299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72366_ _72358_/A _72350_/X _68918_/A VGND VGND VPWR VPWR _72367_/B sky130_fd_sc_hd__nand3_2
+XPHY_25031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_5_21_0_u_core.clock clkbuf_5_21_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_6_42_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_70_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_478_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_3022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74105_ _74774_/A VGND VGND VPWR VPWR _74500_/A sky130_fd_sc_hd__buf_1
+XFILLER_122_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71317_ _70353_/B _71316_/X VGND VGND VPWR VPWR _71319_/B sky130_fd_sc_hd__nor2_2
+X_47053_ _47045_/A _47053_/B VGND VGND VPWR VPWR _78916_/D sky130_fd_sc_hd__nor2_2
+X_59039_ _59000_/X VGND VGND VPWR VPWR _59676_/A sky130_fd_sc_hd__buf_1
+XFILLER_713_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44265_ _44029_/Y _44265_/B VGND VGND VPWR VPWR _44265_/X sky130_fd_sc_hd__and2_2
+XFILLER_347_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75085_ _75088_/A _75096_/B _75085_/C VGND VGND VPWR VPWR _75085_/Y sky130_fd_sc_hd__nand3_2
+X_79962_ _80027_/CLK _79962_/D VGND VGND VPWR VPWR _79962_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_196_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41477_ _41475_/X _41477_/B VGND VGND VPWR VPWR _41477_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72297_ _72296_/X VGND VGND VPWR VPWR _72298_/A sky130_fd_sc_hd__buf_1
+XFILLER_127_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_316_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46004_ _55678_/A VGND VGND VPWR VPWR _55646_/A sky130_fd_sc_hd__buf_1
+XPHY_34886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43216_ _79408_/Q _47240_/A VGND VGND VPWR VPWR _43219_/B sky130_fd_sc_hd__nor2_2
+XFILLER_393_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62050_ _50452_/A _61892_/X VGND VGND VPWR VPWR _62052_/B sky130_fd_sc_hd__nor2_2
+X_74036_ _74015_/A _74026_/B _68234_/A VGND VGND VPWR VPWR _74036_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_100_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78913_ _79040_/CLK _47075_/Y VGND VGND VPWR VPWR _47071_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_34897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40428_ _40568_/A _40423_/B VGND VGND VPWR VPWR _40428_/X sky130_fd_sc_hd__or2_2
+XPHY_24374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71248_ _71081_/X _71247_/X VGND VGND VPWR VPWR _71249_/B sky130_fd_sc_hd__nor2_2
+XFILLER_636_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44196_ _44229_/A _44204_/A VGND VGND VPWR VPWR _44196_/X sky130_fd_sc_hd__and2_2
+XFILLER_272_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79893_ _79902_/CLK _40347_/Y VGND VGND VPWR VPWR _65499_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_417_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_705_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_5_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61001_ _60841_/A _61001_/B _61000_/Y VGND VGND VPWR VPWR _61001_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_534_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_335_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43147_ _43138_/Y _46147_/B _43142_/Y _43146_/Y VGND VGND VPWR VPWR _43206_/A sky130_fd_sc_hd__a211o_4
+XPHY_13150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78844_ _78338_/CLK _47431_/Y VGND VGND VPWR VPWR _63958_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_610_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40359_ _40359_/A _40352_/B _56550_/A VGND VGND VPWR VPWR _40360_/B sky130_fd_sc_hd__nand3_2
+XFILLER_560_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71179_ _71839_/A VGND VGND VPWR VPWR _71416_/A sky130_fd_sc_hd__buf_1
+XFILLER_196_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_720_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_194_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_272_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47955_ _47955_/A _47962_/B VGND VGND VPWR VPWR _47958_/A sky130_fd_sc_hd__nand2_2
+X_43078_ _46110_/A _43078_/B VGND VGND VPWR VPWR _43078_/X sky130_fd_sc_hd__or2_2
+XFILLER_350_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_313_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78775_ _78766_/CLK _78775_/D VGND VGND VPWR VPWR _63350_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_550_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75987_ _75987_/A _75987_/B VGND VGND VPWR VPWR _80549_/D sky130_fd_sc_hd__nand2_2
+XFILLER_589_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_725_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_684_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_214_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_693_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42029_ _42029_/A _42029_/B _41971_/A VGND VGND VPWR VPWR _42029_/Y sky130_fd_sc_hd__nor3_2
+X_46906_ _46880_/A _46906_/B _46906_/C VGND VGND VPWR VPWR _46907_/B sky130_fd_sc_hd__or3_2
+XFILLER_708_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65740_ _65740_/A VGND VGND VPWR VPWR _66412_/A sky130_fd_sc_hd__buf_1
+X_77726_ _77734_/CLK _51763_/Y VGND VGND VPWR VPWR _60658_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_123_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_29_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62952_ _78413_/Q _63263_/B VGND VGND VPWR VPWR _62952_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_133_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74938_ _74931_/X _74831_/B _64143_/A VGND VGND VPWR VPWR _74938_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_567_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47886_ _47886_/A _47886_/B VGND VGND VPWR VPWR _47887_/B sky130_fd_sc_hd__or2_2
+XPHY_11770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_457_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_645_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61903_ _61242_/X _61902_/Y VGND VGND VPWR VPWR _61903_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_214_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49625_ _49615_/X VGND VGND VPWR VPWR _49625_/X sky130_fd_sc_hd__buf_1
+XFILLER_81_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_99_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46837_ _72132_/A _46835_/X _46836_/X _71067_/Y VGND VGND VPWR VPWR _46837_/X sky130_fd_sc_hd__o22a_4
+X_77657_ _77705_/CLK _52020_/Y VGND VGND VPWR VPWR _77657_/Q sky130_fd_sc_hd__dfxtp_4
+X_65671_ _65329_/A _65671_/B VGND VGND VPWR VPWR _65671_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_250_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62883_ _63039_/A _62881_/Y _62883_/C VGND VGND VPWR VPWR _62889_/B sky130_fd_sc_hd__nor3_2
+X_74869_ _75351_/A _74866_/B VGND VGND VPWR VPWR _74869_/X sky130_fd_sc_hd__or2_2
+XFILLER_463_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_618_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_509_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67410_ _67410_/A VGND VGND VPWR VPWR _67912_/B sky130_fd_sc_hd__buf_1
+XFILLER_4_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_49_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64622_ _64172_/X _64622_/B _64621_/Y VGND VGND VPWR VPWR _64622_/Y sky130_fd_sc_hd__nor3_2
+X_76608_ VGND VGND VPWR VPWR _76608_/HI la_data_out[104] sky130_fd_sc_hd__conb_1
+XFILLER_721_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61834_ _61672_/X _61829_/Y _61833_/Y VGND VGND VPWR VPWR _61834_/Y sky130_fd_sc_hd__nor3_2
+X_49556_ _49554_/Y _49555_/X VGND VGND VPWR VPWR _49556_/Y sky130_fd_sc_hd__nand2_2
+X_68390_ _68390_/A _68725_/B VGND VGND VPWR VPWR _68390_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_36_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46768_ _46768_/A _46768_/B _46768_/C VGND VGND VPWR VPWR _46768_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_482_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_98_0_u_core.clock clkbuf_9_99_0_u_core.clock/A VGND VGND VPWR VPWR _77915_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_77588_ _77061_/CLK _77588_/D VGND VGND VPWR VPWR _77588_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_502_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_608_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_580_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_545_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_309_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48507_ _48474_/X _48500_/X VGND VGND VPWR VPWR _48508_/B sky130_fd_sc_hd__or2_2
+X_67341_ _80320_/Q _67341_/B VGND VGND VPWR VPWR _67342_/C sky130_fd_sc_hd__nor2_2
+XFILLER_398_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79327_ _78931_/CLK _43576_/Y VGND VGND VPWR VPWR _71268_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_146_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45719_ _45719_/A _45719_/B _45718_/Y VGND VGND VPWR VPWR _45719_/Y sky130_fd_sc_hd__nor3_2
+X_64553_ _64553_/A VGND VGND VPWR VPWR _65989_/A sky130_fd_sc_hd__buf_1
+XFILLER_368_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76539_ VGND VGND VPWR VPWR _76539_/HI la_data_out[31] sky130_fd_sc_hd__conb_1
+XFILLER_77_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61765_ _61920_/A _61765_/B _61765_/C VGND VGND VPWR VPWR _61766_/C sky130_fd_sc_hd__nor3_2
+XFILLER_329_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49487_ _49487_/A _49509_/B VGND VGND VPWR VPWR _49487_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_643_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46699_ _43195_/A _46827_/A _46175_/B VGND VGND VPWR VPWR _46699_/X sky130_fd_sc_hd__and3_2
+XFILLER_614_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_307_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39240_ _39227_/X _39222_/X _56531_/A VGND VGND VPWR VPWR _39241_/B sky130_fd_sc_hd__nand3_2
+X_63504_ _78776_/Q _64033_/B VGND VGND VPWR VPWR _63505_/C sky130_fd_sc_hd__nor2_2
+XFILLER_504_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60716_ _59920_/A VGND VGND VPWR VPWR _60719_/A sky130_fd_sc_hd__buf_1
+XFILLER_52_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48438_ _48438_/A _48437_/X VGND VGND VPWR VPWR _48438_/Y sky130_fd_sc_hd__nand2_2
+X_67272_ _67272_/A _67108_/X VGND VGND VPWR VPWR _67272_/Y sky130_fd_sc_hd__nor2_2
+X_79258_ _79254_/CLK _44164_/Y VGND VGND VPWR VPWR _44015_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_596_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_107_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_346_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64484_ _66897_/A VGND VGND VPWR VPWR _65057_/A sky130_fd_sc_hd__buf_1
+XFILLER_604_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61696_ _58912_/X VGND VGND VPWR VPWR _61704_/A sky130_fd_sc_hd__buf_1
+XFILLER_539_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_659_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69011_ _75320_/C _68687_/B VGND VGND VPWR VPWR _69013_/B sky130_fd_sc_hd__nor2_2
+XFILLER_498_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66223_ _80826_/Q _66709_/B VGND VGND VPWR VPWR _66223_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_593_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78209_ _78209_/CLK _78209_/D VGND VGND VPWR VPWR _62376_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_322_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39171_ _39171_/A _39166_/X VGND VGND VPWR VPWR _39171_/X sky130_fd_sc_hd__or2_2
+XFILLER_297_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63435_ _59002_/A VGND VGND VPWR VPWR _63435_/X sky130_fd_sc_hd__buf_1
+XFILLER_424_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_385_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48369_ _48398_/A _48369_/B VGND VGND VPWR VPWR _48369_/X sky130_fd_sc_hd__or2_2
+XFILLER_260_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60647_ _77550_/Q _60793_/B VGND VGND VPWR VPWR _60647_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_695_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79189_ _79193_/CLK _79189_/D VGND VGND VPWR VPWR _44808_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_53_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_366_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_459_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50400_ _50847_/A VGND VGND VPWR VPWR _50400_/X sky130_fd_sc_hd__buf_1
+XFILLER_220_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_595_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81220_ _81190_/CLK _73309_/Y VGND VGND VPWR VPWR _67918_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_32_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66154_ _79897_/Q _65661_/X VGND VGND VPWR VPWR _66155_/C sky130_fd_sc_hd__nor2_2
+XFILLER_422_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_18_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51380_ _51380_/A _51380_/B VGND VGND VPWR VPWR _77826_/D sky130_fd_sc_hd__nand2_2
+X_63366_ _63203_/X _63363_/Y _63365_/Y VGND VGND VPWR VPWR _63366_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_365_121 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60578_ _60578_/A _60419_/X VGND VGND VPWR VPWR _60578_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_299_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65105_ _81331_/Q _64770_/B VGND VGND VPWR VPWR _65107_/B sky130_fd_sc_hd__nor2_2
+XFILLER_381_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_366_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50331_ _50271_/A _50334_/B VGND VGND VPWR VPWR _50331_/X sky130_fd_sc_hd__or2_2
+XFILLER_570_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81151_ _81148_/CLK _81151_/D VGND VGND VPWR VPWR _67076_/A sky130_fd_sc_hd__dfxtp_4
+X_62317_ _61700_/A _62315_/Y _62316_/Y VGND VGND VPWR VPWR _62317_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_257_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66085_ _80409_/Q _66732_/B VGND VGND VPWR VPWR _66088_/B sky130_fd_sc_hd__nor2_2
+XFILLER_307_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_359_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63297_ _63128_/X _63297_/B _63297_/C VGND VGND VPWR VPWR _63298_/C sky130_fd_sc_hd__nor3_2
+XFILLER_381_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80102_ _80099_/CLK _80102_/D VGND VGND VPWR VPWR _58203_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_160_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_117_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53050_ _52994_/A _53050_/B VGND VGND VPWR VPWR _53051_/B sky130_fd_sc_hd__or2_2
+XFILLER_277_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65036_ _80723_/Q _65390_/B VGND VGND VPWR VPWR _65036_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_514_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69913_ _69913_/A _69912_/Y VGND VGND VPWR VPWR _69913_/X sky130_fd_sc_hd__or2_2
+XFILLER_615_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50262_ _50258_/Y _50261_/X VGND VGND VPWR VPWR _50262_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_376_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_533_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62248_ _50078_/A _62401_/B VGND VGND VPWR VPWR _62251_/B sky130_fd_sc_hd__nor2_2
+XFILLER_31_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81082_ _81047_/CLK _73830_/Y VGND VGND VPWR VPWR _66257_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_717_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_552_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52001_ _52001_/A _52037_/B VGND VGND VPWR VPWR _52005_/A sky130_fd_sc_hd__or2_2
+XFILLER_157_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_380_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80033_ _79969_/CLK _80033_/D VGND VGND VPWR VPWR _80033_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_277_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69844_ _69826_/X _69843_/X VGND VGND VPWR VPWR _69845_/A sky130_fd_sc_hd__or2_2
+XPHY_9408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_632_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50193_ _50104_/A _50202_/B VGND VGND VPWR VPWR _50194_/B sky130_fd_sc_hd__or2_2
+XFILLER_689_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62179_ _59289_/X VGND VGND VPWR VPWR _62179_/X sky130_fd_sc_hd__buf_1
+XFILLER_416_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_195_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_689_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_667_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_353_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_700_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_134_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38955_ _38955_/A _38954_/Y VGND VGND VPWR VPWR _80246_/D sky130_fd_sc_hd__nand2_2
+XFILLER_314_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69775_ _69759_/X _69775_/B _69774_/Y VGND VGND VPWR VPWR _69775_/X sky130_fd_sc_hd__or3_2
+XFILLER_546_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66987_ _79998_/Q _66649_/X VGND VGND VPWR VPWR _66989_/B sky130_fd_sc_hd__nor2_2
+XFILLER_511_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_412_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_3_0_1_u_core.clock clkbuf_3_0_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_3_0_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_648_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_82_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56740_ _58525_/A VGND VGND VPWR VPWR _56740_/X sky130_fd_sc_hd__buf_1
+XFILLER_64_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68726_ _73773_/C _68391_/B VGND VGND VPWR VPWR _68727_/C sky130_fd_sc_hd__nor2_2
+X_53952_ _53917_/A _53951_/X VGND VGND VPWR VPWR _53953_/B sky130_fd_sc_hd__or2_2
+XFILLER_303_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65938_ _65938_/A _65447_/B VGND VGND VPWR VPWR _65938_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_60_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38886_ _38922_/A VGND VGND VPWR VPWR _38900_/B sky130_fd_sc_hd__buf_1
+XFILLER_141_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_648_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_60_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_99_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1479 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_47_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_436_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52903_ _52901_/Y _52902_/X VGND VGND VPWR VPWR _52903_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_5_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80935_ _80911_/CLK _80935_/D VGND VGND VPWR VPWR _80935_/Q sky130_fd_sc_hd__dfxtp_4
+X_56671_ _56582_/X _56669_/Y _56670_/Y VGND VGND VPWR VPWR _56671_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_25_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68657_ _80168_/Q _68004_/B VGND VGND VPWR VPWR _68658_/C sky130_fd_sc_hd__nor2_2
+XFILLER_3_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53883_ _53883_/A VGND VGND VPWR VPWR _53912_/B sky130_fd_sc_hd__buf_1
+XFILLER_286_183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_3_3_0_u_core.clock clkbuf_2_1_3_u_core.clock/X VGND VGND VPWR VPWR clkbuf_3_3_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_65869_ _65708_/Y _65709_/Y _65869_/C _65869_/D VGND VGND VPWR VPWR _76693_/D sky130_fd_sc_hd__or4_2
+XFILLER_546_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_312_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58410_ _58410_/A _58704_/B VGND VGND VPWR VPWR _58410_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_75_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55622_ _45307_/Y _55522_/X VGND VGND VPWR VPWR _55622_/Y sky130_fd_sc_hd__nor2_2
+X_67608_ _68109_/A _67608_/B _67607_/Y VGND VGND VPWR VPWR _67608_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_435_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52834_ _52832_/Y _52833_/X VGND VGND VPWR VPWR _77441_/D sky130_fd_sc_hd__nand2_2
+XFILLER_86_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59390_ _59390_/A _59390_/B _59390_/C VGND VGND VPWR VPWR _59391_/C sky130_fd_sc_hd__nor3_2
+XPHY_29319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_366_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_463_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80866_ _80863_/CLK _74731_/Y VGND VGND VPWR VPWR _80866_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_505_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68588_ _68588_/A _68588_/B VGND VGND VPWR VPWR _68590_/B sky130_fd_sc_hd__nor2_2
+XFILLER_412_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_39_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39507_ _39507_/A VGND VGND VPWR VPWR _39508_/A sky130_fd_sc_hd__buf_1
+XFILLER_407_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58341_ _56199_/A VGND VGND VPWR VPWR _58341_/X sky130_fd_sc_hd__buf_1
+XFILLER_249_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55553_ _55553_/A _55552_/X VGND VGND VPWR VPWR _55553_/X sky130_fd_sc_hd__and2_2
+XFILLER_704_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67539_ _67377_/A _67539_/B _67538_/Y VGND VGND VPWR VPWR _67560_/A sky130_fd_sc_hd__nor3_2
+XFILLER_432_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_19_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52765_ _52765_/A _52765_/B VGND VGND VPWR VPWR _77459_/D sky130_fd_sc_hd__nand2_2
+XFILLER_3_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_54_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80797_ _80751_/CLK _75011_/Y VGND VGND VPWR VPWR _66713_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_269_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_578_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_164_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54504_ _54504_/A _54503_/X VGND VGND VPWR VPWR _54504_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_344_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_305_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51716_ _51716_/A VGND VGND VPWR VPWR _51733_/B sky130_fd_sc_hd__buf_1
+X_39438_ _39431_/A _39438_/B _80126_/Q VGND VGND VPWR VPWR _39438_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_186_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_589_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58272_ _68481_/A _58038_/B VGND VGND VPWR VPWR _58272_/Y sky130_fd_sc_hd__nor2_2
+XPHY_18139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70550_ _70541_/X VGND VGND VPWR VPWR _70550_/Y sky130_fd_sc_hd__inv_8
+XFILLER_199_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_82_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55484_ _55484_/A _55483_/X VGND VGND VPWR VPWR _76806_/D sky130_fd_sc_hd__nand2_2
+XFILLER_167_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_579_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52696_ _52753_/A _52696_/B VGND VGND VPWR VPWR _52696_/X sky130_fd_sc_hd__or2_2
+XPHY_27939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57223_ _57223_/A _57216_/Y _57223_/C VGND VGND VPWR VPWR _57223_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_423_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69209_ _69487_/A _69201_/Y _69209_/C VGND VGND VPWR VPWR _69209_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_39_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54435_ _54433_/A VGND VGND VPWR VPWR _54454_/B sky130_fd_sc_hd__buf_1
+XFILLER_498_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_342_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39369_ _39365_/X _39262_/B _80144_/Q VGND VGND VPWR VPWR _39370_/B sky130_fd_sc_hd__nand3_2
+X_51647_ _51656_/A VGND VGND VPWR VPWR _51662_/B sky130_fd_sc_hd__buf_1
+XPHY_16704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70481_ _70481_/A VGND VGND VPWR VPWR _70482_/A sky130_fd_sc_hd__inv_8
+XFILLER_600_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_677_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_399_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_596_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_262_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41400_ _41008_/A _41394_/B VGND VGND VPWR VPWR _41400_/X sky130_fd_sc_hd__or2_2
+XFILLER_221_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72220_ _72217_/X _72220_/B VGND VGND VPWR VPWR _81476_/D sky130_fd_sc_hd__nand2_2
+Xclkbuf_9_242_0_u_core.clock clkbuf_9_243_0_u_core.clock/A VGND VGND VPWR VPWR _79653_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_1612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_707_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81418_ _81421_/CLK _81418_/D VGND VGND VPWR VPWR _72486_/C sky130_fd_sc_hd__dfxtp_4
+X_57154_ _79993_/Q _57471_/B VGND VGND VPWR VPWR _57154_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42380_ _42335_/A _42380_/B _42380_/C VGND VGND VPWR VPWR _42381_/B sky130_fd_sc_hd__nor3_2
+XFILLER_162_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54366_ _54252_/X _54366_/B VGND VGND VPWR VPWR _54366_/X sky130_fd_sc_hd__or2_2
+XFILLER_719_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51578_ _77775_/Q _51586_/B VGND VGND VPWR VPWR _51578_/Y sky130_fd_sc_hd__nand2_2
+XPHY_2379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_299_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56105_ _56111_/A _55440_/B VGND VGND VPWR VPWR _56110_/B sky130_fd_sc_hd__nor2_2
+XFILLER_323_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_690_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41331_ _41074_/X _41324_/X VGND VGND VPWR VPWR _41334_/A sky130_fd_sc_hd__or2_2
+XPHY_33404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53317_ _53622_/A VGND VGND VPWR VPWR _53444_/A sky130_fd_sc_hd__buf_1
+XPHY_34149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72151_ _72151_/A VGND VGND VPWR VPWR _74819_/A sky130_fd_sc_hd__inv_8
+XFILLER_539_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_329_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50529_ _50409_/X _50541_/B VGND VGND VPWR VPWR _50529_/X sky130_fd_sc_hd__or2_2
+XFILLER_718_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57085_ _57085_/A _57085_/B VGND VGND VPWR VPWR _57087_/B sky130_fd_sc_hd__nor2_2
+XPHY_33415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81349_ _81351_/CLK _81349_/D VGND VGND VPWR VPWR _72748_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_377_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54297_ _54236_/X _54300_/B VGND VGND VPWR VPWR _54297_/X sky130_fd_sc_hd__or2_2
+XFILLER_357_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_109_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71102_ _74678_/A VGND VGND VPWR VPWR _39747_/A sky130_fd_sc_hd__buf_1
+XFILLER_65_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_655_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44050_ _67520_/A _44049_/X VGND VGND VPWR VPWR _44050_/X sky130_fd_sc_hd__or2_4
+XFILLER_13_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56036_ _55988_/A _56036_/B _56036_/C VGND VGND VPWR VPWR _56037_/B sky130_fd_sc_hd__or3_2
+XFILLER_688_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53248_ _53248_/A _53247_/X VGND VGND VPWR VPWR _77333_/D sky130_fd_sc_hd__nand2_2
+X_41262_ _41383_/A _41266_/B VGND VGND VPWR VPWR _41265_/A sky130_fd_sc_hd__or2_2
+XFILLER_156_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72082_ _71024_/X _72075_/B VGND VGND VPWR VPWR _72083_/D sky130_fd_sc_hd__nor2_2
+XFILLER_325_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_295_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_174_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_276_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43001_ _47245_/B VGND VGND VPWR VPWR _47278_/B sky130_fd_sc_hd__buf_1
+XFILLER_475_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40213_ _40207_/A _40207_/B _79928_/Q VGND VGND VPWR VPWR _40213_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_355_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75910_ _76029_/A _75898_/B VGND VGND VPWR VPWR _75910_/X sky130_fd_sc_hd__or2_2
+XFILLER_551_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71033_ _69865_/X _69883_/X _69884_/X _42489_/B VGND VGND VPWR VPWR _71034_/A sky130_fd_sc_hd__o22a_4
+XFILLER_453_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_26_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_358_0_u_core.clock clkbuf_9_359_0_u_core.clock/A VGND VGND VPWR VPWR _77629_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_325_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41193_ _41193_/A _41193_/B VGND VGND VPWR VPWR _41193_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_109_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53179_ _53179_/A _53179_/B VGND VGND VPWR VPWR _53179_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_332_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76890_ _76890_/CLK _76890_/D VGND VGND VPWR VPWR _60087_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_702_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_191_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_87_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_171_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40144_ _40144_/A _40144_/B VGND VGND VPWR VPWR _79947_/D sky130_fd_sc_hd__nand2_2
+XFILLER_310_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75841_ _75841_/A VGND VGND VPWR VPWR _75897_/A sky130_fd_sc_hd__buf_1
+XPHY_22279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_254_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57987_ _57830_/A _57987_/B _57987_/C VGND VGND VPWR VPWR _57987_/Y sky130_fd_sc_hd__nor3_2
+XPHY_11022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_1515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_670_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_306_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59726_ _59405_/A _59726_/B _59726_/C VGND VGND VPWR VPWR _59731_/B sky130_fd_sc_hd__nor3_2
+XFILLER_453_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47740_ _47756_/B VGND VGND VPWR VPWR _47740_/X sky130_fd_sc_hd__buf_1
+XPHY_10310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78560_ _78561_/CLK _48566_/Y VGND VGND VPWR VPWR _48564_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_568_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_501_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56938_ _57009_/A _56933_/Y _56938_/C VGND VGND VPWR VPWR _56948_/A sky130_fd_sc_hd__nor3_2
+X_40075_ _40075_/A VGND VGND VPWR VPWR _40076_/A sky130_fd_sc_hd__buf_1
+XPHY_9986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44952_ _44952_/A VGND VGND VPWR VPWR _44953_/A sky130_fd_sc_hd__inv_8
+X_75772_ _76014_/A _75772_/B VGND VGND VPWR VPWR _75775_/A sky130_fd_sc_hd__or2_2
+XPHY_21589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72984_ _69656_/Y _72984_/B VGND VGND VPWR VPWR _74156_/B sky130_fd_sc_hd__or2_2
+XPHY_9997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_49_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_289_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_289_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77511_ _77434_/CLK _52570_/Y VGND VGND VPWR VPWR _60750_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_616_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43903_ _43670_/X VGND VGND VPWR VPWR _43934_/B sky130_fd_sc_hd__buf_1
+XFILLER_666_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74723_ _74723_/A VGND VGND VPWR VPWR _75348_/A sky130_fd_sc_hd__buf_1
+XFILLER_267_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47671_ _47668_/Y _47671_/B VGND VGND VPWR VPWR _78787_/D sky130_fd_sc_hd__nand2_2
+X_59657_ _59657_/A VGND VGND VPWR VPWR _59856_/A sky130_fd_sc_hd__buf_1
+X_71935_ _70730_/X _71760_/X VGND VGND VPWR VPWR _71935_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_111_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78491_ _78474_/CLK _48839_/Y VGND VGND VPWR VPWR _63808_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_10365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_439_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44883_ _44883_/A _44883_/B _44880_/Y VGND VGND VPWR VPWR _44883_/X sky130_fd_sc_hd__or3_2
+X_56869_ _56774_/A _56869_/B _56869_/C VGND VGND VPWR VPWR _56870_/B sky130_fd_sc_hd__nor3_2
+XPHY_20899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49410_ _49438_/A _49419_/B VGND VGND VPWR VPWR _49410_/X sky130_fd_sc_hd__or2_2
+XFILLER_347_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46622_ _46618_/B _46622_/B VGND VGND VPWR VPWR _46622_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_21_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58608_ _80299_/Q _58464_/B VGND VGND VPWR VPWR _58609_/C sky130_fd_sc_hd__nor2_2
+XFILLER_98_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77442_ _77454_/CLK _77442_/D VGND VGND VPWR VPWR _61213_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_65_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43834_ _43834_/A _43805_/A _43834_/C VGND VGND VPWR VPWR _43836_/B sky130_fd_sc_hd__and3_2
+XFILLER_640_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_580_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74654_ _74670_/A _74654_/B _80881_/Q VGND VGND VPWR VPWR _74654_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_18_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59588_ _62238_/A VGND VGND VPWR VPWR _59757_/B sky130_fd_sc_hd__buf_1
+X_71866_ _71864_/X _71866_/B VGND VGND VPWR VPWR _81494_/D sky130_fd_sc_hd__nand2_2
+XFILLER_322_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_542_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_612_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73605_ _73605_/A _73605_/B VGND VGND VPWR VPWR _73605_/Y sky130_fd_sc_hd__nand2_2
+X_49341_ _51155_/A VGND VGND VPWR VPWR _49341_/X sky130_fd_sc_hd__buf_1
+XFILLER_163_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_128_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_640_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_382_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46553_ _46609_/A VGND VGND VPWR VPWR _46553_/X sky130_fd_sc_hd__buf_1
+X_70817_ _70823_/A _69761_/X _69952_/X VGND VGND VPWR VPWR _70818_/C sky130_fd_sc_hd__nor3_2
+X_58539_ _58373_/A _58539_/B _58538_/Y VGND VGND VPWR VPWR _58539_/Y sky130_fd_sc_hd__nor3_2
+X_77373_ _77333_/CLK _53079_/Y VGND VGND VPWR VPWR _60531_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_407_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43765_ _74689_/A _43938_/A VGND VGND VPWR VPWR _43765_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_640_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74585_ _74045_/A _74582_/B VGND VGND VPWR VPWR _74588_/A sky130_fd_sc_hd__or2_2
+XFILLER_523_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_130_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40977_ _40615_/A _40984_/B VGND VGND VPWR VPWR _40977_/X sky130_fd_sc_hd__or2_2
+XPHY_29864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71797_ _71642_/X _71782_/X _71650_/X _42720_/B VGND VGND VPWR VPWR _71798_/A sky130_fd_sc_hd__o22a_4
+XFILLER_362_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79112_ _79116_/CLK _45649_/Y VGND VGND VPWR VPWR _45647_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_406_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45504_ _69688_/A _45521_/B VGND VGND VPWR VPWR _45510_/B sky130_fd_sc_hd__nor2_2
+XFILLER_720_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76324_ _38205_/A _76312_/B VGND VGND VPWR VPWR _76326_/A sky130_fd_sc_hd__or2_2
+XPHY_19363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_34_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42716_ _42628_/X _42715_/X _70604_/Y _42685_/X VGND VGND VPWR VPWR _42716_/X sky130_fd_sc_hd__o22a_4
+XFILLER_508_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_501_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61550_ _61550_/A _61550_/B _61550_/C VGND VGND VPWR VPWR _61551_/C sky130_fd_sc_hd__nor3_2
+XFILLER_61_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49272_ _49243_/A _49265_/X VGND VGND VPWR VPWR _49273_/B sky130_fd_sc_hd__or2_2
+XFILLER_595_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73536_ _73778_/A _73522_/B VGND VGND VPWR VPWR _73540_/A sky130_fd_sc_hd__or2_2
+XFILLER_406_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_124_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46484_ _47234_/A _46484_/B VGND VGND VPWR VPWR _79015_/D sky130_fd_sc_hd__nor2_2
+X_70748_ _69704_/A _70712_/B VGND VGND VPWR VPWR _70752_/A sky130_fd_sc_hd__nor2_2
+XFILLER_505_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_441_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_140 _71576_/C VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_403_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43696_ _43696_/A VGND VGND VPWR VPWR _43696_/Y sky130_fd_sc_hd__inv_8
+XPHY_18640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_151 _39193_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_76_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_162 _71870_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_696_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48223_ _48163_/X _48223_/B VGND VGND VPWR VPWR _48223_/X sky130_fd_sc_hd__or2_2
+XFILLER_425_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60501_ _60005_/A _60460_/Y _60500_/Y VGND VGND VPWR VPWR _60501_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_499_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79043_ _79307_/CLK _46043_/Y VGND VGND VPWR VPWR _72131_/A sky130_fd_sc_hd__dfxtp_4
+XANTENNA_173 _38859_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_280_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45435_ _45045_/A _45435_/B VGND VGND VPWR VPWR _45435_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_215_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_36030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76255_ _76259_/A _76263_/B _80487_/Q VGND VGND VPWR VPWR _76256_/B sky130_fd_sc_hd__nand3_2
+XFILLER_423_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42647_ _42647_/A _42645_/Y _42646_/X _42594_/D VGND VGND VPWR VPWR _42647_/Y sky130_fd_sc_hd__nor4_2
+X_61481_ _60855_/A _61481_/B _61480_/Y VGND VGND VPWR VPWR _61481_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_692_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_184 _72663_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_402_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73467_ _75025_/A _73467_/B VGND VGND VPWR VPWR _73467_/X sky130_fd_sc_hd__or2_2
+XPHY_36041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70679_ _76650_/Q _70628_/B VGND VGND VPWR VPWR _70681_/A sky130_fd_sc_hd__nand2_2
+XFILLER_540_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_195 _75947_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_57_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63220_ _78398_/Q _62909_/B VGND VGND VPWR VPWR _63221_/C sky130_fd_sc_hd__nor2_2
+X_75206_ _75206_/A VGND VGND VPWR VPWR _75243_/A sky130_fd_sc_hd__buf_1
+XFILLER_695_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_92_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_578_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48154_ _78664_/Q _48135_/X VGND VGND VPWR VPWR _48157_/A sky130_fd_sc_hd__nand2_2
+X_72418_ _72659_/A _72428_/B VGND VGND VPWR VPWR _72420_/A sky130_fd_sc_hd__or2_2
+X_60432_ _60432_/A _60269_/B VGND VGND VPWR VPWR _60434_/B sky130_fd_sc_hd__nor2_2
+XPHY_17972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45366_ _45366_/A _45366_/B VGND VGND VPWR VPWR _45379_/A sky130_fd_sc_hd__nor2_2
+XFILLER_198_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76186_ _76184_/X _76186_/B VGND VGND VPWR VPWR _80503_/D sky130_fd_sc_hd__nand2_2
+XFILLER_360_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42578_ _42576_/X _42577_/X _70288_/X _42514_/X VGND VGND VPWR VPWR _42578_/X sky130_fd_sc_hd__o22a_4
+XFILLER_376_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73398_ _73398_/A _73387_/B _69055_/A VGND VGND VPWR VPWR _73402_/A sky130_fd_sc_hd__nand3_2
+XFILLER_122_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_534_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47105_ _47105_/A _47105_/B VGND VGND VPWR VPWR _47106_/B sky130_fd_sc_hd__or2_2
+XFILLER_204_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_638_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_274_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44317_ _44546_/A VGND VGND VPWR VPWR _44398_/B sky130_fd_sc_hd__buf_1
+XFILLER_202_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_552_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63151_ _63151_/A VGND VGND VPWR VPWR _63154_/A sky130_fd_sc_hd__buf_1
+X_75137_ _75256_/A _75137_/B VGND VGND VPWR VPWR _75137_/X sky130_fd_sc_hd__or2_2
+XFILLER_395_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41529_ _41528_/X VGND VGND VPWR VPWR _41530_/A sky130_fd_sc_hd__buf_1
+XFILLER_725_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60363_ _60039_/A _60361_/Y _60362_/Y VGND VGND VPWR VPWR _60363_/Y sky130_fd_sc_hd__nor3_2
+X_48085_ _48082_/Y _48084_/X VGND VGND VPWR VPWR _78682_/D sky130_fd_sc_hd__nand2_2
+X_72349_ _72346_/X VGND VGND VPWR VPWR _72453_/B sky130_fd_sc_hd__buf_1
+XFILLER_552_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45297_ _45216_/A _45296_/Y VGND VGND VPWR VPWR _45297_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_239_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62102_ _62099_/X _62100_/Y _62102_/C VGND VGND VPWR VPWR _62108_/B sky130_fd_sc_hd__nor3_2
+XPHY_34672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_363_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_335_338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_573_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_714_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47036_ _46910_/A VGND VGND VPWR VPWR _47045_/A sky130_fd_sc_hd__buf_1
+XFILLER_619_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44248_ _44263_/A _44248_/B VGND VGND VPWR VPWR _79248_/D sky130_fd_sc_hd__nor2_2
+X_75068_ _74931_/X _75080_/B _80781_/Q VGND VGND VPWR VPWR _75069_/B sky130_fd_sc_hd__nand3_2
+XFILLER_390_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79945_ _79944_/CLK _79945_/D VGND VGND VPWR VPWR _40153_/C sky130_fd_sc_hd__dfxtp_4
+X_63082_ _63082_/A _63550_/B VGND VGND VPWR VPWR _63082_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_176_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_328_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_259_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60294_ _54853_/A _59809_/B VGND VGND VPWR VPWR _60294_/Y sky130_fd_sc_hd__nor2_2
+XPHY_24171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_31_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_319_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_127_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66910_ _67392_/A _66910_/B _66909_/Y VGND VGND VPWR VPWR _66910_/Y sky130_fd_sc_hd__nor3_2
+X_74019_ _73991_/A VGND VGND VPWR VPWR _74020_/A sky130_fd_sc_hd__buf_1
+XFILLER_315_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62033_ _61873_/X _62031_/Y _62032_/Y VGND VGND VPWR VPWR _62033_/Y sky130_fd_sc_hd__nor3_2
+XPHY_33982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44179_ _44179_/A _44179_/B VGND VGND VPWR VPWR _44179_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_274_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_192_1405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_686_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67890_ _38269_/C _67731_/B VGND VGND VPWR VPWR _67892_/B sky130_fd_sc_hd__nor2_2
+XPHY_23470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79876_ _80039_/CLK _40421_/Y VGND VGND VPWR VPWR _67992_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_139_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_632_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_3052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66841_ _67176_/A _66839_/Y _66840_/Y VGND VGND VPWR VPWR _66841_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_290_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78827_ _78800_/CLK _78827_/D VGND VGND VPWR VPWR _63927_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_83_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_217_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_335_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48987_ _78452_/Q _48987_/B VGND VGND VPWR VPWR _48987_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_649_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_272_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_645_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_389_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_586_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38740_ _75947_/A _38740_/B VGND VGND VPWR VPWR _38763_/A sky130_fd_sc_hd__or2_2
+XFILLER_487_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69560_ _46048_/X _69560_/B _42149_/C VGND VGND VPWR VPWR _76724_/D sky130_fd_sc_hd__nor3_2
+XFILLER_48_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47938_ _78718_/Q _47935_/B VGND VGND VPWR VPWR _47940_/A sky130_fd_sc_hd__nand2_2
+X_66772_ _66772_/A _66287_/B VGND VGND VPWR VPWR _66773_/C sky130_fd_sc_hd__nor2_2
+XFILLER_363_73 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78758_ _78758_/CLK _78758_/D VGND VGND VPWR VPWR _47781_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_269_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_151_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63984_ _59314_/A _63982_/Y _63984_/C VGND VGND VPWR VPWR _63984_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_116_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_684_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_589_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_457_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_95 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_684_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68511_ _68511_/A _68677_/B VGND VGND VPWR VPWR _68511_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_567_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65723_ _66066_/A _65723_/B _65723_/C VGND VGND VPWR VPWR _65730_/B sky130_fd_sc_hd__nor3_2
+X_77709_ _77211_/CLK _77709_/D VGND VGND VPWR VPWR _60502_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_458_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_131_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38671_ _38669_/X _38671_/B VGND VGND VPWR VPWR _80322_/D sky130_fd_sc_hd__nand2_2
+XFILLER_485_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62935_ _76758_/Q _63401_/B VGND VGND VPWR VPWR _62936_/C sky130_fd_sc_hd__nor2_2
+XFILLER_9_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69491_ _69491_/A _64527_/B VGND VGND VPWR VPWR _69491_/Y sky130_fd_sc_hd__nor2_2
+X_47869_ _47835_/A _47869_/B VGND VGND VPWR VPWR _47869_/X sky130_fd_sc_hd__or2_2
+XFILLER_285_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78689_ _78209_/CLK _48058_/Y VGND VGND VPWR VPWR _63617_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_57_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_649_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_168_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49608_ _49638_/A _49596_/B VGND VGND VPWR VPWR _49608_/X sky130_fd_sc_hd__or2_2
+X_80720_ _80845_/CLK _80720_/D VGND VGND VPWR VPWR _75297_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_461_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68442_ _68611_/A _68442_/B _68442_/C VGND VGND VPWR VPWR _68442_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_151_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_417_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65654_ _65654_/A VGND VGND VPWR VPWR _65657_/A sky130_fd_sc_hd__buf_1
+XFILLER_383_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_283_153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_110_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62866_ _78132_/Q _62241_/B VGND VGND VPWR VPWR _62867_/C sky130_fd_sc_hd__nor2_2
+X_50880_ _54702_/A _50908_/B VGND VGND VPWR VPWR _50906_/B sky130_fd_sc_hd__or2_2
+XFILLER_285_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_232_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_361_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_283_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64605_ _64605_/A _64771_/B VGND VGND VPWR VPWR _64605_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_348_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_42_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_446_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80651_ _80659_/CLK _80651_/D VGND VGND VPWR VPWR _75601_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_348_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49539_ _49539_/A VGND VGND VPWR VPWR _49552_/B sky130_fd_sc_hd__buf_1
+X_61817_ _61500_/A _61815_/Y _61817_/C VGND VGND VPWR VPWR _61821_/B sky130_fd_sc_hd__nor3_2
+XFILLER_662_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68373_ _67724_/A _68373_/B _68372_/Y VGND VGND VPWR VPWR _68374_/C sky130_fd_sc_hd__nor3_2
+XFILLER_604_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_615_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65585_ _65743_/A _65583_/Y _65585_/C VGND VGND VPWR VPWR _65585_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_383_1446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62797_ _51031_/A _62170_/X VGND VGND VPWR VPWR _62797_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_587_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_209_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_59_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67324_ _57722_/A _67652_/B VGND VGND VPWR VPWR _67324_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_55_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_424_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52550_ _52575_/B VGND VGND VPWR VPWR _52555_/B sky130_fd_sc_hd__buf_1
+X_64536_ _64536_/A _69158_/B VGND VGND VPWR VPWR _64540_/B sky130_fd_sc_hd__nor2_2
+XFILLER_64_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61748_ _59372_/A VGND VGND VPWR VPWR _61921_/A sky130_fd_sc_hd__buf_1
+XFILLER_146_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80582_ _80577_/CLK _75864_/Y VGND VGND VPWR VPWR _68202_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_322_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_621_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_402_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_691_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_621_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39223_ _39205_/A _39222_/X _65542_/A VGND VGND VPWR VPWR _39224_/B sky130_fd_sc_hd__nand3_2
+X_51501_ _51501_/A _51501_/B VGND VGND VPWR VPWR _51501_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_266_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67255_ _74067_/C _67255_/B VGND VGND VPWR VPWR _67255_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_244_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64467_ _64467_/A _64467_/B _64466_/Y VGND VGND VPWR VPWR _64467_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_559_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52481_ _60774_/A _52485_/B VGND VGND VPWR VPWR _52484_/A sky130_fd_sc_hd__nand2_2
+XFILLER_528_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_343_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_240_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_396_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_2_0_3_u_core.clock clkbuf_2_0_3_u_core.clock/A VGND VGND VPWR VPWR clkbuf_3_1_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_178_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_656_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61679_ _61672_/X _61675_/Y _61678_/Y VGND VGND VPWR VPWR _61679_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_101_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_107_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_307_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_178_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54220_ _54232_/A VGND VGND VPWR VPWR _54239_/B sky130_fd_sc_hd__buf_1
+X_66206_ _66040_/Y _66042_/Y _66206_/C _66206_/D VGND VGND VPWR VPWR _76695_/D sky130_fd_sc_hd__or4_2
+XFILLER_181_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39154_ _39151_/X _39153_/Y VGND VGND VPWR VPWR _80196_/D sky130_fd_sc_hd__nand2_2
+X_51432_ _51732_/A VGND VGND VPWR VPWR _51519_/A sky130_fd_sc_hd__buf_1
+XFILLER_205_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63418_ _47775_/A _62792_/X VGND VGND VPWR VPWR _63420_/B sky130_fd_sc_hd__nor2_2
+XFILLER_75_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67186_ _67186_/A _67186_/B _67185_/Y VGND VGND VPWR VPWR _67190_/B sky130_fd_sc_hd__nor3_2
+XFILLER_178_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64398_ _64169_/A VGND VGND VPWR VPWR _65194_/A sky130_fd_sc_hd__buf_1
+XFILLER_500_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_394_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_339_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_162_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_53_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_0_0_u_core.clock clkbuf_5_0_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_1_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_691_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_71_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_617_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81203_ _81203_/CLK _73370_/Y VGND VGND VPWR VPWR _65091_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_394_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_2_3_2_u_core.clock clkbuf_2_3_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_2_3_2_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_54151_ _54149_/Y _54150_/X VGND VGND VPWR VPWR _54151_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_359_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66137_ _66137_/A _65965_/B VGND VGND VPWR VPWR _66139_/B sky130_fd_sc_hd__nor2_2
+XFILLER_715_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_88_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51363_ _77829_/Q _51363_/B VGND VGND VPWR VPWR _51363_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_676_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39085_ _39075_/A _39079_/B _39085_/C VGND VGND VPWR VPWR _39086_/B sky130_fd_sc_hd__nand3_2
+X_63349_ _47749_/A _63037_/B VGND VGND VPWR VPWR _63351_/B sky130_fd_sc_hd__nor2_2
+XPHY_14609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_339_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_555_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_179_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_656_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_299_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_203_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53102_ _60638_/A _53105_/B VGND VGND VPWR VPWR _53104_/A sky130_fd_sc_hd__nand2_2
+XFILLER_175_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50314_ _50311_/X VGND VGND VPWR VPWR _50336_/B sky130_fd_sc_hd__buf_1
+XFILLER_535_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81134_ _80790_/CLK _81134_/D VGND VGND VPWR VPWR _81134_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_457_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54082_ _54683_/A VGND VGND VPWR VPWR _54083_/A sky130_fd_sc_hd__buf_1
+XFILLER_381_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66068_ _66068_/A _66394_/B VGND VGND VPWR VPWR _66068_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_257_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_354_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51294_ _51294_/A _51294_/B VGND VGND VPWR VPWR _51294_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_192_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_437_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_435_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_56_0_u_core.clock clkbuf_7_57_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_56_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_359_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57910_ _57112_/A VGND VGND VPWR VPWR _57911_/B sky130_fd_sc_hd__buf_1
+X_53033_ _61325_/A _53040_/B VGND VGND VPWR VPWR _53036_/A sky130_fd_sc_hd__nand2_2
+XFILLER_710_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65019_ _65197_/A _65019_/B VGND VGND VPWR VPWR _65020_/C sky130_fd_sc_hd__nor2_2
+XFILLER_390_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50245_ _50245_/A _50273_/B VGND VGND VPWR VPWR _50245_/X sky130_fd_sc_hd__or2_2
+XFILLER_335_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81065_ _81162_/CLK _81065_/D VGND VGND VPWR VPWR _68725_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_454_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_435_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58890_ _59093_/A VGND VGND VPWR VPWR _62365_/A sky130_fd_sc_hd__buf_1
+XFILLER_552_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_218_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_489_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_270_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80016_ _79921_/CLK _80016_/D VGND VGND VPWR VPWR _64654_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_279_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57841_ _57831_/Y _57841_/B VGND VGND VPWR VPWR _57842_/B sky130_fd_sc_hd__nor2_2
+XFILLER_712_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_136_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69827_ _55913_/A VGND VGND VPWR VPWR _55890_/A sky130_fd_sc_hd__inv_8
+XFILLER_10_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50176_ _61923_/A _50173_/B VGND VGND VPWR VPWR _50178_/A sky130_fd_sc_hd__nand2_2
+XFILLER_468_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39987_ _39984_/X _39987_/B VGND VGND VPWR VPWR _79989_/D sky130_fd_sc_hd__nand2_2
+XPHY_9249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_689_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_273_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_315_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_173_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_413_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38938_ _38562_/A _38935_/B VGND VGND VPWR VPWR _38942_/A sky130_fd_sc_hd__or2_2
+XFILLER_388_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57772_ _67291_/A _57611_/X VGND VGND VPWR VPWR _57774_/B sky130_fd_sc_hd__nor2_2
+XFILLER_700_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69758_ _69749_/Y _69758_/B VGND VGND VPWR VPWR _69758_/X sky130_fd_sc_hd__or2_2
+XFILLER_495_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54984_ _54982_/Y _54983_/X VGND VGND VPWR VPWR _76871_/D sky130_fd_sc_hd__nand2_2
+XFILLER_86_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_216_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_99_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59511_ _64028_/A _59506_/Y _59511_/C VGND VGND VPWR VPWR _59511_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_82_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56723_ _65113_/A _56723_/B VGND VGND VPWR VPWR _56723_/Y sky130_fd_sc_hd__nor2_2
+X_68709_ _68709_/A _68709_/B VGND VGND VPWR VPWR _68709_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_429_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53935_ _53935_/A _53934_/X VGND VGND VPWR VPWR _53935_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_368_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_102_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38869_ _38473_/A _38979_/B VGND VGND VPWR VPWR _38871_/A sky130_fd_sc_hd__or2_2
+XFILLER_606_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69689_ _69665_/B _69689_/B VGND VGND VPWR VPWR _69690_/B sky130_fd_sc_hd__nor2_2
+XFILLER_585_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_463_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40900_ _40390_/A _40900_/B VGND VGND VPWR VPWR _40900_/X sky130_fd_sc_hd__or2_2
+XFILLER_5_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59442_ _53301_/A _59764_/B VGND VGND VPWR VPWR _59442_/Y sky130_fd_sc_hd__nor2_2
+X_71720_ _71788_/B VGND VGND VPWR VPWR _71721_/A sky130_fd_sc_hd__inv_8
+XFILLER_186_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_141_0_u_core.clock clkbuf_7_70_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_283_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_47_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_25_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80918_ _80887_/CLK _74511_/Y VGND VGND VPWR VPWR _65607_/A sky130_fd_sc_hd__dfxtp_4
+X_56654_ _64950_/A _56406_/B VGND VGND VPWR VPWR _56654_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_229_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_424_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_436_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_429_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41880_ _42384_/A _45361_/A _41871_/X _45311_/A VGND VGND VPWR VPWR _41880_/X sky130_fd_sc_hd__o22a_4
+XFILLER_704_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53866_ _53838_/A _53866_/B VGND VGND VPWR VPWR _53867_/B sky130_fd_sc_hd__or2_2
+XFILLER_366_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_28_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_461_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55605_ _55605_/A _55605_/B VGND VGND VPWR VPWR _55605_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_245_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40831_ _40829_/X _40831_/B VGND VGND VPWR VPWR _79772_/D sky130_fd_sc_hd__nand2_2
+XPHY_28404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52817_ _52817_/A _52794_/A VGND VGND VPWR VPWR _52817_/X sky130_fd_sc_hd__or2_2
+XFILLER_266_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59373_ _59373_/A VGND VGND VPWR VPWR _59376_/A sky130_fd_sc_hd__buf_1
+XFILLER_442_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_262_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71651_ _70553_/X _71651_/B VGND VGND VPWR VPWR _71652_/B sky130_fd_sc_hd__nor2_2
+XPHY_38938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_463_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56585_ _56582_/X _56583_/Y _56585_/C VGND VGND VPWR VPWR _56585_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_262_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80849_ _80844_/CLK _74809_/Y VGND VGND VPWR VPWR _64712_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_147_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53797_ _53853_/A _53797_/B VGND VGND VPWR VPWR _53797_/X sky130_fd_sc_hd__or2_2
+XFILLER_446_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_344_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_148_0_u_core.clock clkbuf_8_74_0_u_core.clock/X VGND VGND VPWR VPWR _77741_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_598_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58324_ _58324_/A _58633_/B VGND VGND VPWR VPWR _58327_/B sky130_fd_sc_hd__nor2_2
+X_70602_ _70001_/A VGND VGND VPWR VPWR _70606_/A sky130_fd_sc_hd__buf_1
+XFILLER_264_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_16_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43550_ _42465_/B _43560_/B VGND VGND VPWR VPWR _43550_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_227_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_577_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55536_ _71678_/A _55536_/B VGND VGND VPWR VPWR _55547_/A sky130_fd_sc_hd__or2_2
+X_74370_ _74636_/A _74381_/B VGND VGND VPWR VPWR _74372_/A sky130_fd_sc_hd__or2_2
+XFILLER_83_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_364_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40762_ _40758_/X VGND VGND VPWR VPWR _40876_/B sky130_fd_sc_hd__buf_1
+XFILLER_600_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52748_ _52748_/A _52747_/X VGND VGND VPWR VPWR _52748_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_243_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71582_ _71653_/A _71660_/B VGND VGND VPWR VPWR _71583_/B sky130_fd_sc_hd__nor2_2
+XFILLER_227_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_442_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_36_0_u_core.clock clkbuf_8_37_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_73_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_245_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_578_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_147_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42501_ _42528_/A _42501_/B VGND VGND VPWR VPWR _42501_/X sky130_fd_sc_hd__and2_2
+X_73321_ _74999_/A _73317_/X VGND VGND VPWR VPWR _73321_/X sky130_fd_sc_hd__or2_2
+XPHY_27747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70533_ _69960_/X _70533_/B _70364_/Y VGND VGND VPWR VPWR _70534_/B sky130_fd_sc_hd__or3_2
+XPHY_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_364_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_2930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58255_ _58255_/A _58255_/B _58255_/C VGND VGND VPWR VPWR _58255_/Y sky130_fd_sc_hd__nor3_2
+XPHY_27758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43481_ _42272_/A _42405_/X _41706_/C VGND VGND VPWR VPWR _43482_/B sky130_fd_sc_hd__and3_2
+XFILLER_128_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55467_ _55443_/A _55466_/Y VGND VGND VPWR VPWR _55468_/B sky130_fd_sc_hd__nor2_2
+XPHY_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_361_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40693_ _40693_/A _40685_/B _67485_/A VGND VGND VPWR VPWR _40693_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_35_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52679_ _77482_/Q _52671_/X VGND VGND VPWR VPWR _52679_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_262_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_42_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_376_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_540_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_399_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45220_ _45128_/X _45220_/B _45219_/Y VGND VGND VPWR VPWR _45220_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_223_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57206_ _57203_/X _57206_/B _57206_/C VGND VGND VPWR VPWR _57206_/Y sky130_fd_sc_hd__nor3_2
+X_76040_ _75548_/A _76036_/B VGND VGND VPWR VPWR _76040_/X sky130_fd_sc_hd__or2_2
+XFILLER_593_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42432_ _42424_/X _42432_/B VGND VGND VPWR VPWR _42433_/B sky130_fd_sc_hd__nand2_2
+XFILLER_212_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54418_ _54474_/A _54424_/B VGND VGND VPWR VPWR _54419_/B sky130_fd_sc_hd__or2_2
+XFILLER_325_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73252_ _73252_/A _73251_/X VGND VGND VPWR VPWR _81233_/D sky130_fd_sc_hd__nand2_2
+XPHY_2154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58186_ _80006_/Q _58033_/B VGND VGND VPWR VPWR _58187_/C sky130_fd_sc_hd__nor2_2
+XPHY_17279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70464_ _70505_/A _70464_/B _70463_/Y VGND VGND VPWR VPWR _70464_/X sky130_fd_sc_hd__or3_2
+XFILLER_600_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_422_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_240_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55398_ _55373_/A _55397_/Y VGND VGND VPWR VPWR _55398_/Y sky130_fd_sc_hd__nor2_2
+XPHY_16545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_321_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_15_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_391_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72203_ _72203_/A VGND VGND VPWR VPWR _74709_/A sky130_fd_sc_hd__buf_1
+XPHY_15822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45151_ _45182_/A _45150_/Y VGND VGND VPWR VPWR _45152_/C sky130_fd_sc_hd__nor2_2
+XPHY_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57137_ _57137_/A _56818_/B VGND VGND VPWR VPWR _57137_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_665_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_420_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_377_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54349_ _77042_/Q _54353_/B VGND VGND VPWR VPWR _54349_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_329_165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42363_ _41764_/A _42339_/B VGND VGND VPWR VPWR _42363_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_497_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73183_ _73183_/A _73183_/B VGND VGND VPWR VPWR _81248_/D sky130_fd_sc_hd__nand2_2
+XPHY_33201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70395_ _70328_/B _70394_/Y VGND VGND VPWR VPWR _70395_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_195_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_279_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44102_ _44102_/A VGND VGND VPWR VPWR _44103_/C sky130_fd_sc_hd__inv_8
+XPHY_15866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41314_ _41295_/X VGND VGND VPWR VPWR _41315_/B sky130_fd_sc_hd__buf_1
+XFILLER_674_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72134_ _70071_/A _70879_/X VGND VGND VPWR VPWR _72134_/X sky130_fd_sc_hd__or2_2
+XFILLER_32_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45082_ _45082_/A _55500_/B VGND VGND VPWR VPWR _45082_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_690_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57068_ _66003_/A _56740_/X VGND VGND VPWR VPWR _57068_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_221_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42294_ io_out[21] _42294_/B VGND VGND VPWR VPWR _42294_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_557_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77991_ _77998_/CLK _50752_/Y VGND VGND VPWR VPWR _62027_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_33256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_158_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44033_ _44033_/A VGND VGND VPWR VPWR _44287_/A sky130_fd_sc_hd__inv_8
+X_48910_ _48910_/A _48909_/X VGND VGND VPWR VPWR _78471_/D sky130_fd_sc_hd__nand2_2
+XPHY_22010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56019_ _45332_/Y _56010_/X VGND VGND VPWR VPWR _56021_/B sky130_fd_sc_hd__nor2_2
+XFILLER_687_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79730_ _79788_/CLK _79730_/D VGND VGND VPWR VPWR _64951_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_273_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41245_ _40837_/A VGND VGND VPWR VPWR _41263_/A sky130_fd_sc_hd__buf_1
+XPHY_32544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_351_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76942_ _76941_/CLK _76942_/D VGND VGND VPWR VPWR _59294_/A sky130_fd_sc_hd__dfxtp_4
+X_72065_ _72064_/Y _70168_/X _72057_/A _70429_/B VGND VGND VPWR VPWR _72065_/X sky130_fd_sc_hd__o22a_4
+XFILLER_688_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49890_ _49890_/A _49885_/X VGND VGND VPWR VPWR _49892_/A sky130_fd_sc_hd__nand2_2
+XFILLER_137_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_193_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_681_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_436_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_124_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_709_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_570_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71016_ _71015_/Y _70200_/Y VGND VGND VPWR VPWR _71016_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_174_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_238_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48841_ _48832_/A VGND VGND VPWR VPWR _48845_/B sky130_fd_sc_hd__buf_1
+XFILLER_486_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79661_ _79757_/CLK _41281_/Y VGND VGND VPWR VPWR _58772_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_341_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41176_ _41154_/A VGND VGND VPWR VPWR _41176_/X sky130_fd_sc_hd__buf_1
+XFILLER_117_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76873_ _77163_/CLK _54978_/Y VGND VGND VPWR VPWR _59892_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_354_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_332_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_10_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_414_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78612_ _78589_/CLK _78612_/D VGND VGND VPWR VPWR _63985_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_140_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40127_ _40140_/A VGND VGND VPWR VPWR _40127_/X sky130_fd_sc_hd__buf_1
+XFILLER_279_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75824_ _75822_/X _75823_/Y VGND VGND VPWR VPWR _80591_/D sky130_fd_sc_hd__nand2_2
+XFILLER_65_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_112_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_139_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48772_ _48867_/A _48768_/B VGND VGND VPWR VPWR _48772_/X sky130_fd_sc_hd__or2_2
+XPHY_9772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79592_ _79395_/CLK _41542_/Y VGND VGND VPWR VPWR _58402_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_691_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45984_ _45965_/X VGND VGND VPWR VPWR _45984_/X sky130_fd_sc_hd__buf_1
+XFILLER_152_1411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_78_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_136_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_16_0_u_core.clock clkbuf_8_8_0_u_core.clock/X VGND VGND VPWR VPWR _77567_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_20641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_152_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_646_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_6_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47723_ _47753_/A _47710_/X VGND VGND VPWR VPWR _47723_/X sky130_fd_sc_hd__or2_2
+X_59709_ _59547_/A _59707_/Y _59709_/C VGND VGND VPWR VPWR _59709_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_349_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78543_ _78525_/CLK _78543_/D VGND VGND VPWR VPWR _63285_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40058_ _40069_/A _40065_/B _79970_/Q VGND VGND VPWR VPWR _40058_/Y sky130_fd_sc_hd__nand3_2
+X_44935_ _44935_/A _44935_/B VGND VGND VPWR VPWR _44935_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_705_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75755_ _75716_/X VGND VGND VPWR VPWR _75755_/X sky130_fd_sc_hd__buf_1
+XPHY_10151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60981_ _77680_/Q _60818_/B VGND VGND VPWR VPWR _60983_/B sky130_fd_sc_hd__nor2_2
+X_72967_ _72967_/A VGND VGND VPWR VPWR _73979_/A sky130_fd_sc_hd__buf_1
+XFILLER_310_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_289_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_388_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62720_ _59138_/A VGND VGND VPWR VPWR _63037_/B sky130_fd_sc_hd__buf_1
+X_74706_ _75213_/A _74685_/X VGND VGND VPWR VPWR _74706_/X sky130_fd_sc_hd__or2_2
+XFILLER_525_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71918_ _71864_/A _71918_/B VGND VGND VPWR VPWR _71918_/X sky130_fd_sc_hd__or2_2
+XFILLER_384_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47654_ _47652_/Y _47653_/X VGND VGND VPWR VPWR _47654_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_643_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78474_ _78474_/CLK _48901_/Y VGND VGND VPWR VPWR _63674_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_679_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44866_ _44875_/A _44666_/X VGND VGND VPWR VPWR _44867_/B sky130_fd_sc_hd__or2_2
+XFILLER_482_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_466_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_310_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75686_ _75928_/A _75678_/B VGND VGND VPWR VPWR _75688_/A sky130_fd_sc_hd__or2_2
+XFILLER_349_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_545_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_507_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72898_ _74737_/A VGND VGND VPWR VPWR _73926_/A sky130_fd_sc_hd__buf_1
+XFILLER_330_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_671_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46605_ _46563_/A _46605_/B _46604_/Y VGND VGND VPWR VPWR _78993_/D sky130_fd_sc_hd__or3_2
+XFILLER_1_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77425_ _77422_/CLK _52891_/Y VGND VGND VPWR VPWR _61057_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_169_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43817_ _72875_/A _43787_/X VGND VGND VPWR VPWR _43818_/C sky130_fd_sc_hd__nor2_2
+XFILLER_281_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62651_ _50702_/A _62966_/B VGND VGND VPWR VPWR _62652_/C sky130_fd_sc_hd__nor2_2
+XFILLER_605_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74637_ _74647_/A _74622_/X _80886_/Q VGND VGND VPWR VPWR _74637_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_265_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_21_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47585_ _63650_/A _47573_/X VGND VGND VPWR VPWR _47587_/A sky130_fd_sc_hd__nand2_2
+XFILLER_408_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71849_ _70664_/X _71788_/B VGND VGND VPWR VPWR _71849_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_631_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44797_ _44797_/A _44797_/B VGND VGND VPWR VPWR _44823_/C sky130_fd_sc_hd__nor2_2
+XFILLER_4_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_280_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61602_ _61919_/A _61602_/B _61601_/Y VGND VGND VPWR VPWR _61603_/C sky130_fd_sc_hd__nor3_2
+X_49324_ _41988_/Y _47337_/X _41990_/Y _47338_/X VGND VGND VPWR VPWR _49494_/A sky130_fd_sc_hd__o22a_4
+XPHY_29672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46536_ _46528_/A VGND VGND VPWR VPWR _46536_/X sky130_fd_sc_hd__buf_1
+X_65370_ _65862_/A _65370_/B _65370_/C VGND VGND VPWR VPWR _65370_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_46_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77356_ _76890_/CLK _77356_/D VGND VGND VPWR VPWR _53146_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_59_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43748_ _43877_/A _43747_/Y VGND VGND VPWR VPWR _43748_/Y sky130_fd_sc_hd__nor2_2
+X_62582_ _62582_/A _62894_/B VGND VGND VPWR VPWR _62582_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_80_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74568_ _74563_/A _74568_/B _80905_/Q VGND VGND VPWR VPWR _74568_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_499_2532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_559_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_679_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_558_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64321_ _68948_/A VGND VGND VPWR VPWR _64321_/X sky130_fd_sc_hd__buf_1
+X_76307_ _76305_/X _76307_/B VGND VGND VPWR VPWR _76307_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_108_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73519_ _73519_/A _73519_/B VGND VGND VPWR VPWR _73519_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61533_ _61074_/A _61533_/B _61532_/Y VGND VGND VPWR VPWR _61541_/B sky130_fd_sc_hd__nor3_2
+X_49255_ _49226_/A _54991_/A VGND VGND VPWR VPWR _49255_/X sky130_fd_sc_hd__or2_2
+XFILLER_91_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46467_ _46466_/X VGND VGND VPWR VPWR _46467_/Y sky130_fd_sc_hd__inv_8
+XPHY_28993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77287_ _77278_/CLK _53428_/Y VGND VGND VPWR VPWR _77287_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_61_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43679_ _43731_/A VGND VGND VPWR VPWR _44038_/B sky130_fd_sc_hd__inv_8
+XPHY_4090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74499_ _74496_/X _74498_/X VGND VGND VPWR VPWR _80921_/D sky130_fd_sc_hd__nand2_2
+XFILLER_410_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_397_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48206_ _63885_/A _48201_/X VGND VGND VPWR VPWR _48206_/Y sky130_fd_sc_hd__nand2_2
+X_67040_ _67040_/A _67040_/B VGND VGND VPWR VPWR _67040_/Y sky130_fd_sc_hd__nor2_2
+XPHY_18492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79026_ _78890_/CLK _79026_/D VGND VGND VPWR VPWR _43148_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_72_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45418_ _45388_/A _45417_/Y VGND VGND VPWR VPWR _45418_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_597_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64252_ _56383_/A _64175_/B VGND VGND VPWR VPWR _64252_/Y sky130_fd_sc_hd__nor2_2
+X_76238_ _76275_/A VGND VGND VPWR VPWR _76254_/B sky130_fd_sc_hd__buf_1
+XFILLER_159_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_692_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61464_ _61464_/A _60837_/B VGND VGND VPWR VPWR _61465_/C sky130_fd_sc_hd__nor2_2
+XFILLER_356_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49186_ _49186_/A _49186_/B VGND VGND VPWR VPWR _78400_/D sky130_fd_sc_hd__nand2_2
+XFILLER_583_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46398_ _45026_/A _46397_/Y VGND VGND VPWR VPWR _46398_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_593_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_280_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_226_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_382_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63203_ _59727_/A VGND VGND VPWR VPWR _63203_/X sky130_fd_sc_hd__buf_1
+XFILLER_726_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48137_ _47979_/A VGND VGND VPWR VPWR _48137_/X sky130_fd_sc_hd__buf_1
+X_60415_ _60100_/A _60415_/B VGND VGND VPWR VPWR _60416_/C sky130_fd_sc_hd__nor2_2
+XFILLER_297_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_230_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45349_ _45388_/A _45348_/Y VGND VGND VPWR VPWR _45349_/Y sky130_fd_sc_hd__nor2_2
+X_76169_ _76169_/A _76161_/B VGND VGND VPWR VPWR _76169_/X sky130_fd_sc_hd__or2_2
+XFILLER_571_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_537_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64183_ _64124_/A VGND VGND VPWR VPWR _64591_/A sky130_fd_sc_hd__buf_1
+XFILLER_15_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_348_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61395_ _61541_/A _61395_/B _61395_/C VGND VGND VPWR VPWR _61395_/Y sky130_fd_sc_hd__nor3_2
+XPHY_35181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_391_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_575_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_419_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_651_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63134_ _63134_/A _62988_/B VGND VGND VPWR VPWR _63135_/C sky130_fd_sc_hd__nor2_2
+XFILLER_308_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_725_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48068_ _63010_/A _48068_/B VGND VGND VPWR VPWR _48068_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_514_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60346_ _60017_/A _60346_/B _60346_/C VGND VGND VPWR VPWR _60347_/C sky130_fd_sc_hd__nor3_2
+XFILLER_278_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_364_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68991_ _68833_/A _68991_/B _68990_/Y VGND VGND VPWR VPWR _68995_/B sky130_fd_sc_hd__nor3_2
+XFILLER_391_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_141_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_50_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39910_ _40148_/A _39910_/B VGND VGND VPWR VPWR _39914_/A sky130_fd_sc_hd__or2_2
+XFILLER_239_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47019_ _47019_/A _47019_/B VGND VGND VPWR VPWR _47020_/B sky130_fd_sc_hd__and2_2
+XFILLER_190_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67942_ _67942_/A _67942_/B VGND VGND VPWR VPWR _67944_/B sky130_fd_sc_hd__nor2_2
+XFILLER_67_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63065_ _62446_/A _63063_/Y _63065_/C VGND VGND VPWR VPWR _63066_/C sky130_fd_sc_hd__nor3_2
+XFILLER_714_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79928_ _80027_/CLK _79928_/D VGND VGND VPWR VPWR _79928_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_713_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60277_ _59470_/A VGND VGND VPWR VPWR _60290_/A sky130_fd_sc_hd__buf_1
+XFILLER_317_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_710_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_176_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_154_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_174_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_127_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_651_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50030_ _61762_/A _50027_/B VGND VGND VPWR VPWR _50032_/A sky130_fd_sc_hd__nand2_2
+X_62016_ _62016_/A _61390_/B VGND VGND VPWR VPWR _62016_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_521_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39841_ _76178_/A VGND VGND VPWR VPWR _40331_/A sky130_fd_sc_hd__buf_1
+XFILLER_48_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67873_ _67873_/A _67873_/B VGND VGND VPWR VPWR _67873_/Y sky130_fd_sc_hd__nor2_2
+X_79859_ _79794_/CLK _79859_/D VGND VGND VPWR VPWR _56669_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_28_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_686_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_135_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69612_ _70466_/B _69611_/Y VGND VGND VPWR VPWR _69612_/X sky130_fd_sc_hd__or2_2
+XFILLER_369_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_102_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66824_ _67158_/A _66824_/B _66824_/C VGND VGND VPWR VPWR _66828_/B sky130_fd_sc_hd__nor3_2
+XFILLER_354_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39772_ _39137_/A VGND VGND VPWR VPWR _40277_/A sky130_fd_sc_hd__buf_1
+XFILLER_170_1500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_233_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_647_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_83_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_629_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_654_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38723_ _38721_/X _38722_/Y VGND VGND VPWR VPWR _38723_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_430_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_488_2992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69543_ _64407_/A _69543_/B _69543_/C VGND VGND VPWR VPWR _69544_/C sky130_fd_sc_hd__nor3_2
+XFILLER_458_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_367_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66755_ _67091_/A _66746_/Y _66755_/C VGND VGND VPWR VPWR _66776_/A sky130_fd_sc_hd__nor3_2
+XFILLER_410_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_492_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_458_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51981_ _51981_/A _51981_/B VGND VGND VPWR VPWR _51981_/Y sky130_fd_sc_hd__nand2_2
+X_63967_ _63967_/A _58916_/B VGND VGND VPWR VPWR _63967_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_547_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_446_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_44_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_96_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_332_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_705_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_22_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_487_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_2_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53720_ _53664_/A _53729_/B VGND VGND VPWR VPWR _53721_/B sky130_fd_sc_hd__or2_2
+X_65706_ _65376_/A _65706_/B _65706_/C VGND VGND VPWR VPWR _65706_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_29_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_69_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38654_ _38672_/A VGND VGND VPWR VPWR _38669_/B sky130_fd_sc_hd__buf_1
+X_50932_ _50932_/A _50931_/X VGND VGND VPWR VPWR _77942_/D sky130_fd_sc_hd__nand2_2
+XFILLER_229_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_708_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62918_ _62363_/X _62918_/B _62917_/Y VGND VGND VPWR VPWR _62919_/B sky130_fd_sc_hd__nor3_2
+XFILLER_272_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_443_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69474_ _69474_/A _69474_/B VGND VGND VPWR VPWR _69474_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_390_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66686_ _66686_/A _66686_/B _66686_/C VGND VGND VPWR VPWR _66687_/C sky130_fd_sc_hd__nor3_2
+XFILLER_0_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_113_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63898_ _63636_/A _63898_/B _63897_/Y VGND VGND VPWR VPWR _63898_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_168_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_547_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_645_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_186 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80703_ _80734_/CLK _75365_/Y VGND VGND VPWR VPWR _67040_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_541_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68425_ _67939_/A _68425_/B _68425_/C VGND VGND VPWR VPWR _68506_/B sky130_fd_sc_hd__nor3_2
+XFILLER_528_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53651_ _53660_/A VGND VGND VPWR VPWR _53663_/B sky130_fd_sc_hd__buf_1
+XFILLER_404_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65637_ _65637_/A _65472_/X VGND VGND VPWR VPWR _65637_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_244_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_271_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50863_ _50860_/Y _50863_/B VGND VGND VPWR VPWR _77961_/D sky130_fd_sc_hd__nand2_2
+X_38585_ _74787_/A VGND VGND VPWR VPWR _38586_/A sky130_fd_sc_hd__buf_1
+X_62849_ _62849_/A _63153_/B VGND VGND VPWR VPWR _62850_/C sky130_fd_sc_hd__nor2_2
+XFILLER_508_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_623_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_72_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_96_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52602_ _52602_/A _52601_/X VGND VGND VPWR VPWR _52602_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_560_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_721_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_559_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80634_ _80637_/CLK _80634_/D VGND VGND VPWR VPWR _80634_/Q sky130_fd_sc_hd__dfxtp_4
+X_68356_ _68356_/A _67709_/B VGND VGND VPWR VPWR _68358_/B sky130_fd_sc_hd__nor2_2
+XFILLER_604_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_341_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56370_ _58348_/A VGND VGND VPWR VPWR _56371_/B sky130_fd_sc_hd__buf_1
+XFILLER_521_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_253_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53582_ _77245_/Q _53579_/B VGND VGND VPWR VPWR _53582_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_129_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65568_ _80854_/Q _65887_/B VGND VGND VPWR VPWR _65569_/C sky130_fd_sc_hd__nor2_2
+XFILLER_599_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50794_ _50823_/A _50794_/B VGND VGND VPWR VPWR _50794_/X sky130_fd_sc_hd__or2_2
+XFILLER_266_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_560_2799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_408_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_5_6_0_u_core.clock clkbuf_5_7_0_u_core.clock/A VGND VGND VPWR VPWR ANTENNA_557/DIODE
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_266_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_227_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55321_ _55321_/A _55320_/X VGND VGND VPWR VPWR _55321_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_224_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67307_ _57759_/A _67306_/X VGND VGND VPWR VPWR _67307_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_593_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52533_ _52530_/Y _52533_/B VGND VGND VPWR VPWR _77522_/D sky130_fd_sc_hd__nand2_2
+X_64519_ _64470_/A VGND VGND VPWR VPWR _67238_/A sky130_fd_sc_hd__buf_1
+XPHY_26309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_40_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80565_ _80535_/CLK _75927_/Y VGND VGND VPWR VPWR _80565_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68287_ _67971_/A _68285_/Y _68286_/Y VGND VGND VPWR VPWR _68287_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_386_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65499_ _65499_/A _64806_/B VGND VGND VPWR VPWR _65499_/Y sky130_fd_sc_hd__nor2_2
+XPHY_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_142_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39206_ _39203_/X _39206_/B VGND VGND VPWR VPWR _80185_/D sky130_fd_sc_hd__nand2_2
+X_58040_ _79844_/Q _58273_/B VGND VGND VPWR VPWR _58040_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_146_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_402_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55252_ _55250_/X _55251_/X VGND VGND VPWR VPWR _55252_/X sky130_fd_sc_hd__or2_2
+XFILLER_658_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67238_ _67238_/A VGND VGND VPWR VPWR _67915_/A sky130_fd_sc_hd__buf_1
+XFILLER_502_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_224_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52464_ _61413_/A _52458_/X VGND VGND VPWR VPWR _52464_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_495_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80496_ _80525_/CLK _80496_/D VGND VGND VPWR VPWR _76215_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_339_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_3074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_107_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54203_ _54200_/Y _54203_/B VGND VGND VPWR VPWR _77082_/D sky130_fd_sc_hd__nand2_2
+XPHY_15118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_590_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_478_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39137_ _39137_/A VGND VGND VPWR VPWR _39138_/A sky130_fd_sc_hd__buf_1
+X_51415_ _77817_/Q _51397_/X VGND VGND VPWR VPWR _51419_/A sky130_fd_sc_hd__nand2_2
+XPHY_15129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_593_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_300_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_257_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_716_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55183_ _55228_/C _55182_/X _76835_/Q _55209_/B VGND VGND VPWR VPWR _76835_/D sky130_fd_sc_hd__o22a_4
+XFILLER_656_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67169_ _67658_/A _67167_/Y _67169_/C VGND VGND VPWR VPWR _67169_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_279_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_107_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_40 wbs_adr_i[2] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_558_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52395_ _52338_/A _52395_/B VGND VGND VPWR VPWR _52395_/X sky130_fd_sc_hd__or2_2
+XPHY_24929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_617_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_103_1619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_51 wbs_adr_i[6] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_539_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_62 _57542_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_33_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54134_ _54143_/A VGND VGND VPWR VPWR _54146_/B sky130_fd_sc_hd__buf_1
+XFILLER_279_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_296_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_73 _56984_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_704_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39068_ _39068_/A _39068_/B VGND VGND VPWR VPWR _80216_/D sky130_fd_sc_hd__nand2_2
+XFILLER_354_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51346_ _51346_/A _51345_/X VGND VGND VPWR VPWR _51346_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_123_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_70180_ _70180_/A _70180_/B VGND VGND VPWR VPWR _70183_/B sky130_fd_sc_hd__nor2_2
+XPHY_14439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_84 _60102_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_177_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_715_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_382_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59991_ _54142_/A _59843_/B VGND VGND VPWR VPWR _59991_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_179_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_95 _61198_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_159_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_218_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_175_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_120_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81117_ _81112_/CLK _81117_/D VGND VGND VPWR VPWR _66740_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_450_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54065_ _54064_/X VGND VGND VPWR VPWR _54067_/A sky130_fd_sc_hd__buf_1
+X_58942_ _59096_/A VGND VGND VPWR VPWR _60587_/A sky130_fd_sc_hd__buf_1
+XFILLER_200_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_140_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_445_0_u_core.clock clkbuf_9_445_0_u_core.clock/A VGND VGND VPWR VPWR _80195_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_49_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51277_ _51436_/A VGND VGND VPWR VPWR _53078_/A sky130_fd_sc_hd__buf_1
+XPHY_13749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_535_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_235_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_713_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41030_ _41010_/A _41030_/B _58499_/A VGND VGND VPWR VPWR _41030_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_587_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_415_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53016_ _52929_/X _53019_/B VGND VGND VPWR VPWR _53016_/X sky130_fd_sc_hd__or2_2
+XPHY_9013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50228_ _50111_/X _50222_/B VGND VGND VPWR VPWR _50229_/B sky130_fd_sc_hd__or2_2
+XFILLER_468_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81048_ _81047_/CLK _81048_/D VGND VGND VPWR VPWR _73956_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_81_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_454_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_450_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58873_ _58873_/A VGND VGND VPWR VPWR _58875_/B sky130_fd_sc_hd__inv_8
+XFILLER_161_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_97_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57824_ _74330_/C _57584_/B VGND VGND VPWR VPWR _57825_/C sky130_fd_sc_hd__nor2_2
+XFILLER_62_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50159_ _50156_/Y _50158_/X VGND VGND VPWR VPWR _78148_/D sky130_fd_sc_hd__nand2_2
+X_73870_ _73872_/A VGND VGND VPWR VPWR _73987_/B sky130_fd_sc_hd__buf_1
+XFILLER_682_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_251_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_47_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_667_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72821_ _72812_/A _72812_/B _81329_/Q VGND VGND VPWR VPWR _72822_/B sky130_fd_sc_hd__nand3_2
+XPHY_7622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_644_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57755_ _57672_/X _57755_/B _57754_/Y VGND VGND VPWR VPWR _57763_/A sky130_fd_sc_hd__nor3_2
+XPHY_7633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42981_ _71918_/B _42971_/B VGND VGND VPWR VPWR _42981_/X sky130_fd_sc_hd__or2_2
+X_54967_ _54967_/A _54985_/B VGND VGND VPWR VPWR _54967_/X sky130_fd_sc_hd__or2_2
+XFILLER_385_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_0_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44720_ _44720_/A _44719_/X VGND VGND VPWR VPWR _79203_/D sky130_fd_sc_hd__nor2_2
+XFILLER_264_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56706_ _56345_/A VGND VGND VPWR VPWR _56707_/B sky130_fd_sc_hd__buf_1
+XFILLER_437_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75540_ _75540_/A _75540_/B VGND VGND VPWR VPWR _80664_/D sky130_fd_sc_hd__nand2_2
+XFILLER_436_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41932_ _41905_/Y _41975_/A _41927_/Y _41953_/B VGND VGND VPWR VPWR _41969_/A sky130_fd_sc_hd__o22a_4
+XPHY_39414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53918_ _53918_/A _53917_/X VGND VGND VPWR VPWR _53918_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72752_ _72737_/X _72751_/X _81348_/Q VGND VGND VPWR VPWR _72752_/Y sky130_fd_sc_hd__nand3_2
+XPHY_7677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57686_ _57597_/A _57684_/Y _57685_/Y VGND VGND VPWR VPWR _57686_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_366_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54898_ _59598_/A _54898_/B VGND VGND VPWR VPWR _54900_/A sky130_fd_sc_hd__nand2_2
+XFILLER_726_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_487_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_581_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_264_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_263_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_3064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59425_ _64012_/A _59425_/B _59424_/Y VGND VGND VPWR VPWR _59431_/B sky130_fd_sc_hd__nor3_2
+XFILLER_409_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71703_ _70572_/A _71228_/A VGND VGND VPWR VPWR _71708_/B sky130_fd_sc_hd__nor2_2
+XPHY_39458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44651_ _79191_/Q VGND VGND VPWR VPWR _44651_/Y sky130_fd_sc_hd__inv_8
+XFILLER_606_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56637_ _56795_/A _56637_/B VGND VGND VPWR VPWR _56659_/B sky130_fd_sc_hd__nor2_2
+XPHY_6976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75471_ _74714_/A VGND VGND VPWR VPWR _75865_/A sky130_fd_sc_hd__buf_1
+XFILLER_5_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53849_ _77174_/Q _53831_/B VGND VGND VPWR VPWR _53849_/Y sky130_fd_sc_hd__nand2_2
+X_41863_ _41863_/A VGND VGND VPWR VPWR _41876_/A sky130_fd_sc_hd__buf_1
+XPHY_39469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72683_ _72681_/X _72683_/B VGND VGND VPWR VPWR _72683_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_73_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_268_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_235_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_461_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77210_ _77230_/CLK _53718_/Y VGND VGND VPWR VPWR _60010_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43602_ _71540_/A _43599_/B VGND VGND VPWR VPWR _43605_/B sky130_fd_sc_hd__nor2_2
+X_74422_ _74421_/Y _74414_/B VGND VGND VPWR VPWR _74422_/X sky130_fd_sc_hd__or2_2
+XFILLER_366_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40814_ _40437_/A _40814_/B VGND VGND VPWR VPWR _40814_/X sky130_fd_sc_hd__or2_2
+XFILLER_268_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71634_ _71549_/X _71624_/Y _71626_/Y _71633_/X VGND VGND VPWR VPWR _42668_/B sky130_fd_sc_hd__o22a_4
+XFILLER_147_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47370_ _47437_/A _47382_/B VGND VGND VPWR VPWR _47371_/B sky130_fd_sc_hd__or2_2
+XFILLER_444_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59356_ _77094_/Q _59843_/B VGND VGND VPWR VPWR _59356_/Y sky130_fd_sc_hd__nor2_2
+X_78190_ _78191_/CLK _50001_/Y VGND VGND VPWR VPWR _78190_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_62_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44582_ _44582_/A VGND VGND VPWR VPWR _44583_/B sky130_fd_sc_hd__inv_8
+XFILLER_344_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_696_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56568_ _56407_/A _56566_/Y _56567_/Y VGND VGND VPWR VPWR _56569_/C sky130_fd_sc_hd__nor3_2
+Xclkbuf_5_14_0_u_core.clock clkbuf_4_7_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_5_14_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_28245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41794_ _41793_/X VGND VGND VPWR VPWR _41795_/A sky130_fd_sc_hd__inv_8
+XFILLER_147_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_204_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46321_ _46089_/A _46320_/B _46320_/Y VGND VGND VPWR VPWR _46322_/C sky130_fd_sc_hd__a21o_4
+XFILLER_602_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58307_ _58307_/A _58307_/B _58306_/Y VGND VGND VPWR VPWR _58318_/A sky130_fd_sc_hd__nor3_2
+XPHY_27533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77141_ _77141_/CLK _77141_/D VGND VGND VPWR VPWR _77141_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_271_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43533_ _46033_/A _43533_/B VGND VGND VPWR VPWR _46058_/A sky130_fd_sc_hd__or2_2
+XFILLER_460_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55519_ _55444_/A VGND VGND VPWR VPWR _55707_/A sky130_fd_sc_hd__buf_1
+X_74353_ _74338_/X _74339_/X _57351_/A VGND VGND VPWR VPWR _74353_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_496_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40745_ _40741_/X _40745_/B VGND VGND VPWR VPWR _79795_/D sky130_fd_sc_hd__nand2_2
+XPHY_27544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59287_ _59287_/A _59287_/B _59286_/Y VGND VGND VPWR VPWR _59287_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_43_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71565_ _74069_/A VGND VGND VPWR VPWR _39174_/A sky130_fd_sc_hd__buf_1
+XFILLER_559_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56499_ _56744_/A _56497_/Y _56499_/C VGND VGND VPWR VPWR _56504_/B sky130_fd_sc_hd__nor3_2
+XFILLER_505_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49040_ _49069_/A _49014_/X VGND VGND VPWR VPWR _49040_/X sky130_fd_sc_hd__or2_2
+XPHY_26832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73304_ _73304_/A _73304_/B VGND VGND VPWR VPWR _73304_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_201_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_635_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46252_ _46252_/A _46252_/B _46242_/C VGND VGND VPWR VPWR _46252_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_164_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58238_ _58085_/A _58238_/B _58237_/Y VGND VGND VPWR VPWR _58238_/Y sky130_fd_sc_hd__nor3_2
+X_70516_ _70495_/B _70515_/X VGND VGND VPWR VPWR _70516_/Y sky130_fd_sc_hd__nand2_2
+X_77072_ _77060_/CLK _54242_/Y VGND VGND VPWR VPWR _77072_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_538_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43464_ _43464_/A _43464_/B VGND VGND VPWR VPWR _43464_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_38_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74284_ _74164_/A _74284_/B VGND VGND VPWR VPWR _74284_/X sky130_fd_sc_hd__or2_2
+XFILLER_321_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40676_ _40676_/A _40676_/B VGND VGND VPWR VPWR _40676_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_696_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71496_ _71285_/X _71495_/Y _71283_/X _70399_/Y VGND VGND VPWR VPWR _71497_/B sky130_fd_sc_hd__o22a_4
+XPHY_16331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_346_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_262_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_34_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_360_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_692_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45203_ _45174_/A _45203_/B VGND VGND VPWR VPWR _45203_/Y sky130_fd_sc_hd__nor2_2
+X_76023_ _76020_/A _76012_/B _76023_/C VGND VGND VPWR VPWR _76024_/B sky130_fd_sc_hd__nand3_2
+XFILLER_396_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_420_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_403_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42415_ _42414_/X VGND VGND VPWR VPWR _45403_/B sky130_fd_sc_hd__buf_1
+XFILLER_459_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_395_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73235_ _73235_/A _73245_/B _65277_/A VGND VGND VPWR VPWR _73238_/A sky130_fd_sc_hd__nand3_2
+XPHY_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_156_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46183_ _46168_/C _46169_/Y _46172_/X _46182_/X VGND VGND VPWR VPWR _46183_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_125_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58169_ _58169_/A _58169_/B _58169_/C VGND VGND VPWR VPWR _58170_/C sky130_fd_sc_hd__nor3_2
+X_70447_ _70397_/Y _70446_/Y VGND VGND VPWR VPWR _70448_/B sky130_fd_sc_hd__nor2_2
+XFILLER_129_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43395_ _43395_/A _43387_/B _58324_/A VGND VGND VPWR VPWR _43395_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_592_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_346_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_158_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60200_ _62852_/A VGND VGND VPWR VPWR _60364_/A sky130_fd_sc_hd__buf_1
+XFILLER_345_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45134_ _45134_/A VGND VGND VPWR VPWR _45134_/Y sky130_fd_sc_hd__inv_8
+XFILLER_635_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_613_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42346_ _42334_/A _42346_/B VGND VGND VPWR VPWR _42347_/C sky130_fd_sc_hd__nor2_2
+XFILLER_490_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61180_ _61180_/A _61177_/Y _61179_/Y VGND VGND VPWR VPWR _61180_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_157_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73166_ _73148_/A _73161_/X _67758_/A VGND VGND VPWR VPWR _73166_/Y sky130_fd_sc_hd__nand3_2
+XPHY_33031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70378_ _70375_/Y VGND VGND VPWR VPWR _70378_/Y sky130_fd_sc_hd__inv_8
+XFILLER_459_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60131_ _60131_/A _60131_/B _60131_/C VGND VGND VPWR VPWR _60135_/B sky130_fd_sc_hd__nor3_2
+XFILLER_633_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72117_ _39246_/A VGND VGND VPWR VPWR _72118_/B sky130_fd_sc_hd__buf_1
+XPHY_14962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_275_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49942_ _49975_/B VGND VGND VPWR VPWR _49961_/B sky130_fd_sc_hd__buf_1
+XFILLER_177_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45065_ _45065_/A _45065_/B VGND VGND VPWR VPWR _45065_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42277_ _79411_/Q VGND VGND VPWR VPWR _42277_/Y sky130_fd_sc_hd__inv_8
+XFILLER_236_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77974_ _77987_/CLK _77974_/D VGND VGND VPWR VPWR _61831_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_173_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73097_ _72013_/B _73093_/B VGND VGND VPWR VPWR _73098_/B sky130_fd_sc_hd__or2_2
+XPHY_33086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_351_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_49_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44016_ _44165_/A VGND VGND VPWR VPWR _67358_/A sky130_fd_sc_hd__inv_8
+XFILLER_312_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_531_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79713_ _79713_/CLK _79713_/D VGND VGND VPWR VPWR _57858_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_687_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41228_ _41242_/A _41228_/B _57373_/A VGND VGND VPWR VPWR _41229_/B sky130_fd_sc_hd__nand3_2
+XPHY_32374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60062_ _77242_/Q _59741_/B VGND VGND VPWR VPWR _60064_/B sky130_fd_sc_hd__nor2_2
+X_76925_ _76947_/CLK _54785_/Y VGND VGND VPWR VPWR _58973_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_313_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72048_ _72048_/A VGND VGND VPWR VPWR _72049_/B sky130_fd_sc_hd__inv_8
+XFILLER_688_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_710_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49873_ _49845_/A _49876_/B VGND VGND VPWR VPWR _49873_/X sky130_fd_sc_hd__or2_2
+XFILLER_471_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_136_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_648_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_681_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_675_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_341_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48824_ _48824_/A _48824_/B VGND VGND VPWR VPWR _48824_/Y sky130_fd_sc_hd__nand2_2
+XPHY_21150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79644_ _79641_/CLK _79644_/D VGND VGND VPWR VPWR _57456_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_214_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41159_ _41155_/X _41158_/Y VGND VGND VPWR VPWR _41159_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_140_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64870_ _64870_/A _65040_/B VGND VGND VPWR VPWR _64870_/Y sky130_fd_sc_hd__nor2_2
+XPHY_31684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76856_ _76941_/CLK _76856_/D VGND VGND VPWR VPWR _76856_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_312_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63821_ _63821_/A _63821_/B _63821_/C VGND VGND VPWR VPWR _63825_/B sky130_fd_sc_hd__nor3_2
+XFILLER_301_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75807_ _75807_/A _75806_/Y VGND VGND VPWR VPWR _80596_/D sky130_fd_sc_hd__nand2_2
+XPHY_21194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48755_ _63106_/A _48740_/B VGND VGND VPWR VPWR _48755_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_239_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79575_ _79575_/CLK _79575_/D VGND VGND VPWR VPWR _41603_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_410_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45967_ _79056_/Q _45958_/B VGND VGND VPWR VPWR _45969_/B sky130_fd_sc_hd__nor2_2
+XPHY_30994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76787_ _76787_/CLK _76787_/D VGND VGND VPWR VPWR _59946_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_722_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73999_ _73999_/A _74015_/B _81037_/Q VGND VGND VPWR VPWR _73999_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_78_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_3074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_23_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47706_ _78779_/Q _47706_/B VGND VGND VPWR VPWR _47708_/A sky130_fd_sc_hd__nand2_2
+X_66540_ _66540_/A _66540_/B VGND VGND VPWR VPWR _66542_/B sky130_fd_sc_hd__nor2_2
+XFILLER_641_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78526_ _77525_/CLK _78526_/D VGND VGND VPWR VPWR _48697_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_78_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44918_ _44938_/A _44916_/Y _44918_/C VGND VGND VPWR VPWR _44918_/X sky130_fd_sc_hd__or3_2
+X_63752_ _63483_/A _63744_/Y _63752_/C VGND VGND VPWR VPWR _63768_/A sky130_fd_sc_hd__nor3_2
+XFILLER_642_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75738_ _75737_/X _75734_/B _75738_/C VGND VGND VPWR VPWR _75738_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_26_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60964_ _60946_/Y _60964_/B VGND VGND VPWR VPWR _60964_/Y sky130_fd_sc_hd__nor2_2
+X_48686_ _48715_/A _48686_/B VGND VGND VPWR VPWR _48686_/X sky130_fd_sc_hd__or2_2
+XFILLER_466_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45898_ _41833_/Y _45891_/X _45755_/Y _45888_/X VGND VGND VPWR VPWR _45898_/X sky130_fd_sc_hd__o22a_4
+XFILLER_525_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_227_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62703_ _78139_/Q _62387_/B VGND VGND VPWR VPWR _62705_/B sky130_fd_sc_hd__nor2_2
+XFILLER_113_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47637_ _47608_/A _47655_/B VGND VGND VPWR VPWR _47637_/X sky130_fd_sc_hd__or2_2
+XFILLER_226_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66471_ _65973_/A _66471_/B _66470_/Y VGND VGND VPWR VPWR _66471_/Y sky130_fd_sc_hd__nor3_2
+X_78457_ _78451_/CLK _48971_/Y VGND VGND VPWR VPWR _63550_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_253_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44849_ _44654_/A _44821_/X VGND VGND VPWR VPWR _44849_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_39_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75669_ _75662_/A _75665_/X _75669_/C VGND VGND VPWR VPWR _75669_/Y sky130_fd_sc_hd__nand3_2
+X_63683_ _63683_/A _59005_/B VGND VGND VPWR VPWR _63685_/B sky130_fd_sc_hd__nor2_2
+XFILLER_384_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_624_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_443_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60895_ _60895_/A _60734_/B VGND VGND VPWR VPWR _60895_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_722_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_380_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_627_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68210_ _68210_/A _68052_/B VGND VGND VPWR VPWR _68210_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_447_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65422_ _64522_/A VGND VGND VPWR VPWR _65430_/A sky130_fd_sc_hd__buf_1
+XFILLER_470_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77408_ _77408_/CLK _77408_/D VGND VGND VPWR VPWR _60920_/A sky130_fd_sc_hd__dfxtp_4
+X_38370_ _38388_/A VGND VGND VPWR VPWR _38385_/B sky130_fd_sc_hd__buf_1
+XFILLER_47_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62634_ _62634_/A _62944_/B VGND VGND VPWR VPWR _62634_/Y sky130_fd_sc_hd__nor2_2
+X_69190_ _81004_/Q _69328_/B VGND VGND VPWR VPWR _69192_/B sky130_fd_sc_hd__nor2_2
+XFILLER_286_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_207_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_482_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_81_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_444_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47568_ _49225_/A VGND VGND VPWR VPWR _47820_/A sky130_fd_sc_hd__buf_1
+XFILLER_35_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_631_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78388_ _78384_/CLK _49231_/Y VGND VGND VPWR VPWR _64026_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_503_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49307_ _49249_/A _49295_/B VGND VGND VPWR VPWR _49308_/B sky130_fd_sc_hd__or2_2
+XFILLER_264_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_68141_ _68141_/A _67473_/B VGND VGND VPWR VPWR _68143_/B sky130_fd_sc_hd__nor2_2
+XFILLER_147_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46519_ _46519_/A _46518_/Y VGND VGND VPWR VPWR _46520_/B sky130_fd_sc_hd__nand2_2
+X_65353_ _39714_/C _65179_/B VGND VGND VPWR VPWR _65353_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_146_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_612_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77339_ _77252_/CLK _53229_/Y VGND VGND VPWR VPWR _60241_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_719_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62565_ _62253_/A _62556_/Y _62565_/C VGND VGND VPWR VPWR _62565_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_421_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47499_ _47494_/Y _47498_/X VGND VGND VPWR VPWR _78828_/D sky130_fd_sc_hd__nand2_2
+XFILLER_62_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_260_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_163_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_557_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64304_ _56333_/A _69466_/B VGND VGND VPWR VPWR _64304_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_17_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61516_ _52672_/A _61208_/B VGND VGND VPWR VPWR _61516_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_91_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80350_ _80393_/CLK _38547_/Y VGND VGND VPWR VPWR _66902_/A sky130_fd_sc_hd__dfxtp_4
+X_68072_ _68072_/A _68391_/B VGND VGND VPWR VPWR _68073_/C sky130_fd_sc_hd__nor2_2
+X_49238_ _49238_/A _49237_/X VGND VGND VPWR VPWR _78386_/D sky130_fd_sc_hd__nand2_2
+XFILLER_15_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65284_ _81332_/Q _64770_/B VGND VGND VPWR VPWR _65286_/B sky130_fd_sc_hd__nor2_2
+XFILLER_107_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62496_ _62496_/A _63110_/B VGND VGND VPWR VPWR _62496_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_653_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_594_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_21_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_56_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67023_ _67191_/A _67023_/B _67023_/C VGND VGND VPWR VPWR _67024_/B sky130_fd_sc_hd__nor3_2
+X_79009_ _79559_/CLK _79009_/D _46516_/X VGND VGND VPWR VPWR _42297_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_378_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_72_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_186 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64235_ _72828_/C _64235_/B VGND VGND VPWR VPWR _64240_/B sky130_fd_sc_hd__nor2_2
+XFILLER_321_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61447_ _61761_/A _61444_/Y _61446_/Y VGND VGND VPWR VPWR _61451_/B sky130_fd_sc_hd__nor3_2
+XFILLER_397_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_378_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49169_ _49168_/X VGND VGND VPWR VPWR _49169_/X sky130_fd_sc_hd__buf_1
+X_80281_ _80289_/CLK _80281_/D VGND VGND VPWR VPWR _80281_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_34_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_159_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_104_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_1345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_241_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51200_ _51197_/X VGND VGND VPWR VPWR _51222_/B sky130_fd_sc_hd__buf_1
+XFILLER_198_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52180_ _51426_/A VGND VGND VPWR VPWR _52181_/A sky130_fd_sc_hd__buf_1
+XFILLER_698_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64166_ _76341_/C _64150_/X VGND VGND VPWR VPWR _64168_/B sky130_fd_sc_hd__nor2_2
+XFILLER_553_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61378_ _61378_/A _61378_/B VGND VGND VPWR VPWR _61380_/B sky130_fd_sc_hd__nor2_2
+XFILLER_364_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_391_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_337_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_172_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_616_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51131_ _51131_/A _51130_/X VGND VGND VPWR VPWR _77888_/D sky130_fd_sc_hd__nand2_2
+XFILLER_198_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63117_ _63117_/A _63440_/B VGND VGND VPWR VPWR _63117_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_419_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_699_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60329_ _59067_/X VGND VGND VPWR VPWR _60791_/B sky130_fd_sc_hd__buf_1
+XFILLER_239_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_686_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64097_ _68521_/A VGND VGND VPWR VPWR _69428_/A sky130_fd_sc_hd__buf_1
+XFILLER_363_285 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68974_ _58518_/A _68478_/B VGND VGND VPWR VPWR _68974_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_252_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_552_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_137_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_512_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_471_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_612_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_415_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_116_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_3_0_u_core.clock clkbuf_8_3_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_7_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_669_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51062_ _51062_/A _51062_/B VGND VGND VPWR VPWR _77908_/D sky130_fd_sc_hd__nand2_2
+XFILLER_714_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67925_ _67580_/X _67919_/Y _67924_/Y VGND VGND VPWR VPWR _67925_/Y sky130_fd_sc_hd__nor3_2
+X_63048_ _63048_/A _62584_/B VGND VGND VPWR VPWR _63049_/C sky130_fd_sc_hd__nor2_2
+XFILLER_116_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_450_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_176_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_588_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_588_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50013_ _50013_/A _50012_/X VGND VGND VPWR VPWR _78187_/D sky130_fd_sc_hd__nand2_2
+XFILLER_104_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_305_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39824_ _40317_/A _39828_/B VGND VGND VPWR VPWR _39824_/X sky130_fd_sc_hd__or2_2
+XFILLER_547_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67856_ _43824_/A _68024_/B VGND VGND VPWR VPWR _67858_/B sky130_fd_sc_hd__nor2_2
+XFILLER_27_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55870_ _55870_/A _55870_/B VGND VGND VPWR VPWR _55870_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_655_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_312_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_153_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_4_9_1_u_core.clock clkbuf_4_9_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_4_9_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_629_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54821_ _54817_/Y _54820_/X VGND VGND VPWR VPWR _76916_/D sky130_fd_sc_hd__nand2_2
+XFILLER_115_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66807_ _66480_/A _66807_/B _66807_/C VGND VGND VPWR VPWR _66808_/C sky130_fd_sc_hd__nor3_2
+XFILLER_530_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_213_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39755_ _39744_/X _39738_/X _80043_/Q VGND VGND VPWR VPWR _39755_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_131_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67787_ _58011_/A _67787_/B VGND VGND VPWR VPWR _67787_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_493_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64999_ _64374_/A _64993_/Y _64998_/Y VGND VGND VPWR VPWR _64999_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_57_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_629_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_551_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_681_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38706_ _38573_/A _38692_/B VGND VGND VPWR VPWR _38708_/A sky130_fd_sc_hd__or2_2
+XFILLER_527_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57540_ _57540_/A _57539_/Y VGND VGND VPWR VPWR _57540_/Y sky130_fd_sc_hd__nor2_2
+X_69526_ _40132_/C _69108_/B VGND VGND VPWR VPWR _69528_/B sky130_fd_sc_hd__nor2_2
+XFILLER_211_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54752_ _76934_/Q _54752_/B VGND VGND VPWR VPWR _54754_/A sky130_fd_sc_hd__nand2_2
+XFILLER_407_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66738_ _67072_/A _66738_/B VGND VGND VPWR VPWR _66778_/B sky130_fd_sc_hd__nor2_2
+XFILLER_508_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51964_ _51936_/A _51954_/X VGND VGND VPWR VPWR _51965_/B sky130_fd_sc_hd__or2_2
+XFILLER_582_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39686_ _39689_/A _39678_/B _80060_/Q VGND VGND VPWR VPWR _39686_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_328_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_389_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_723_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53703_ _59098_/A _53700_/B VGND VGND VPWR VPWR _53703_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_187_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50915_ _50972_/A _50933_/B VGND VGND VPWR VPWR _50916_/B sky130_fd_sc_hd__or2_2
+XFILLER_622_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38637_ _38637_/A _38641_/B _38637_/C VGND VGND VPWR VPWR _38637_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_2_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_701_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69457_ _64118_/X _69457_/B VGND VGND VPWR VPWR _69490_/B sky130_fd_sc_hd__nor2_2
+X_57471_ _79997_/Q _57471_/B VGND VGND VPWR VPWR _57472_/C sky130_fd_sc_hd__nor2_2
+XFILLER_45_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54683_ _54683_/A VGND VGND VPWR VPWR _54801_/A sky130_fd_sc_hd__buf_1
+XFILLER_22_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66669_ _66172_/X _66663_/Y _66669_/C VGND VGND VPWR VPWR _66669_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51895_ _51895_/A _51910_/B VGND VGND VPWR VPWR _51895_/X sky130_fd_sc_hd__or2_2
+XFILLER_367_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59210_ _53497_/A _59210_/B VGND VGND VPWR VPWR _59214_/B sky130_fd_sc_hd__nor2_2
+XFILLER_83_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_650_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_235_0_u_core.clock clkbuf_9_235_0_u_core.clock/A VGND VGND VPWR VPWR _79737_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_37308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56422_ _58824_/A _56420_/Y _56422_/C VGND VGND VPWR VPWR _56423_/C sky130_fd_sc_hd__nor3_2
+XFILLER_623_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68408_ _68408_/A _67920_/X VGND VGND VPWR VPWR _68408_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_77_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53634_ _59703_/A _53630_/B VGND VGND VPWR VPWR _53637_/A sky130_fd_sc_hd__nand2_2
+XFILLER_404_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_260_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_348_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_44_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38568_ _38361_/A VGND VGND VPWR VPWR _38659_/A sky130_fd_sc_hd__buf_1
+X_50846_ _77964_/Q _50855_/B VGND VGND VPWR VPWR _50846_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_610_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69388_ _69110_/A _69386_/Y _69388_/C VGND VGND VPWR VPWR _69389_/C sky130_fd_sc_hd__nor3_2
+XFILLER_244_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_701_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_348_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_3079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_324_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59141_ _59141_/A VGND VGND VPWR VPWR _59718_/B sky130_fd_sc_hd__buf_1
+XFILLER_345_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_92_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56353_ _56450_/A VGND VGND VPWR VPWR _56354_/A sky130_fd_sc_hd__buf_1
+XFILLER_322_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80617_ _80597_/CLK _80617_/D VGND VGND VPWR VPWR _75731_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_478_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68339_ _44737_/A VGND VGND VPWR VPWR _68340_/A sky130_fd_sc_hd__inv_8
+XFILLER_26_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53565_ _53565_/A _53565_/B VGND VGND VPWR VPWR _53565_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_74_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_224_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_576_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38499_ _38522_/A VGND VGND VPWR VPWR _38518_/A sky130_fd_sc_hd__buf_1
+X_50777_ _50777_/A _50776_/X VGND VGND VPWR VPWR _77984_/D sky130_fd_sc_hd__nand2_2
+XFILLER_70_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_309_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_496_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_35906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_595_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55304_ _55304_/A VGND VGND VPWR VPWR _55464_/A sky130_fd_sc_hd__buf_1
+XFILLER_125_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40530_ _40527_/A _40527_/B _69255_/A VGND VGND VPWR VPWR _40530_/Y sky130_fd_sc_hd__nand3_2
+XPHY_26139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71350_ _71225_/A VGND VGND VPWR VPWR _71832_/B sky130_fd_sc_hd__buf_1
+X_52516_ _52601_/A _52516_/B VGND VGND VPWR VPWR _52516_/X sky130_fd_sc_hd__or2_2
+XFILLER_541_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59072_ _59688_/A VGND VGND VPWR VPWR _59081_/A sky130_fd_sc_hd__buf_1
+XFILLER_304_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80548_ _80577_/CLK _75991_/Y VGND VGND VPWR VPWR _75990_/C sky130_fd_sc_hd__dfxtp_4
+X_56284_ _56855_/A VGND VGND VPWR VPWR _56285_/B sky130_fd_sc_hd__buf_1
+XFILLER_361_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53496_ _53493_/Y _53495_/X VGND VGND VPWR VPWR _77270_/D sky130_fd_sc_hd__nand2_2
+XFILLER_13_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_33_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_298_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_478_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58023_ _58104_/A _58022_/Y VGND VGND VPWR VPWR _58023_/Y sky130_fd_sc_hd__nor2_2
+X_70301_ _70301_/A VGND VGND VPWR VPWR _70301_/X sky130_fd_sc_hd__buf_1
+XFILLER_185_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55235_ _55235_/A _55115_/Y VGND VGND VPWR VPWR _55239_/A sky130_fd_sc_hd__nor2_2
+XFILLER_244_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_636_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40461_ _40593_/A _40456_/X VGND VGND VPWR VPWR _40461_/X sky130_fd_sc_hd__or2_2
+XFILLER_120_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52447_ _52447_/A _52446_/X VGND VGND VPWR VPWR _52447_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71281_ _71793_/A VGND VGND VPWR VPWR _71428_/A sky130_fd_sc_hd__buf_1
+XFILLER_220_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80479_ _80477_/CLK _80479_/D VGND VGND VPWR VPWR _80479_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_459_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_166_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42200_ _42262_/C VGND VGND VPWR VPWR _42200_/X sky130_fd_sc_hd__buf_1
+XFILLER_202_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73020_ _73075_/A VGND VGND VPWR VPWR _73020_/X sky130_fd_sc_hd__buf_1
+XPHY_24737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70232_ _70232_/A _70266_/C VGND VGND VPWR VPWR _70268_/A sky130_fd_sc_hd__nor2_2
+XFILLER_593_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43180_ _43180_/A _46170_/B VGND VGND VPWR VPWR _43183_/B sky130_fd_sc_hd__nor2_2
+XFILLER_396_1467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55166_ _76838_/Q _55166_/B VGND VGND VPWR VPWR _55166_/X sky130_fd_sc_hd__and2_2
+XPHY_14225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40392_ _40390_/X _40392_/B VGND VGND VPWR VPWR _40392_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_259_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_472_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52378_ _52378_/A _52373_/X VGND VGND VPWR VPWR _52380_/A sky130_fd_sc_hd__nand2_2
+XPHY_24759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_716_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_712_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_33_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42131_ _42131_/A _42129_/Y _42142_/C VGND VGND VPWR VPWR _79518_/D sky130_fd_sc_hd__nor3_2
+XPHY_14258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54117_ _54117_/A _54117_/B VGND VGND VPWR VPWR _77106_/D sky130_fd_sc_hd__nand2_2
+XFILLER_315_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_704_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51329_ _60867_/A _51335_/B VGND VGND VPWR VPWR _51331_/A sky130_fd_sc_hd__nand2_2
+XPHY_13524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_120_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70163_ _76671_/Q VGND VGND VPWR VPWR _70163_/Y sky130_fd_sc_hd__inv_8
+XPHY_14269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59974_ _59817_/X _59974_/B _59974_/C VGND VGND VPWR VPWR _59983_/B sky130_fd_sc_hd__nor3_2
+X_55097_ _70629_/A _55097_/B VGND VGND VPWR VPWR _55098_/B sky130_fd_sc_hd__or2_2
+XFILLER_689_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_101_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_415_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_335_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42062_ _42088_/A VGND VGND VPWR VPWR _42063_/C sky130_fd_sc_hd__buf_1
+XPHY_12823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54048_ _54046_/Y _54047_/X VGND VGND VPWR VPWR _54048_/Y sky130_fd_sc_hd__nand2_2
+X_58925_ _59073_/A VGND VGND VPWR VPWR _59160_/A sky130_fd_sc_hd__buf_1
+XFILLER_49_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74971_ _74971_/A _74958_/B VGND VGND VPWR VPWR _74971_/X sky130_fd_sc_hd__or2_2
+XFILLER_313_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70094_ _70463_/C VGND VGND VPWR VPWR _70095_/C sky130_fd_sc_hd__buf_1
+XPHY_13579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_29_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_27_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_657_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_352_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_313_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41013_ _41013_/A _41002_/B VGND VGND VPWR VPWR _41013_/X sky130_fd_sc_hd__or2_2
+XPHY_30224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76710_ _76708_/CLK _76710_/D VGND VGND VPWR VPWR _70203_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_712_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_415_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_118_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73922_ _73883_/X VGND VGND VPWR VPWR _73923_/B sky130_fd_sc_hd__buf_1
+XPHY_30235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46870_ _46870_/A VGND VGND VPWR VPWR _46871_/B sky130_fd_sc_hd__buf_1
+XPHY_12878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58856_ _58856_/A _46631_/B VGND VGND VPWR VPWR _58858_/B sky130_fd_sc_hd__nor2_2
+XFILLER_658_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77690_ _77190_/CLK _77690_/D VGND VGND VPWR VPWR _61295_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_685_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_587_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_46_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45821_ _45806_/X _45816_/Y _45820_/Y VGND VGND VPWR VPWR _45821_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_510_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57807_ _57807_/A _57807_/B _57806_/Y VGND VGND VPWR VPWR _57817_/A sky130_fd_sc_hd__nor3_2
+XFILLER_62_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76641_ _76720_/CLK _76641_/D VGND VGND VPWR VPWR _46027_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_456_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_231_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73853_ _73839_/X _73860_/B _73853_/C VGND VGND VPWR VPWR _73853_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_0_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_483_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58787_ _58653_/A _58787_/B _58787_/C VGND VGND VPWR VPWR _58787_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_249_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_663_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55999_ _45394_/Y _55986_/B VGND VGND VPWR VPWR _56001_/B sky130_fd_sc_hd__nor2_2
+XFILLER_565_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_472_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_216_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_706_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48540_ _48540_/A _48540_/B VGND VGND VPWR VPWR _78567_/D sky130_fd_sc_hd__nand2_2
+X_72804_ _72801_/A _72801_/B _81334_/Q VGND VGND VPWR VPWR _72805_/B sky130_fd_sc_hd__nand3_2
+XFILLER_465_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79360_ _81285_/CLK _79360_/D VGND VGND VPWR VPWR _68262_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_5_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45752_ _45891_/A VGND VGND VPWR VPWR _45752_/X sky130_fd_sc_hd__buf_1
+XFILLER_251_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57738_ _80224_/Q _57737_/X VGND VGND VPWR VPWR _57738_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_23_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76572_ VGND VGND VPWR VPWR _76572_/HI la_data_out[68] sky130_fd_sc_hd__conb_1
+XPHY_7463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42964_ _42964_/A VGND VGND VPWR VPWR _42968_/A sky130_fd_sc_hd__buf_1
+XFILLER_663_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73784_ _73784_/A _73776_/B _81094_/Q VGND VGND VPWR VPWR _73785_/B sky130_fd_sc_hd__nand3_2
+XPHY_39211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70996_ _70996_/A _70442_/X VGND VGND VPWR VPWR _70997_/B sky130_fd_sc_hd__or2_2
+XFILLER_428_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_448_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_409_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78311_ _78809_/CLK _49550_/Y VGND VGND VPWR VPWR _62130_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44703_ _44701_/X _44703_/B VGND VGND VPWR VPWR _44703_/Y sky130_fd_sc_hd__nor2_2
+XPHY_7496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75523_ _75513_/A _75503_/B _66383_/A VGND VGND VPWR VPWR _75523_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_287_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_188_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_248_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41915_ _41914_/X VGND VGND VPWR VPWR _41934_/A sky130_fd_sc_hd__buf_1
+XFILLER_208_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72735_ _72735_/A _72735_/B VGND VGND VPWR VPWR _81353_/D sky130_fd_sc_hd__nand2_2
+XPHY_6762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48471_ _48470_/X _48466_/X VGND VGND VPWR VPWR _48471_/X sky130_fd_sc_hd__or2_2
+XFILLER_235_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79291_ _79236_/CLK _43837_/Y VGND VGND VPWR VPWR _43696_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_188_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45683_ _45649_/A _45672_/Y _45683_/C VGND VGND VPWR VPWR _79108_/D sky130_fd_sc_hd__nor3_2
+XPHY_38510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57669_ _57669_/A _57669_/B VGND VGND VPWR VPWR _57670_/B sky130_fd_sc_hd__nor2_2
+XFILLER_346_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42895_ _42904_/A VGND VGND VPWR VPWR _42933_/A sky130_fd_sc_hd__buf_1
+XFILLER_76_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_40_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47422_ _47422_/A VGND VGND VPWR VPWR _48101_/C sky130_fd_sc_hd__inv_8
+XFILLER_209_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59408_ _59408_/A _59408_/B _59407_/Y VGND VGND VPWR VPWR _59408_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_79_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78242_ _78176_/CLK _78242_/D VGND VGND VPWR VPWR _62537_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_366_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_264_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_602_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44634_ _44520_/A _44633_/X VGND VGND VPWR VPWR _79208_/D sky130_fd_sc_hd__nor2_2
+XPHY_28020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75454_ _75502_/A VGND VGND VPWR VPWR _75473_/B sky130_fd_sc_hd__buf_1
+XFILLER_444_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41846_ _41846_/A VGND VGND VPWR VPWR _41846_/Y sky130_fd_sc_hd__inv_8
+XPHY_38554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60680_ _60680_/A _60677_/Y _60680_/C VGND VGND VPWR VPWR _60680_/Y sky130_fd_sc_hd__nor3_2
+XPHY_39299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72666_ _72666_/A _72673_/B VGND VGND VPWR VPWR _72666_/X sky130_fd_sc_hd__or2_2
+XFILLER_223_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_366_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74405_ _74540_/B VGND VGND VPWR VPWR _74414_/B sky130_fd_sc_hd__buf_1
+XFILLER_422_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59339_ _76982_/Q _59661_/B VGND VGND VPWR VPWR _59342_/B sky130_fd_sc_hd__nor2_2
+X_47353_ _49252_/A VGND VGND VPWR VPWR _47452_/A sky130_fd_sc_hd__buf_1
+XFILLER_38_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71617_ _70484_/A _71482_/B VGND VGND VPWR VPWR _71622_/B sky130_fd_sc_hd__nor2_2
+XFILLER_578_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78173_ _78173_/CLK _50060_/Y VGND VGND VPWR VPWR _78173_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_409_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44565_ _44565_/A VGND VGND VPWR VPWR _44576_/A sky130_fd_sc_hd__inv_8
+XFILLER_225_1507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75385_ _75375_/A _75382_/B _80697_/Q VGND VGND VPWR VPWR _75385_/Y sky130_fd_sc_hd__nand3_2
+XPHY_28075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_504_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41777_ _46271_/A _41774_/X _41775_/Y _41776_/X VGND VGND VPWR VPWR _41777_/X sky130_fd_sc_hd__o22a_4
+XPHY_37864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72597_ _72595_/X _72596_/Y VGND VGND VPWR VPWR _81389_/D sky130_fd_sc_hd__nand2_2
+XFILLER_242_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46304_ _43232_/A _46283_/X VGND VGND VPWR VPWR _46305_/C sky130_fd_sc_hd__nor2_2
+X_77124_ _77139_/CLK _54038_/Y VGND VGND VPWR VPWR _60362_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43516_ _42430_/A _43516_/B VGND VGND VPWR VPWR _43516_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_377_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62350_ _62350_/A _62348_/Y _62350_/C VGND VGND VPWR VPWR _62350_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_105_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74336_ _74336_/A VGND VGND VPWR VPWR _74674_/A sky130_fd_sc_hd__buf_1
+XFILLER_496_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40728_ _40608_/A _40741_/B VGND VGND VPWR VPWR _40728_/X sky130_fd_sc_hd__or2_2
+XFILLER_502_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47284_ _55225_/A _47278_/B VGND VGND VPWR VPWR _47285_/B sky130_fd_sc_hd__or2_2
+X_71548_ _71240_/A VGND VGND VPWR VPWR _71548_/X sky130_fd_sc_hd__buf_1
+XFILLER_404_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44496_ _72893_/A _44503_/B VGND VGND VPWR VPWR _44497_/C sky130_fd_sc_hd__nor2_2
+XFILLER_32_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_594_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_567_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_26651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_176_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_554_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61301_ _77642_/Q _61301_/B VGND VGND VPWR VPWR _61302_/C sky130_fd_sc_hd__nor2_2
+X_49023_ _63691_/A _49016_/B VGND VGND VPWR VPWR _49023_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_581_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46235_ _46265_/B VGND VGND VPWR VPWR _46236_/B sky130_fd_sc_hd__buf_1
+XFILLER_496_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_392_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77055_ _77408_/CLK _77055_/D VGND VGND VPWR VPWR _54302_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_176_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43447_ _42967_/A _43433_/X VGND VGND VPWR VPWR _43447_/X sky130_fd_sc_hd__or2_2
+XFILLER_693_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62281_ _62281_/A _62279_/Y _62280_/Y VGND VGND VPWR VPWR _62281_/Y sky130_fd_sc_hd__nor3_2
+X_74267_ _74141_/A _74161_/A VGND VGND VPWR VPWR _74269_/A sky130_fd_sc_hd__or2_2
+XFILLER_420_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40659_ _40659_/A _40646_/B _58518_/A VGND VGND VPWR VPWR _40659_/Y sky130_fd_sc_hd__nand3_2
+XPHY_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71479_ _71477_/X _71478_/Y VGND VGND VPWR VPWR _71479_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_31_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_393_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_185_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_713_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64020_ _63758_/A _64020_/B _64020_/C VGND VGND VPWR VPWR _64020_/Y sky130_fd_sc_hd__nor3_2
+X_76006_ _76004_/X _76005_/Y VGND VGND VPWR VPWR _80544_/D sky130_fd_sc_hd__nand2_2
+XFILLER_297_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_559_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_438_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61232_ _59105_/X VGND VGND VPWR VPWR _61233_/B sky130_fd_sc_hd__buf_1
+XFILLER_474_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73218_ _44239_/A VGND VGND VPWR VPWR _75028_/A sky130_fd_sc_hd__buf_1
+XFILLER_396_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_12_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46166_ _43152_/A _46166_/B VGND VGND VPWR VPWR _46166_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_477_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_546_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_156_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_670_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43378_ _42897_/A _43378_/B VGND VGND VPWR VPWR _43378_/X sky130_fd_sc_hd__or2_2
+XFILLER_121_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74198_ _74045_/A _74192_/B VGND VGND VPWR VPWR _74198_/X sky130_fd_sc_hd__or2_2
+XFILLER_319_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_199_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_507_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_689_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_353_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_723_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45117_ _45116_/X VGND VGND VPWR VPWR _45118_/B sky130_fd_sc_hd__inv_8
+XFILLER_184_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_490_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42329_ _42305_/Y VGND VGND VPWR VPWR _42334_/A sky130_fd_sc_hd__buf_1
+XFILLER_145_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_176_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_451_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61163_ _51688_/A _60542_/B VGND VGND VPWR VPWR _61165_/B sky130_fd_sc_hd__nor2_2
+X_73149_ _74028_/A VGND VGND VPWR VPWR _74974_/A sky130_fd_sc_hd__buf_1
+XFILLER_306_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_668_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46097_ _46097_/A _46097_/B _46097_/C VGND VGND VPWR VPWR _46191_/B sky130_fd_sc_hd__nor3_2
+XFILLER_195_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_711_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60114_ _54793_/A _59632_/B VGND VGND VPWR VPWR _60116_/B sky130_fd_sc_hd__nor2_2
+XFILLER_201_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_514_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_236_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49925_ _49925_/A _49925_/B VGND VGND VPWR VPWR _49925_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_522_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45048_ _45048_/A _45071_/A VGND VGND VPWR VPWR _45048_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_84_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_125_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_451_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61094_ _77529_/Q _60630_/B VGND VGND VPWR VPWR _61095_/C sky130_fd_sc_hd__nor2_2
+X_65971_ _57133_/A _65485_/B VGND VGND VPWR VPWR _65971_/Y sky130_fd_sc_hd__nor2_2
+X_77957_ _77956_/CLK _50879_/Y VGND VGND VPWR VPWR _77957_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_193_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_253_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_67_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_487_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67710_ _66886_/A VGND VGND VPWR VPWR _67711_/B sky130_fd_sc_hd__buf_1
+XFILLER_335_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_314_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60045_ _77154_/Q _60044_/X VGND VGND VPWR VPWR _60046_/C sky130_fd_sc_hd__nor2_2
+X_64922_ _81234_/Q _64581_/B VGND VGND VPWR VPWR _64924_/B sky130_fd_sc_hd__nor2_2
+X_76908_ _76907_/CLK _76908_/D VGND VGND VPWR VPWR _54853_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_98_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49856_ _47887_/B _49828_/B VGND VGND VPWR VPWR _49857_/A sky130_fd_sc_hd__or2_2
+XFILLER_98_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68690_ _68035_/A _68690_/B _68689_/Y VGND VGND VPWR VPWR _68699_/B sky130_fd_sc_hd__nor3_2
+XPHY_31470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_648_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77888_ _77888_/CLK _77888_/D VGND VGND VPWR VPWR _62260_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_113_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_449_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48807_ _48867_/A _48804_/B VGND VGND VPWR VPWR _48807_/X sky130_fd_sc_hd__or2_2
+XFILLER_608_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67641_ _67453_/X _67641_/B _67641_/C VGND VGND VPWR VPWR _67642_/B sky130_fd_sc_hd__nor3_2
+XFILLER_80_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79627_ _79599_/CLK _41410_/Y VGND VGND VPWR VPWR _69077_/A sky130_fd_sc_hd__dfxtp_4
+X_64853_ _64694_/Y _64695_/Y _64853_/C _64852_/Y VGND VGND VPWR VPWR _76687_/D sky130_fd_sc_hd__or4_2
+XFILLER_466_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76839_ _79160_/CLK _76839_/D VGND VGND VPWR VPWR _76839_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_664_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49787_ _49787_/A _49787_/B VGND VGND VPWR VPWR _49788_/B sky130_fd_sc_hd__or2_2
+XFILLER_214_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46999_ _71679_/A _46982_/B VGND VGND VPWR VPWR _47001_/B sky130_fd_sc_hd__nor2_2
+XFILLER_234_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_463_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_212_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_351_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_239_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_3073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_3024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_410_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_224_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_312_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39540_ _39550_/A _39550_/B _57967_/A VGND VGND VPWR VPWR _39540_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_371_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63804_ _78467_/Q _59263_/A VGND VGND VPWR VPWR _63804_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_642_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_247_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67572_ _80930_/Q _67572_/B VGND VGND VPWR VPWR _67572_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_466_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_20290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48738_ _48735_/Y _48737_/X VGND VGND VPWR VPWR _48738_/Y sky130_fd_sc_hd__nand2_2
+X_79558_ _79565_/CLK _41809_/Y _41803_/X VGND VGND VPWR VPWR _46634_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_629_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64784_ _64172_/X _64780_/Y _64783_/Y VGND VGND VPWR VPWR _64784_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_474_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61996_ _61993_/X _61996_/B _61996_/C VGND VGND VPWR VPWR _62002_/B sky130_fd_sc_hd__nor3_2
+XFILLER_94_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_466_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69311_ _38233_/C _69311_/B VGND VGND VPWR VPWR _69311_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_227_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66523_ _66685_/A _66521_/Y _66522_/Y VGND VGND VPWR VPWR _66523_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_329_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78509_ _78498_/CLK _78509_/D VGND VGND VPWR VPWR _48758_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_509_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_449_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39471_ _39434_/A VGND VGND VPWR VPWR _39472_/B sky130_fd_sc_hd__buf_1
+XFILLER_1_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63735_ _63719_/Y _63734_/Y VGND VGND VPWR VPWR _63735_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_282_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60947_ _60947_/A _60781_/B VGND VGND VPWR VPWR _60949_/B sky130_fd_sc_hd__nor2_2
+XFILLER_208_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48669_ _48727_/A _48657_/B VGND VGND VPWR VPWR _48670_/B sky130_fd_sc_hd__or2_2
+XFILLER_705_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79489_ _78988_/Q _79489_/D _42360_/X VGND VGND VPWR VPWR _79489_/Q sky130_fd_sc_hd__dfstp_4
+XFILLER_110_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_599_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_694_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38422_ _38422_/A _38422_/B VGND VGND VPWR VPWR _38422_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_364_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50700_ _50763_/A _50727_/B VGND VGND VPWR VPWR _50701_/B sky130_fd_sc_hd__or2_2
+XFILLER_525_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_269_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_113_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69242_ _64563_/A _69242_/B _69242_/C VGND VGND VPWR VPWR _69243_/B sky130_fd_sc_hd__nor3_2
+XFILLER_462_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66454_ _66454_/A _66453_/Y VGND VGND VPWR VPWR _66454_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_349_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_281_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51680_ _51680_/A _51680_/B VGND VGND VPWR VPWR _77748_/D sky130_fd_sc_hd__nand2_2
+XFILLER_39_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63666_ _63222_/X _63664_/Y _63665_/Y VGND VGND VPWR VPWR _63666_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_384_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_226_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60878_ _60719_/A _60876_/Y _60878_/C VGND VGND VPWR VPWR _60885_/B sky130_fd_sc_hd__nor3_2
+XFILLER_470_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65405_ _65734_/A _65403_/Y _65405_/C VGND VGND VPWR VPWR _65405_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_397_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_81_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38353_ _38351_/X _38352_/Y VGND VGND VPWR VPWR _38353_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_327_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62617_ _62617_/A _62149_/X VGND VGND VPWR VPWR _62617_/Y sky130_fd_sc_hd__nor2_2
+X_50631_ _50631_/A _50630_/X VGND VGND VPWR VPWR _50631_/Y sky130_fd_sc_hd__nand2_2
+X_81451_ _81385_/CLK _81451_/D VGND VGND VPWR VPWR _69063_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_282_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69173_ _69173_/A _68554_/B VGND VGND VPWR VPWR _69175_/B sky130_fd_sc_hd__nor2_2
+XFILLER_503_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_430_237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66385_ _66385_/A _66540_/B VGND VGND VPWR VPWR _66388_/B sky130_fd_sc_hd__nor2_2
+XFILLER_558_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63597_ _78585_/Q _63990_/B VGND VGND VPWR VPWR _63597_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_538_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_718_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_396_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_323_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_618_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80402_ _80375_/CLK _80402_/D VGND VGND VPWR VPWR _80402_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_440_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_250_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68124_ _80965_/Q _68281_/B VGND VGND VPWR VPWR _68126_/B sky130_fd_sc_hd__nor2_2
+XFILLER_405_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53350_ _53346_/Y _53350_/B VGND VGND VPWR VPWR _77309_/D sky130_fd_sc_hd__nand2_2
+XFILLER_342_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_694_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65336_ _40228_/C _64985_/X VGND VGND VPWR VPWR _65338_/B sky130_fd_sc_hd__nor2_2
+XFILLER_358_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38284_ _76283_/A _38289_/B VGND VGND VPWR VPWR _38284_/X sky130_fd_sc_hd__or2_2
+XFILLER_478_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_22_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50562_ _62336_/A _50548_/B VGND VGND VPWR VPWR _50565_/A sky130_fd_sc_hd__nand2_2
+X_62548_ _62548_/A VGND VGND VPWR VPWR _62709_/A sky130_fd_sc_hd__buf_1
+XFILLER_260_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81382_ _81382_/CLK _72626_/Y VGND VGND VPWR VPWR _68251_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_143_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_411_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_263_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_503_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_49_0_u_core.clock clkbuf_7_49_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_98_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_659_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_401_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52301_ _52299_/Y _52301_/B VGND VGND VPWR VPWR _77583_/D sky130_fd_sc_hd__nand2_2
+XFILLER_17_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_241_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_33_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68055_ _68055_/A _67731_/B VGND VGND VPWR VPWR _68057_/B sky130_fd_sc_hd__nor2_2
+XFILLER_558_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80333_ _80332_/CLK _80333_/D VGND VGND VPWR VPWR _38629_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_395_174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_295_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65267_ _65267_/A _64907_/B VGND VGND VPWR VPWR _65267_/Y sky130_fd_sc_hd__nor2_2
+X_53281_ _53281_/A _53292_/B VGND VGND VPWR VPWR _53284_/A sky130_fd_sc_hd__nand2_2
+XFILLER_241_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_659_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62479_ _62479_/A _62012_/B VGND VGND VPWR VPWR _62481_/B sky130_fd_sc_hd__nor2_2
+XFILLER_10_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50493_ _50400_/X _50514_/B VGND VGND VPWR VPWR _50494_/B sky130_fd_sc_hd__or2_2
+XFILLER_520_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_393_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_148_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_210_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67006_ _67006_/A _66350_/X VGND VGND VPWR VPWR _67006_/Y sky130_fd_sc_hd__nor2_2
+X_55020_ _55022_/A VGND VGND VPWR VPWR _55020_/X sky130_fd_sc_hd__buf_1
+XFILLER_526_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_358_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_9_0_u_core.clock clkbuf_7_9_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_9_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_590_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64218_ _64218_/A VGND VGND VPWR VPWR _69487_/A sky130_fd_sc_hd__buf_1
+X_52232_ _52222_/X VGND VGND VPWR VPWR _52245_/B sky130_fd_sc_hd__buf_1
+XFILLER_688_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_202_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80264_ _80264_/CLK _80264_/D VGND VGND VPWR VPWR _38890_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_516_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65198_ _64851_/A _65198_/B _65198_/C VGND VGND VPWR VPWR _65199_/C sky130_fd_sc_hd__nor3_2
+XFILLER_33_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_50_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_198_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_716_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_378_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_136_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_571_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52163_ _61303_/A _52163_/B VGND VGND VPWR VPWR _52163_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_120_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64149_ _64497_/A VGND VGND VPWR VPWR _67885_/A sky130_fd_sc_hd__buf_1
+XPHY_22609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80195_ _80195_/CLK _80195_/D VGND VGND VPWR VPWR _67842_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_147_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_352_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_156_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_536_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_438_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_513_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_11_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51114_ _51113_/X VGND VGND VPWR VPWR _51119_/B sky130_fd_sc_hd__buf_1
+XPHY_12119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52094_ _52094_/A VGND VGND VPWR VPWR _52102_/B sky130_fd_sc_hd__buf_1
+X_68957_ _68621_/X _68954_/Y _68957_/C VGND VGND VPWR VPWR _68957_/Y sky130_fd_sc_hd__nor3_2
+X_56971_ _65634_/A _56723_/B VGND VGND VPWR VPWR _56971_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_686_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_265_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_415_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_11418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58710_ _58710_/A _58710_/B _58710_/C VGND VGND VPWR VPWR _58711_/B sky130_fd_sc_hd__nor3_2
+XFILLER_334_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_134_0_u_core.clock clkbuf_7_67_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_269_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_415_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51045_ _62171_/A _51035_/B VGND VGND VPWR VPWR _51047_/A sky130_fd_sc_hd__nand2_2
+X_55922_ _55922_/A _71355_/B VGND VGND VPWR VPWR _55922_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_588_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67908_ _68731_/A VGND VGND VPWR VPWR _68396_/B sky130_fd_sc_hd__buf_1
+XPHY_11429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59690_ _59690_/A _60332_/B VGND VGND VPWR VPWR _59690_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_215_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_667_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_194_0_u_core.clock clkbuf_8_97_0_u_core.clock/X VGND VGND VPWR VPWR _78935_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_270_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68888_ _66222_/A VGND VGND VPWR VPWR _68888_/X sky130_fd_sc_hd__buf_1
+XFILLER_143_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_63_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_683_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39807_ _39805_/X _39807_/B VGND VGND VPWR VPWR _39807_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_63_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58641_ _58641_/A _58708_/B VGND VGND VPWR VPWR _58641_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_330_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_82_0_u_core.clock clkbuf_8_83_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_82_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_55853_ _44928_/B _55853_/B VGND VGND VPWR VPWR _55853_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_235_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67839_ _80323_/Q _67341_/B VGND VGND VPWR VPWR _67840_/C sky130_fd_sc_hd__nor2_2
+XFILLER_465_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_410_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_655_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_311_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_252_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_569_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_445_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54804_ _54804_/A _54804_/B VGND VGND VPWR VPWR _54804_/X sky130_fd_sc_hd__or2_2
+XFILLER_281_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_644_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39738_ _39871_/B VGND VGND VPWR VPWR _39738_/X sky130_fd_sc_hd__buf_1
+XFILLER_287_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70850_ _70849_/X VGND VGND VPWR VPWR _70850_/X sky130_fd_sc_hd__buf_1
+XFILLER_549_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58572_ _58329_/X _58572_/B _58572_/C VGND VGND VPWR VPWR _58576_/B sky130_fd_sc_hd__nor3_2
+XFILLER_115_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55784_ _53069_/A _55790_/B VGND VGND VPWR VPWR _55785_/B sky130_fd_sc_hd__or2_2
+XPHY_6025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_543_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_547_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52996_ _54907_/A _52968_/B VGND VGND VPWR VPWR _53022_/B sky130_fd_sc_hd__or2_2
+XFILLER_330_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_29_0_u_core.clock clkbuf_8_29_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_59_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_453_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57523_ _66782_/A _57447_/X VGND VGND VPWR VPWR _57523_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_625_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69509_ _80974_/Q _69369_/B VGND VGND VPWR VPWR _69511_/B sky130_fd_sc_hd__nor2_2
+XFILLER_382_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_508_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54735_ _54732_/Y _54735_/B VGND VGND VPWR VPWR _76940_/D sky130_fd_sc_hd__nand2_2
+XFILLER_217_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39669_ _39669_/A _39669_/B VGND VGND VPWR VPWR _39669_/Y sky130_fd_sc_hd__nand2_2
+X_51947_ _51954_/A VGND VGND VPWR VPWR _51948_/B sky130_fd_sc_hd__buf_1
+XFILLER_382_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70781_ _70781_/A VGND VGND VPWR VPWR _70782_/A sky130_fd_sc_hd__inv_8
+XFILLER_582_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41700_ _41706_/C _41713_/C VGND VGND VPWR VPWR _41700_/X sky130_fd_sc_hd__or2_2
+XFILLER_723_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_441_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72520_ _72234_/A _72531_/B VGND VGND VPWR VPWR _72522_/A sky130_fd_sc_hd__or2_2
+XFILLER_328_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_503 _65412_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_273_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57454_ _57444_/X _57454_/B _57454_/C VGND VGND VPWR VPWR _57454_/Y sky130_fd_sc_hd__nor3_2
+XPHY_37105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54666_ _54666_/A _54702_/B VGND VGND VPWR VPWR _54667_/A sky130_fd_sc_hd__or2_2
+XPHY_19748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42680_ _42702_/A _42680_/B _42679_/Y VGND VGND VPWR VPWR _42680_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_205_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_514 _66027_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_150_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51878_ _51936_/A _51882_/B VGND VGND VPWR VPWR _51879_/B sky130_fd_sc_hd__or2_2
+XPHY_5379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_525 _65550_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_3900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_536 _67858_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_57_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56405_ _56404_/X VGND VGND VPWR VPWR _56406_/B sky130_fd_sc_hd__buf_1
+XFILLER_60_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_302_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41631_ _41629_/X _41630_/Y VGND VGND VPWR VPWR _41631_/Y sky130_fd_sc_hd__nand2_2
+XANTENNA_547 _68842_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_187_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53617_ _53617_/A VGND VGND VPWR VPWR _53739_/A sky130_fd_sc_hd__buf_1
+XPHY_3922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72451_ _72457_/A _72457_/B _65103_/A VGND VGND VPWR VPWR _72452_/B sky130_fd_sc_hd__nand3_2
+XFILLER_281_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_610_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50829_ _62294_/A _50822_/B VGND VGND VPWR VPWR _50831_/A sky130_fd_sc_hd__nand2_2
+XFILLER_243_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_558 ANTENNA_558/DIODE VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_57385_ _79932_/Q _57310_/B VGND VGND VPWR VPWR _57385_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_144_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54597_ _59622_/A _54590_/B VGND VGND VPWR VPWR _54599_/A sky130_fd_sc_hd__nand2_2
+XPHY_36426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_36437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_122_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59124_ _59199_/A VGND VGND VPWR VPWR _60025_/A sky130_fd_sc_hd__buf_1
+X_71402_ _71301_/X _71386_/X _71309_/X _42592_/B VGND VGND VPWR VPWR _71403_/A sky130_fd_sc_hd__o22a_4
+XFILLER_658_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44350_ _79217_/Q VGND VGND VPWR VPWR _44350_/Y sky130_fd_sc_hd__inv_8
+XPHY_3966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56336_ _64300_/A _56336_/B VGND VGND VPWR VPWR _56336_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_423_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75170_ _75166_/X _75159_/X _64874_/A VGND VGND VPWR VPWR _75171_/B sky130_fd_sc_hd__nand3_2
+XFILLER_306_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41562_ _41548_/X _41559_/B _41562_/C VGND VGND VPWR VPWR _41562_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_92_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_224_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53548_ _77255_/Q _53551_/B VGND VGND VPWR VPWR _53550_/A sky130_fd_sc_hd__nand2_2
+XFILLER_74_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72382_ _72382_/A _72370_/X _68247_/A VGND VGND VPWR VPWR _72382_/Y sky130_fd_sc_hd__nand3_2
+XPHY_3977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_657_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43301_ _43301_/A _43286_/B _67279_/A VGND VGND VPWR VPWR _43302_/B sky130_fd_sc_hd__nand3_2
+XFILLER_690_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74121_ _74787_/A VGND VGND VPWR VPWR _74253_/A sky130_fd_sc_hd__buf_1
+XPHY_35747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40513_ _39246_/A VGND VGND VPWR VPWR _40514_/A sky130_fd_sc_hd__buf_1
+XFILLER_319_219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59055_ _77101_/Q _59054_/X VGND VGND VPWR VPWR _59055_/Y sky130_fd_sc_hd__nor2_2
+X_71333_ _70906_/C _71332_/X VGND VGND VPWR VPWR _71335_/B sky130_fd_sc_hd__and2_2
+XFILLER_718_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44281_ _44272_/A _44272_/B VGND VGND VPWR VPWR _44281_/X sky130_fd_sc_hd__and2_2
+XFILLER_259_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56267_ _57401_/A VGND VGND VPWR VPWR _56538_/A sky130_fd_sc_hd__buf_1
+XFILLER_714_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41493_ _41253_/A _41496_/B VGND VGND VPWR VPWR _41493_/X sky130_fd_sc_hd__or2_2
+X_53479_ _53512_/A _53479_/B VGND VGND VPWR VPWR _53479_/X sky130_fd_sc_hd__or2_2
+XFILLER_404_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_35_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46020_ _46027_/A VGND VGND VPWR VPWR _46962_/A sky130_fd_sc_hd__inv_8
+X_58006_ _79389_/Q _58250_/B VGND VGND VPWR VPWR _58007_/C sky130_fd_sc_hd__nor2_2
+XFILLER_13_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43232_ _43232_/A _43224_/B VGND VGND VPWR VPWR _43232_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_9_62_0_u_core.clock clkbuf_9_63_0_u_core.clock/A VGND VGND VPWR VPWR _76729_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_671_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_159_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55218_ _55144_/A _55212_/B _55217_/Y VGND VGND VPWR VPWR _55219_/B sky130_fd_sc_hd__nor3_2
+XPHY_14000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74052_ _74047_/A _74047_/B _81027_/Q VGND VGND VPWR VPWR _74052_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_294_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40444_ _40441_/X _40443_/Y VGND VGND VPWR VPWR _40444_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_185_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_68_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71264_ _71772_/A VGND VGND VPWR VPWR _71376_/A sky130_fd_sc_hd__buf_1
+XFILLER_138_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_16_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_100_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56198_ _56004_/X _56197_/X _78909_/Q _55980_/A VGND VGND VPWR VPWR wbs_ack_o sky130_fd_sc_hd__o22a_4
+XFILLER_196_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_298_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_272_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_5_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73003_ _73003_/A _73002_/X VGND VGND VPWR VPWR _73003_/Y sky130_fd_sc_hd__nand2_2
+XPHY_24567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70215_ _70212_/Y _70164_/A _70165_/A _70214_/X VGND VGND VPWR VPWR _70215_/X sky130_fd_sc_hd__o22a_4
+XFILLER_673_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_590_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43163_ _43163_/A _43162_/X VGND VGND VPWR VPWR _43164_/B sky130_fd_sc_hd__nor2_2
+XFILLER_196_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55149_ _55148_/X VGND VGND VPWR VPWR _55149_/X sky130_fd_sc_hd__buf_1
+XPHY_13310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78860_ _78860_/CLK _78860_/D VGND VGND VPWR VPWR _64048_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_14055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40375_ _40374_/X _40369_/B VGND VGND VPWR VPWR _40375_/X sky130_fd_sc_hd__or2_2
+XFILLER_456_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71195_ _71385_/A _71194_/Y VGND VGND VPWR VPWR _71195_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_516_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_472_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_87_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_488_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42114_ _56478_/A _42099_/B VGND VGND VPWR VPWR _42115_/B sky130_fd_sc_hd__nor2_2
+XFILLER_678_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77811_ _77811_/CLK _77811_/D VGND VGND VPWR VPWR _61501_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_315_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70146_ _70145_/X VGND VGND VPWR VPWR _71118_/A sky130_fd_sc_hd__buf_1
+XPHY_13354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47971_ _63008_/A _47971_/B VGND VGND VPWR VPWR _47971_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43094_ _44935_/A _46714_/A VGND VGND VPWR VPWR _43094_/X sky130_fd_sc_hd__or2_2
+X_59957_ _77250_/Q _59646_/B VGND VGND VPWR VPWR _59959_/B sky130_fd_sc_hd__nor2_2
+XPHY_12620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78791_ _78307_/CLK _78791_/D VGND VGND VPWR VPWR _63360_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_489_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_669_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_550_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49710_ _49710_/A VGND VGND VPWR VPWR _49732_/B sky130_fd_sc_hd__buf_1
+XPHY_30010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42045_ _42044_/Y _42036_/B VGND VGND VPWR VPWR _42045_/Y sky130_fd_sc_hd__nor2_2
+X_46922_ _46913_/A _46922_/B VGND VGND VPWR VPWR _46927_/A sky130_fd_sc_hd__or2_2
+XPHY_13398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58908_ _55764_/A _63885_/B VGND VGND VPWR VPWR _58908_/Y sky130_fd_sc_hd__nor2_2
+X_77742_ _77741_/CLK _77742_/D VGND VGND VPWR VPWR _60697_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_669_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_122_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74954_ _74954_/A _74953_/X VGND VGND VPWR VPWR _80812_/D sky130_fd_sc_hd__nand2_2
+X_70077_ _71489_/A VGND VGND VPWR VPWR _70077_/X sky130_fd_sc_hd__buf_1
+XFILLER_272_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_218_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_682_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59888_ _59405_/A _59888_/B _59888_/C VGND VGND VPWR VPWR _59894_/B sky130_fd_sc_hd__nor3_2
+XFILLER_450_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_669_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_485_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_7_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49641_ _49641_/A _49615_/X VGND VGND VPWR VPWR _49641_/X sky130_fd_sc_hd__or2_2
+XFILLER_665_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73905_ _73898_/A _73894_/B _68223_/A VGND VGND VPWR VPWR _73905_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_296_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58839_ _58839_/A _56336_/B VGND VGND VPWR VPWR _58839_/Y sky130_fd_sc_hd__nor2_2
+X_46853_ _46844_/A _46853_/B VGND VGND VPWR VPWR _78939_/D sky130_fd_sc_hd__nor2_2
+X_77673_ _77613_/CLK _77673_/D VGND VGND VPWR VPWR _51957_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_700_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_450_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74885_ _74878_/A _74882_/B _66885_/A VGND VGND VPWR VPWR _74885_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_429_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79412_ _79439_/CLK _79412_/D VGND VGND VPWR VPWR _64324_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_725_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45804_ _45804_/A _45804_/B _45798_/C VGND VGND VPWR VPWR _45805_/C sky130_fd_sc_hd__nor3_2
+XFILLER_580_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76624_ VGND VGND VPWR VPWR _76624_/HI la_data_out[120] sky130_fd_sc_hd__conb_1
+XFILLER_249_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61850_ _59479_/A VGND VGND VPWR VPWR _61850_/X sky130_fd_sc_hd__buf_1
+X_49572_ _49572_/A _49571_/X VGND VGND VPWR VPWR _78305_/D sky130_fd_sc_hd__nand2_2
+X_73836_ _73834_/X _73836_/B VGND VGND VPWR VPWR _81080_/D sky130_fd_sc_hd__nand2_2
+XFILLER_438_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_387_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46784_ _46780_/B _46783_/Y _46772_/C VGND VGND VPWR VPWR _78957_/D sky130_fd_sc_hd__nor3_2
+XFILLER_313_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_723_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43996_ _73108_/A _43668_/A VGND VGND VPWR VPWR _43996_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_40_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_467_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_583_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60801_ _59088_/A VGND VGND VPWR VPWR _60889_/A sky130_fd_sc_hd__buf_1
+XPHY_7282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48523_ _48456_/X _48523_/B VGND VGND VPWR VPWR _48523_/X sky130_fd_sc_hd__or2_2
+XFILLER_111_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79343_ _79342_/CLK _79343_/D VGND VGND VPWR VPWR _43460_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_0_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_264_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45735_ _45734_/Y _45715_/B VGND VGND VPWR VPWR _45738_/B sky130_fd_sc_hd__nor2_2
+XPHY_39030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_3066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76555_ VGND VGND VPWR VPWR _76555_/HI la_data_out[51] sky130_fd_sc_hd__conb_1
+XPHY_7293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42947_ _42944_/X _42947_/B VGND VGND VPWR VPWR _42947_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_75_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61781_ _61781_/A _61469_/B VGND VGND VPWR VPWR _61781_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_166_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73767_ _73646_/A _73778_/B VGND VGND VPWR VPWR _73769_/A sky130_fd_sc_hd__or2_2
+XPHY_39041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70979_ _70978_/X VGND VGND VPWR VPWR _70979_/X sky130_fd_sc_hd__buf_1
+XFILLER_184_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_326_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_286_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_614_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63520_ _63520_/A _63519_/X VGND VGND VPWR VPWR _63520_/Y sky130_fd_sc_hd__nor2_2
+X_75506_ _75891_/A _75501_/B VGND VGND VPWR VPWR _75506_/X sky130_fd_sc_hd__or2_2
+XFILLER_463_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60732_ _60732_/A _60421_/X VGND VGND VPWR VPWR _60733_/C sky130_fd_sc_hd__nor2_2
+XFILLER_361_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72718_ _72721_/A _72714_/B _72718_/C VGND VGND VPWR VPWR _72718_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_326_2939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_263_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48454_ _48453_/X VGND VGND VPWR VPWR _48455_/B sky130_fd_sc_hd__buf_1
+XFILLER_504_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79274_ _79216_/CLK _79274_/D VGND VGND VPWR VPWR _43967_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45666_ _41933_/A _45671_/A VGND VGND VPWR VPWR _45666_/X sky130_fd_sc_hd__or2_2
+XFILLER_208_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76486_ VGND VGND VPWR VPWR _76486_/HI io_out[8] sky130_fd_sc_hd__conb_1
+XFILLER_341_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42878_ _42878_/A _42877_/Y VGND VGND VPWR VPWR _42878_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_441_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73698_ _73941_/A _73712_/B VGND VGND VPWR VPWR _73700_/A sky130_fd_sc_hd__or2_2
+XFILLER_445_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_444_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_369_108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_504_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_63_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47405_ _63520_/A _47390_/X VGND VGND VPWR VPWR _47405_/Y sky130_fd_sc_hd__nand2_2
+X_78225_ _78699_/CLK _49871_/Y VGND VGND VPWR VPWR _62368_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_452_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_397_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44617_ _44621_/A _44589_/B VGND VGND VPWR VPWR _44625_/B sky130_fd_sc_hd__nor2_2
+XFILLER_95_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63451_ _63128_/X _63449_/Y _63451_/C VGND VGND VPWR VPWR _63451_/Y sky130_fd_sc_hd__nor3_2
+X_75437_ _75455_/A _75444_/B _75437_/C VGND VGND VPWR VPWR _75438_/B sky130_fd_sc_hd__nand3_2
+XFILLER_463_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41829_ _41820_/A VGND VGND VPWR VPWR _41829_/X sky130_fd_sc_hd__buf_1
+XPHY_38384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60663_ _77694_/Q _60663_/B VGND VGND VPWR VPWR _60663_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_496_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48385_ _48414_/A _48375_/X VGND VGND VPWR VPWR _48385_/X sky130_fd_sc_hd__or2_2
+XFILLER_251_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72649_ _72667_/A VGND VGND VPWR VPWR _72664_/B sky130_fd_sc_hd__buf_1
+XFILLER_264_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_244_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_207_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45597_ _45537_/X VGND VGND VPWR VPWR _45609_/B sky130_fd_sc_hd__buf_1
+XPHY_37650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_223_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_1528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_378_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62402_ _62402_/A _62250_/B VGND VGND VPWR VPWR _62402_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_402_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_232_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_162_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47336_ _47336_/A _47336_/B VGND VGND VPWR VPWR _78864_/D sky130_fd_sc_hd__nand2_2
+X_66170_ _66500_/A _66170_/B _66169_/Y VGND VGND VPWR VPWR _66170_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_220_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78156_ _78156_/CLK _78156_/D VGND VGND VPWR VPWR _62869_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_381_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44548_ _44365_/X VGND VGND VPWR VPWR _44557_/A sky130_fd_sc_hd__inv_8
+XPHY_27160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63382_ _63222_/X _63380_/Y _63381_/Y VGND VGND VPWR VPWR _63383_/C sky130_fd_sc_hd__nor3_2
+X_75368_ _75366_/X _75367_/Y VGND VGND VPWR VPWR _75368_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_654_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60594_ _60440_/A _60594_/B _60593_/Y VGND VGND VPWR VPWR _60595_/C sky130_fd_sc_hd__nor3_2
+XFILLER_496_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_305_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_567_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_36971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65121_ _64461_/A VGND VGND VPWR VPWR _68434_/A sky130_fd_sc_hd__buf_1
+XFILLER_189_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77107_ _76907_/CLK _77107_/D VGND VGND VPWR VPWR _54111_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_366_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_338_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_12_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62333_ _62333_/A _62189_/X VGND VGND VPWR VPWR _62333_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74319_ _74301_/A VGND VGND VPWR VPWR _74320_/B sky130_fd_sc_hd__buf_1
+XFILLER_105_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47267_ _47454_/A _47455_/A _47456_/C VGND VGND VPWR VPWR _47268_/A sky130_fd_sc_hd__or3_2
+XFILLER_121_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78087_ _77061_/CLK _78087_/D VGND VGND VPWR VPWR _78087_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_716_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44479_ _74989_/A _44639_/A _44470_/B _44478_/X VGND VGND VPWR VPWR _44479_/X sky130_fd_sc_hd__o22a_4
+XPHY_26470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75299_ _74815_/A _75186_/A VGND VGND VPWR VPWR _75301_/A sky130_fd_sc_hd__or2_2
+XFILLER_377_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_556_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49006_ _49006_/A _49006_/B VGND VGND VPWR VPWR _49006_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_379_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46218_ _46218_/A _46196_/X VGND VGND VPWR VPWR _46218_/X sky130_fd_sc_hd__and2_2
+X_65052_ _65898_/A VGND VGND VPWR VPWR _65052_/X sky130_fd_sc_hd__buf_1
+XFILLER_392_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77038_ _78386_/CLK _54364_/Y VGND VGND VPWR VPWR _77038_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_594_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62264_ _62264_/A _62111_/B VGND VGND VPWR VPWR _62264_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_537_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_470_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47198_ _46414_/B _47197_/Y VGND VGND VPWR VPWR _47198_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_31_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_717_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_372_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_713_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64003_ _78740_/Q _64003_/B VGND VGND VPWR VPWR _64003_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_508_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61215_ _61215_/A _61215_/B _61214_/Y VGND VGND VPWR VPWR _61221_/B sky130_fd_sc_hd__nor3_2
+XFILLER_195_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_12_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46149_ _46147_/X _46148_/X VGND VGND VPWR VPWR _46149_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_522_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69860_ _75827_/A _72122_/A VGND VGND VPWR VPWR _71141_/A sky130_fd_sc_hd__or2_2
+XFILLER_82_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_306_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62195_ _62195_/A _61556_/X VGND VGND VPWR VPWR _62196_/C sky130_fd_sc_hd__nor2_2
+XFILLER_236_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_712_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_259_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_129_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_689_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_275_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_47_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68811_ _58443_/A _68810_/X VGND VGND VPWR VPWR _68811_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_723_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_687_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61146_ _60680_/A _61144_/Y _61145_/Y VGND VGND VPWR VPWR _61146_/Y sky130_fd_sc_hd__nor3_2
+X_38971_ _38604_/A _38968_/B VGND VGND VPWR VPWR _38971_/X sky130_fd_sc_hd__or2_2
+XFILLER_357_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_695_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69791_ _69593_/A _69790_/X VGND VGND VPWR VPWR _69791_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_452_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78989_ _78988_/Q _46625_/X _46620_/X VGND VGND VPWR VPWR _58849_/B sky130_fd_sc_hd__dfstp_4
+XFILLER_370_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_338_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_433_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49908_ _49906_/Y _49907_/X VGND VGND VPWR VPWR _78214_/D sky130_fd_sc_hd__nand2_2
+XFILLER_160_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_177_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68742_ _69335_/A _68742_/B _68741_/Y VGND VGND VPWR VPWR _68748_/B sky130_fd_sc_hd__nor3_2
+XFILLER_448_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65954_ _66125_/A _65954_/B VGND VGND VPWR VPWR _65954_/Y sky130_fd_sc_hd__nor2_2
+X_61077_ _60919_/A _61077_/B _61077_/C VGND VGND VPWR VPWR _61081_/B sky130_fd_sc_hd__nor3_2
+XFILLER_64_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_476_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_416_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_708_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_67_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60028_ _59713_/A _60021_/Y _60028_/C VGND VGND VPWR VPWR _60028_/Y sky130_fd_sc_hd__nor3_2
+X_64905_ _64541_/A _64905_/B _64905_/C VGND VGND VPWR VPWR _64917_/B sky130_fd_sc_hd__nor3_2
+XFILLER_487_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_9_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_476_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49839_ _49839_/A _49845_/B VGND VGND VPWR VPWR _49839_/X sky130_fd_sc_hd__or2_2
+X_80951_ _80884_/CLK _74369_/Y VGND VGND VPWR VPWR _80951_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_447_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68673_ _69137_/A _68631_/Y _68673_/C VGND VGND VPWR VPWR _68674_/C sky130_fd_sc_hd__nor3_2
+XFILLER_287_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65885_ _66221_/A _65885_/B _65885_/C VGND VGND VPWR VPWR _65893_/B sky130_fd_sc_hd__nor3_2
+XFILLER_45_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_41_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_112_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67624_ _67624_/A _68279_/B VGND VGND VPWR VPWR _67624_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_210_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_607_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_154_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52850_ _52848_/A VGND VGND VPWR VPWR _52866_/B sky130_fd_sc_hd__buf_1
+X_64836_ _56517_/A _65357_/B VGND VGND VPWR VPWR _64836_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_331_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_468_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_583_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80882_ _80969_/CLK _80882_/D VGND VGND VPWR VPWR _74651_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_86_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_485_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_626_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51801_ _51801_/A _51811_/B VGND VGND VPWR VPWR _51801_/Y sky130_fd_sc_hd__nand2_2
+X_39523_ _39521_/X _39522_/Y VGND VGND VPWR VPWR _39523_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_444_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_364_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67555_ _80418_/Q _67390_/B VGND VGND VPWR VPWR _67557_/B sky130_fd_sc_hd__nor2_2
+XFILLER_468_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_661_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52781_ _52839_/A _52785_/B VGND VGND VPWR VPWR _52782_/B sky130_fd_sc_hd__or2_2
+XFILLER_132_1475 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64767_ _64767_/A _69311_/B VGND VGND VPWR VPWR _64767_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_97_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61979_ _61904_/Y _61978_/Y VGND VGND VPWR VPWR _76743_/D sky130_fd_sc_hd__nor2_2
+XFILLER_633_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_322_0_u_core.clock clkbuf_9_323_0_u_core.clock/A VGND VGND VPWR VPWR _76787_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_93_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54520_ _54064_/X VGND VGND VPWR VPWR _54642_/A sky130_fd_sc_hd__buf_1
+XFILLER_389_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66506_ _66506_/A _65843_/X VGND VGND VPWR VPWR _66507_/C sky130_fd_sc_hd__nor2_2
+XFILLER_540_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51732_ _51732_/A VGND VGND VPWR VPWR _51733_/A sky130_fd_sc_hd__buf_1
+X_39454_ _39454_/A _39454_/B VGND VGND VPWR VPWR _39454_/Y sky130_fd_sc_hd__nand2_2
+X_63718_ _59360_/A _63714_/Y _63717_/Y VGND VGND VPWR VPWR _63718_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_661_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67486_ _67655_/A _67484_/Y _67485_/Y VGND VGND VPWR VPWR _67486_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_254_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_199_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64698_ _43973_/A VGND VGND VPWR VPWR _64698_/Y sky130_fd_sc_hd__inv_8
+XFILLER_110_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_110_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_404_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38405_ _76283_/A _38405_/B VGND VGND VPWR VPWR _38408_/A sky130_fd_sc_hd__or2_2
+XFILLER_208_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81503_ _81470_/CLK _71569_/Y VGND VGND VPWR VPWR _67138_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_247_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69225_ _68777_/X _69223_/Y _69224_/Y VGND VGND VPWR VPWR _69225_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_632_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_401_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54451_ _77015_/Q _54454_/B VGND VGND VPWR VPWR _54451_/Y sky130_fd_sc_hd__nand2_2
+X_66437_ _73459_/C _65770_/B VGND VGND VPWR VPWR _66440_/B sky130_fd_sc_hd__nor2_2
+XFILLER_39_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39385_ _39423_/A VGND VGND VPWR VPWR _39399_/A sky130_fd_sc_hd__buf_1
+X_51663_ _51663_/A _51656_/X VGND VGND VPWR VPWR _51663_/X sky130_fd_sc_hd__or2_2
+XPHY_3229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63649_ _63649_/A _63911_/B VGND VGND VPWR VPWR _63651_/B sky130_fd_sc_hd__nor2_2
+XFILLER_620_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_491_0_u_core.clock clkbuf_9_491_0_u_core.clock/A VGND VGND VPWR VPWR _80289_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_2506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53402_ _53343_/X _53402_/B VGND VGND VPWR VPWR _53402_/X sky130_fd_sc_hd__or2_2
+XPHY_2517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38336_ _38334_/X _38336_/B VGND VGND VPWR VPWR _80401_/D sky130_fd_sc_hd__nand2_2
+XFILLER_108_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50614_ _50642_/A _50635_/B VGND VGND VPWR VPWR _50615_/B sky130_fd_sc_hd__or2_2
+X_57170_ _80057_/Q _57170_/B VGND VGND VPWR VPWR _57171_/C sky130_fd_sc_hd__nor2_2
+X_69156_ _69156_/A _68534_/B VGND VGND VPWR VPWR _69157_/C sky130_fd_sc_hd__nor2_2
+X_81434_ _81366_/CLK _81434_/D VGND VGND VPWR VPWR _66282_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_440_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66368_ _66686_/A _66368_/B _66367_/Y VGND VGND VPWR VPWR _66369_/C sky130_fd_sc_hd__nor3_2
+X_54382_ _54471_/A _54398_/B VGND VGND VPWR VPWR _54383_/B sky130_fd_sc_hd__or2_2
+XFILLER_546_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_208_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51594_ _51557_/X _51615_/B VGND VGND VPWR VPWR _51595_/B sky130_fd_sc_hd__or2_2
+XFILLER_58_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_341_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_299_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_384_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_655_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68107_ _41431_/C _68603_/B VGND VGND VPWR VPWR _68108_/C sky130_fd_sc_hd__nor2_2
+X_56121_ _56085_/A VGND VGND VPWR VPWR _56121_/X sky130_fd_sc_hd__buf_1
+XFILLER_715_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65319_ _66152_/A VGND VGND VPWR VPWR _65322_/A sky130_fd_sc_hd__buf_1
+X_53333_ _53635_/A VGND VGND VPWR VPWR _53454_/A sky130_fd_sc_hd__buf_1
+XFILLER_52_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_438_0_u_core.clock clkbuf_9_439_0_u_core.clock/A VGND VGND VPWR VPWR _80178_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_38267_ _38285_/A VGND VGND VPWR VPWR _38279_/A sky130_fd_sc_hd__buf_1
+X_50545_ _50543_/Y _50544_/X VGND VGND VPWR VPWR _78045_/D sky130_fd_sc_hd__nand2_2
+X_81365_ _81366_/CLK _72687_/Y VGND VGND VPWR VPWR _65459_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_396_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69087_ _69365_/A _69079_/Y _69087_/C VGND VGND VPWR VPWR _69087_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_577_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66299_ _64272_/A VGND VGND VPWR VPWR _66460_/B sky130_fd_sc_hd__buf_1
+XFILLER_546_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_633_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56052_ _45164_/Y _55985_/A VGND VGND VPWR VPWR _56054_/B sky130_fd_sc_hd__nor2_2
+X_68038_ _67871_/A _68038_/B _68038_/C VGND VGND VPWR VPWR _68042_/B sky130_fd_sc_hd__nor3_2
+X_80316_ _80289_/CLK _38694_/Y VGND VGND VPWR VPWR _80316_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_516_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_418_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53264_ _53264_/A _53264_/B VGND VGND VPWR VPWR _77329_/D sky130_fd_sc_hd__nand2_2
+XFILLER_178_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_1533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_551_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50476_ _50476_/A _50476_/B VGND VGND VPWR VPWR _50476_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_475_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81296_ _80923_/CLK _81296_/D VGND VGND VPWR VPWR _64638_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_393_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_203_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55003_ _55000_/Y _55003_/B VGND VGND VPWR VPWR _76866_/D sky130_fd_sc_hd__nand2_2
+XFILLER_365_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_258_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52215_ _52213_/Y _52215_/B VGND VGND VPWR VPWR _52215_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_295_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_182_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80247_ _80304_/CLK _80247_/D VGND VGND VPWR VPWR _38951_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_491_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_473_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_418_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53195_ _53195_/A _53209_/B VGND VGND VPWR VPWR _53198_/A sky130_fd_sc_hd__nand2_2
+XFILLER_202_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_525_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_715_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70000_ _70694_/A _70019_/A _70629_/A _69999_/X VGND VGND VPWR VPWR _70001_/D sky130_fd_sc_hd__or4_2
+XFILLER_633_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59811_ _76913_/Q _59811_/B VGND VGND VPWR VPWR _59812_/C sky130_fd_sc_hd__nor2_2
+XFILLER_687_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40160_ _40158_/X _40159_/Y VGND VGND VPWR VPWR _79943_/D sky130_fd_sc_hd__nand2_2
+XFILLER_707_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52146_ _52144_/Y _52146_/B VGND VGND VPWR VPWR _77622_/D sky130_fd_sc_hd__nand2_2
+XFILLER_163_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_69_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80178_ _80178_/CLK _39237_/Y VGND VGND VPWR VPWR _65013_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_156_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69989_ _55192_/A VGND VGND VPWR VPWR _70537_/A sky130_fd_sc_hd__inv_8
+XFILLER_703_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_412_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_352_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59742_ _59199_/A VGND VGND VPWR VPWR _59743_/A sky130_fd_sc_hd__buf_1
+XFILLER_369_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52077_ _52074_/Y _52077_/B VGND VGND VPWR VPWR _77642_/D sky130_fd_sc_hd__nand2_2
+X_56954_ _65652_/A _56622_/B VGND VGND VPWR VPWR _56954_/Y sky130_fd_sc_hd__nor2_2
+X_40091_ _40091_/A _40091_/B VGND VGND VPWR VPWR _79961_/D sky130_fd_sc_hd__nand2_2
+XFILLER_8_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_330_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_321_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55905_ _76642_/Q _46031_/X VGND VGND VPWR VPWR _55905_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_683_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51028_ _51025_/Y _51027_/X VGND VGND VPWR VPWR _51028_/Y sky130_fd_sc_hd__nand2_2
+XPHY_10514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_115_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59673_ _59512_/A _59673_/B _59672_/Y VGND VGND VPWR VPWR _59696_/A sky130_fd_sc_hd__nor3_2
+X_71951_ _79042_/Q VGND VGND VPWR VPWR _46056_/A sky130_fd_sc_hd__inv_8
+XPHY_10525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_527_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_78_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56885_ _56710_/A _56885_/B _56885_/C VGND VGND VPWR VPWR _56889_/B sky130_fd_sc_hd__nor3_2
+XFILLER_77_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_723_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_467_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_549_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58624_ _58624_/A _56309_/B VGND VGND VPWR VPWR _58626_/B sky130_fd_sc_hd__nor2_2
+X_70902_ _70901_/Y VGND VGND VPWR VPWR _71389_/A sky130_fd_sc_hd__buf_1
+XFILLER_219_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_486_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43850_ _43850_/A _43862_/A VGND VGND VPWR VPWR _43851_/C sky130_fd_sc_hd__nor2_2
+X_55836_ _55836_/A _55829_/B VGND VGND VPWR VPWR _55838_/A sky130_fd_sc_hd__nand2_2
+XFILLER_683_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74670_ _74670_/A _74692_/B _69434_/A VGND VGND VPWR VPWR _74671_/B sky130_fd_sc_hd__nand3_2
+XFILLER_115_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71882_ _71764_/A _71854_/B _71881_/Y VGND VGND VPWR VPWR _71882_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_63_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_562_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_150_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42801_ _79111_/Q VGND VGND VPWR VPWR _64421_/A sky130_fd_sc_hd__inv_8
+XFILLER_549_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73621_ _73621_/A _73621_/B VGND VGND VPWR VPWR _73621_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_447_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_185_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58555_ _80266_/Q _58311_/X VGND VGND VPWR VPWR _58556_/C sky130_fd_sc_hd__nor2_2
+X_70833_ _70811_/A _72028_/A VGND VGND VPWR VPWR _71999_/A sky130_fd_sc_hd__or2_2
+XFILLER_562_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_482_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_484_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43781_ _74694_/A _43734_/X VGND VGND VPWR VPWR _43782_/C sky130_fd_sc_hd__nor2_2
+XPHY_5110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55767_ _51310_/B _55739_/B VGND VGND VPWR VPWR _55793_/B sky130_fd_sc_hd__or2_2
+XFILLER_111_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40993_ _40993_/A _40993_/B VGND VGND VPWR VPWR _40993_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_2_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_98_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52979_ _53007_/A _52991_/B VGND VGND VPWR VPWR _52980_/B sky130_fd_sc_hd__or2_2
+XFILLER_219_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_265_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_100_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45520_ _45520_/A _45516_/Y _45519_/Y VGND VGND VPWR VPWR _45520_/Y sky130_fd_sc_hd__nor3_2
+X_76340_ _38221_/A _76333_/B VGND VGND VPWR VPWR _76342_/A sky130_fd_sc_hd__or2_2
+X_57506_ _66801_/A _57427_/X VGND VGND VPWR VPWR _57506_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_283_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42732_ _70014_/B _42732_/B VGND VGND VPWR VPWR _42732_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_245_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54718_ _59629_/A _54718_/B VGND VGND VPWR VPWR _54720_/A sky130_fd_sc_hd__nand2_2
+X_73552_ _73793_/A _73545_/B VGND VGND VPWR VPWR _73552_/X sky130_fd_sc_hd__or2_2
+XFILLER_460_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58486_ _58486_/A _58486_/B VGND VGND VPWR VPWR _58487_/B sky130_fd_sc_hd__nor2_2
+XFILLER_161_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70764_ _70757_/A _70018_/B _70728_/Y VGND VGND VPWR VPWR _70764_/X sky130_fd_sc_hd__a21o_4
+XFILLER_245_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_300 _47886_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_452_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55698_ _55680_/A _55697_/X VGND VGND VPWR VPWR _55698_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_234_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_311 _47915_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_407_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_233_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_322 _47887_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_284_2997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72503_ _72501_/X _72503_/B VGND VGND VPWR VPWR _72503_/Y sky130_fd_sc_hd__nand2_2
+XPHY_18822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_333 _54702_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_2_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45451_ _45409_/X _45447_/Y _45450_/Y VGND VGND VPWR VPWR _45451_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_638_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57437_ _66640_/A _57516_/B VGND VGND VPWR VPWR _57437_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_109_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76271_ _76271_/A _76271_/B VGND VGND VPWR VPWR _76271_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42663_ _45603_/A VGND VGND VPWR VPWR _66694_/A sky130_fd_sc_hd__inv_8
+XFILLER_603_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54649_ _54649_/A _54648_/X VGND VGND VPWR VPWR _54649_/X sky130_fd_sc_hd__or2_2
+XFILLER_441_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73483_ _73483_/A _73483_/B VGND VGND VPWR VPWR _73483_/Y sky130_fd_sc_hd__nand2_2
+XANTENNA_344 _51152_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_226_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_701_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70695_ _70695_/A _70694_/X VGND VGND VPWR VPWR _70696_/B sky130_fd_sc_hd__and2_2
+XFILLER_261_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_355 _51141_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_61_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_76_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_366 _50865_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_161_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78010_ _78006_/CLK _78010_/D VGND VGND VPWR VPWR _62491_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44402_ _44402_/A _44372_/X VGND VGND VPWR VPWR _44403_/B sky130_fd_sc_hd__nor2_2
+XPHY_3741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75222_ _75222_/A _75221_/Y VGND VGND VPWR VPWR _80741_/D sky130_fd_sc_hd__nand2_2
+XFILLER_207_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_406_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_377 _53075_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_41614_ _41614_/A _41614_/B VGND VGND VPWR VPWR _41614_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_57_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48170_ _47915_/A _48199_/B VGND VGND VPWR VPWR _52037_/A sky130_fd_sc_hd__or2_2
+X_72434_ _72431_/X _72433_/Y VGND VGND VPWR VPWR _81432_/D sky130_fd_sc_hd__nand2_2
+XPHY_4497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_388 _53221_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_35_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45382_ _45382_/A _45412_/B VGND VGND VPWR VPWR _45382_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57368_ _57203_/X _57366_/Y _57367_/Y VGND VGND VPWR VPWR _57372_/B sky130_fd_sc_hd__nor3_2
+XFILLER_423_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42594_ _42594_/A _42591_/Y _42592_/X _42594_/D VGND VGND VPWR VPWR _42594_/Y sky130_fd_sc_hd__nor4_2
+XPHY_35511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_399 _53635_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_388_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_144_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_122_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_548_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47121_ _46363_/B _47120_/X VGND VGND VPWR VPWR _47121_/X sky130_fd_sc_hd__or2_2
+X_59107_ _53646_/A _59107_/B VGND VGND VPWR VPWR _59107_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_348_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_18_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_180_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44333_ _44441_/A VGND VGND VPWR VPWR _44442_/A sky130_fd_sc_hd__inv_8
+XPHY_3796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56319_ _56319_/A VGND VGND VPWR VPWR _58610_/A sky130_fd_sc_hd__buf_1
+XPHY_25010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75153_ _75149_/A _75145_/B _65726_/A VGND VGND VPWR VPWR _75153_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_508_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41545_ _41543_/X _41544_/Y VGND VGND VPWR VPWR _79591_/D sky130_fd_sc_hd__nand2_2
+XFILLER_41_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72365_ _72728_/A _72362_/B VGND VGND VPWR VPWR _72367_/A sky130_fd_sc_hd__or2_2
+XPHY_25021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_204_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57299_ _57299_/A _57215_/B VGND VGND VPWR VPWR _57299_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_6_40_0_u_core.clock ANTENNA_558/DIODE VGND VGND VPWR VPWR clkbuf_7_81_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_35566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_224_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_651_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74104_ _74104_/A _74104_/B VGND VGND VPWR VPWR _81017_/D sky130_fd_sc_hd__nand2_2
+XFILLER_259_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47052_ _47052_/A _47052_/B VGND VGND VPWR VPWR _47053_/B sky130_fd_sc_hd__and2_2
+XFILLER_456_3072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59038_ _59513_/A VGND VGND VPWR VPWR _59360_/A sky130_fd_sc_hd__buf_1
+X_71316_ _70991_/A _71315_/X VGND VGND VPWR VPWR _71316_/X sky130_fd_sc_hd__or2_2
+XFILLER_718_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44264_ _79245_/Q _44264_/B VGND VGND VPWR VPWR _44269_/B sky130_fd_sc_hd__nor2_2
+XFILLER_9_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75084_ _75102_/A VGND VGND VPWR VPWR _75096_/B sky130_fd_sc_hd__buf_1
+XFILLER_478_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_439_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79961_ _79961_/CLK _79961_/D VGND VGND VPWR VPWR _66169_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_201_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41476_ _41486_/A _41486_/B _66134_/A VGND VGND VPWR VPWR _41477_/B sky130_fd_sc_hd__nand3_2
+XPHY_35599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72296_ _74336_/A VGND VGND VPWR VPWR _72296_/X sky130_fd_sc_hd__buf_1
+XPHY_24331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46003_ _46003_/A VGND VGND VPWR VPWR _55678_/A sky130_fd_sc_hd__buf_1
+XPHY_34876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43215_ _43215_/A VGND VGND VPWR VPWR _47240_/A sky130_fd_sc_hd__buf_1
+XFILLER_551_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74035_ _74192_/A _74045_/B VGND VGND VPWR VPWR _74035_/X sky130_fd_sc_hd__or2_2
+XFILLER_294_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78912_ _79040_/CLK _47083_/Y VGND VGND VPWR VPWR _71988_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_34887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_505_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_358_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_122_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40427_ _73172_/A VGND VGND VPWR VPWR _40568_/A sky130_fd_sc_hd__buf_1
+XFILLER_504_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71247_ _71090_/X _71246_/Y _71083_/X _71017_/Y VGND VGND VPWR VPWR _71247_/X sky130_fd_sc_hd__o22a_4
+XFILLER_436_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_316_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_315_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44195_ _44228_/A _44192_/X VGND VGND VPWR VPWR _44204_/A sky130_fd_sc_hd__or2_2
+XFILLER_452_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79892_ _79892_/CLK _40350_/Y VGND VGND VPWR VPWR _65321_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_721_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_534_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61000_ _61000_/A _60688_/B VGND VGND VPWR VPWR _61000_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_272_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_705_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43146_ _43143_/X _43145_/X VGND VGND VPWR VPWR _43146_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_417_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_357_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78843_ _78338_/CLK _78843_/D VGND VGND VPWR VPWR _63827_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_5_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40358_ _39997_/A _40354_/B VGND VGND VPWR VPWR _40358_/X sky130_fd_sc_hd__or2_2
+XFILLER_291_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_677_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71178_ _71489_/A VGND VGND VPWR VPWR _71839_/A sky130_fd_sc_hd__buf_1
+XFILLER_126_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_120_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_83_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_649_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70129_ _70128_/X VGND VGND VPWR VPWR _70129_/X sky130_fd_sc_hd__buf_1
+XFILLER_218_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_645_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47954_ _47952_/Y _47953_/X VGND VGND VPWR VPWR _78715_/D sky130_fd_sc_hd__nand2_2
+X_43077_ _45341_/A VGND VGND VPWR VPWR _46110_/A sky130_fd_sc_hd__inv_8
+XPHY_12450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78774_ _78766_/CLK _78774_/D VGND VGND VPWR VPWR _63193_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40289_ _40325_/A VGND VGND VPWR VPWR _40301_/B sky130_fd_sc_hd__buf_1
+XFILLER_107_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75986_ _75993_/A _75983_/B _68044_/A VGND VGND VPWR VPWR _75987_/B sky130_fd_sc_hd__nand3_2
+XPHY_22984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_172_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_330_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_469_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42028_ _42025_/Y _42036_/B VGND VGND VPWR VPWR _42029_/B sky130_fd_sc_hd__nor2_2
+X_46905_ _70273_/X _46871_/B VGND VGND VPWR VPWR _46906_/C sky130_fd_sc_hd__and2_2
+XFILLER_686_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77725_ _77714_/CLK _51766_/Y VGND VGND VPWR VPWR _60505_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_123_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_429_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62951_ _59063_/X VGND VGND VPWR VPWR _63263_/B sky130_fd_sc_hd__buf_1
+XPHY_12494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74937_ _74815_/A _74930_/B VGND VGND VPWR VPWR _74937_/X sky130_fd_sc_hd__or2_2
+XFILLER_313_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47885_ _47885_/A _47885_/B VGND VGND VPWR VPWR _47885_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_42_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_633_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_311_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_168_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61902_ _61882_/Y _61902_/B VGND VGND VPWR VPWR _61902_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_284_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49624_ _62579_/A _49628_/B VGND VGND VPWR VPWR _49627_/A sky130_fd_sc_hd__nand2_2
+XFILLER_256_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_214_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_250_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_268_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46836_ _46836_/A VGND VGND VPWR VPWR _46836_/X sky130_fd_sc_hd__buf_1
+XPHY_11793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65670_ _65649_/Y _65670_/B VGND VGND VPWR VPWR _65671_/B sky130_fd_sc_hd__nor2_2
+XFILLER_645_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77656_ _77705_/CLK _52024_/Y VGND VGND VPWR VPWR _77656_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_231_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62882_ _78276_/Q _63038_/B VGND VGND VPWR VPWR _62883_/C sky130_fd_sc_hd__nor2_2
+XFILLER_68_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74868_ _74866_/X _74867_/Y VGND VGND VPWR VPWR _74868_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_634_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_661_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_284_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_545_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_209_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_250_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_725_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_3005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_438_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64621_ _64264_/A _64618_/Y _64620_/Y VGND VGND VPWR VPWR _64621_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_473_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_76607_ VGND VGND VPWR VPWR _76607_/HI la_data_out[103] sky130_fd_sc_hd__conb_1
+XFILLER_472_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61833_ _61830_/X _61831_/Y _61832_/Y VGND VGND VPWR VPWR _61833_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_725_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73819_ _74336_/A VGND VGND VPWR VPWR _74013_/A sky130_fd_sc_hd__buf_1
+XFILLER_348_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49555_ _49641_/A _49539_/A VGND VGND VPWR VPWR _49555_/X sky130_fd_sc_hd__or2_2
+XFILLER_526_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_509_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_4_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46767_ _43082_/A _46772_/A VGND VGND VPWR VPWR _46768_/B sky130_fd_sc_hd__nor2_2
+XFILLER_365_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77587_ _77584_/CLK _52288_/Y VGND VGND VPWR VPWR _61418_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_23_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43979_ _72967_/A _43979_/B VGND VGND VPWR VPWR _43979_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_7_20_0_u_core.clock clkbuf_7_21_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_40_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_7090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74799_ _75406_/A _74779_/X VGND VGND VPWR VPWR _74801_/A sky130_fd_sc_hd__or2_2
+XFILLER_460_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_40_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48506_ _63455_/A _48491_/X VGND VGND VPWR VPWR _48508_/A sky130_fd_sc_hd__nand2_2
+XFILLER_545_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67340_ _66518_/A VGND VGND VPWR VPWR _67341_/B sky130_fd_sc_hd__buf_1
+XFILLER_209_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79326_ _78929_/CLK _43579_/Y VGND VGND VPWR VPWR _43577_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_224_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45718_ _45716_/Y _45717_/X VGND VGND VPWR VPWR _45718_/Y sky130_fd_sc_hd__nor2_2
+X_64552_ _64752_/A _64552_/B _64551_/Y VGND VGND VPWR VPWR _64552_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_283_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76538_ VGND VGND VPWR VPWR _76538_/HI la_data_out[30] sky130_fd_sc_hd__conb_1
+XFILLER_75_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_702_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61764_ _61919_/A _61764_/B _61764_/C VGND VGND VPWR VPWR _61765_/C sky130_fd_sc_hd__nor3_2
+XFILLER_364_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49486_ _49485_/X VGND VGND VPWR VPWR _49509_/B sky130_fd_sc_hd__buf_1
+XFILLER_64_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46698_ _46738_/A VGND VGND VPWR VPWR _46771_/A sky130_fd_sc_hd__buf_1
+XFILLER_225_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_678_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_578_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63503_ _59141_/A VGND VGND VPWR VPWR _64033_/B sky130_fd_sc_hd__buf_1
+XFILLER_248_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60715_ _60563_/A _60711_/Y _60715_/C VGND VGND VPWR VPWR _60715_/Y sky130_fd_sc_hd__nor3_2
+X_48437_ _48408_/A _48437_/B VGND VGND VPWR VPWR _48437_/X sky130_fd_sc_hd__or2_2
+XFILLER_719_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67271_ _72766_/C _67106_/X VGND VGND VPWR VPWR _67273_/B sky130_fd_sc_hd__nor2_2
+XFILLER_523_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79257_ _79254_/CLK _79257_/D VGND VGND VPWR VPWR _44165_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_36_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_409_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_381_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45649_ _45649_/A _45649_/B _45649_/C VGND VGND VPWR VPWR _45649_/Y sky130_fd_sc_hd__nor3_2
+XPHY_38170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64483_ _64495_/A VGND VGND VPWR VPWR _66897_/A sky130_fd_sc_hd__buf_1
+XFILLER_601_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76469_ VGND VGND VPWR VPWR _76469_/HI io_oeb[29] sky130_fd_sc_hd__conb_1
+XFILLER_283_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61695_ _61074_/A _61695_/B _61694_/Y VGND VGND VPWR VPWR _61705_/B sky130_fd_sc_hd__nor3_2
+XFILLER_94_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69010_ _68524_/A _69010_/B _69010_/C VGND VGND VPWR VPWR _69014_/B sky130_fd_sc_hd__nor3_2
+XFILLER_346_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_229_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_166_1560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66222_ _66222_/A VGND VGND VPWR VPWR _66225_/A sky130_fd_sc_hd__buf_1
+XFILLER_578_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78208_ _78189_/CLK _49931_/Y VGND VGND VPWR VPWR _62227_/A sky130_fd_sc_hd__dfxtp_4
+X_39170_ _76140_/A VGND VGND VPWR VPWR _39171_/A sky130_fd_sc_hd__buf_1
+X_63434_ _63580_/A _63430_/Y _63433_/Y VGND VGND VPWR VPWR _63444_/B sky130_fd_sc_hd__nor3_2
+XFILLER_405_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_127_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_397_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48368_ _48365_/X VGND VGND VPWR VPWR _48369_/B sky130_fd_sc_hd__buf_1
+X_60646_ _60331_/A _60644_/Y _60646_/C VGND VGND VPWR VPWR _60646_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_378_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79188_ _79185_/CLK _79188_/D VGND VGND VPWR VPWR _44815_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_593_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_244_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_637_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_440_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_303_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_53_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47319_ _42213_/Y _47302_/X _42216_/Y _47304_/X VGND VGND VPWR VPWR _47320_/A sky130_fd_sc_hd__o22a_4
+XFILLER_441_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66153_ _66153_/A _66153_/B VGND VGND VPWR VPWR _66153_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_402_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_144_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78139_ _78728_/CLK _78139_/D VGND VGND VPWR VPWR _78139_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_320_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63365_ _63365_/A _63364_/X VGND VGND VPWR VPWR _63365_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_140_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60577_ _62529_/A VGND VGND VPWR VPWR _60577_/X sky130_fd_sc_hd__buf_1
+X_48299_ _48927_/A VGND VGND VPWR VPWR _48401_/A sky130_fd_sc_hd__buf_1
+XFILLER_88_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_658_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_140_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_179_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65104_ _64769_/A _65100_/Y _65103_/Y VGND VGND VPWR VPWR _65108_/B sky130_fd_sc_hd__nor3_2
+XFILLER_435_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50330_ _62045_/A _50336_/B VGND VGND VPWR VPWR _50332_/A sky130_fd_sc_hd__nand2_2
+XFILLER_14_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62316_ _78337_/Q _62014_/B VGND VGND VPWR VPWR _62316_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_105_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_81150_ _81148_/CLK _81150_/D VGND VGND VPWR VPWR _73571_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_149_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66084_ _66906_/A VGND VGND VPWR VPWR _66732_/B sky130_fd_sc_hd__buf_1
+XFILLER_634_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_105_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_418_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63296_ _48355_/A _63295_/X VGND VGND VPWR VPWR _63297_/C sky130_fd_sc_hd__nor2_2
+XFILLER_365_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_257_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_105_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80101_ _80101_/CLK _39533_/Y VGND VGND VPWR VPWR _58132_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_381_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_359_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69912_ _69909_/X _69912_/B VGND VGND VPWR VPWR _69912_/Y sky130_fd_sc_hd__nand2_2
+X_65035_ _75407_/C _65219_/B VGND VGND VPWR VPWR _65037_/B sky130_fd_sc_hd__nor2_2
+XFILLER_554_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50261_ _50261_/A _50261_/B VGND VGND VPWR VPWR _50261_/X sky130_fd_sc_hd__or2_2
+XFILLER_537_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62247_ _62247_/A VGND VGND VPWR VPWR _62401_/B sky130_fd_sc_hd__buf_1
+XFILLER_517_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81081_ _81081_/CLK _73833_/Y VGND VGND VPWR VPWR _66097_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_710_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_508_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_507_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_112_0_u_core.clock clkbuf_8_56_0_u_core.clock/X VGND VGND VPWR VPWR _77606_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_611_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_551_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_86_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52000_ _51998_/Y _51999_/X VGND VGND VPWR VPWR _77661_/D sky130_fd_sc_hd__nand2_2
+XFILLER_696_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_713_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_669_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80032_ _79969_/CLK _39807_/Y VGND VGND VPWR VPWR _67318_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_527_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_550_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69843_ _69843_/A VGND VGND VPWR VPWR _69843_/X sky130_fd_sc_hd__buf_1
+XFILLER_157_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50192_ _50208_/B VGND VGND VPWR VPWR _50202_/B sky130_fd_sc_hd__buf_1
+XFILLER_372_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62178_ _61980_/X _62177_/Y VGND VGND VPWR VPWR _62178_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_105_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_726_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_221_0_u_core.clock clkbuf_8_221_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_443_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_216_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61129_ _77737_/Q _60974_/X VGND VGND VPWR VPWR _61129_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_160_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_435_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_138_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_353_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38954_ _38947_/A _38947_/B _38954_/C VGND VGND VPWR VPWR _38954_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_667_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69774_ _69836_/A _69766_/Y _69774_/C VGND VGND VPWR VPWR _69774_/Y sky130_fd_sc_hd__nor3_2
+Xclkbuf_9_281_0_u_core.clock clkbuf_9_281_0_u_core.clock/A VGND VGND VPWR VPWR _77732_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_353_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66986_ _66164_/A VGND VGND VPWR VPWR _67004_/A sky130_fd_sc_hd__buf_1
+XFILLER_511_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68725_ _68725_/A _68725_/B VGND VGND VPWR VPWR _68725_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_331_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_303_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_87_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53951_ _53958_/A VGND VGND VPWR VPWR _53951_/X sky130_fd_sc_hd__buf_1
+XFILLER_82_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65937_ _65445_/A _65935_/Y _65937_/C VGND VGND VPWR VPWR _65941_/B sky130_fd_sc_hd__nor3_2
+XFILLER_259_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_114_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38885_ _38875_/A VGND VGND VPWR VPWR _38922_/A sky130_fd_sc_hd__buf_1
+XFILLER_101_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_543_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_683_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_60_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_228_0_u_core.clock clkbuf_9_229_0_u_core.clock/A VGND VGND VPWR VPWR _79607_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_726_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_468_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_331_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_141_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_546_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52902_ _52817_/A _52902_/B VGND VGND VPWR VPWR _52902_/X sky130_fd_sc_hd__or2_2
+XFILLER_275_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_151_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_449_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_487_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56670_ _56670_/A _56752_/B VGND VGND VPWR VPWR _56670_/Y sky130_fd_sc_hd__nor2_2
+X_80934_ _80911_/CLK _80934_/D VGND VGND VPWR VPWR _68228_/A sky130_fd_sc_hd__dfxtp_4
+X_68656_ _80136_/Q _68001_/X VGND VGND VPWR VPWR _68656_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_5_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_436_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_214_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53882_ _53880_/Y _53882_/B VGND VGND VPWR VPWR _77165_/D sky130_fd_sc_hd__nand2_2
+X_65868_ _65376_/A _65868_/B _65868_/C VGND VGND VPWR VPWR _65869_/D sky130_fd_sc_hd__nor3_2
+XFILLER_25_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_99_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_566_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_637_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55621_ _55517_/X _55621_/B VGND VGND VPWR VPWR _55621_/Y sky130_fd_sc_hd__nor2_2
+X_67607_ _67607_/A _67605_/Y _67606_/Y VGND VGND VPWR VPWR _67607_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_288_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64819_ _64191_/A _64819_/B _64818_/Y VGND VGND VPWR VPWR _64823_/B sky130_fd_sc_hd__nor3_2
+X_52833_ _52833_/A _52839_/B VGND VGND VPWR VPWR _52833_/X sky130_fd_sc_hd__or2_2
+XFILLER_112_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80865_ _80863_/CLK _80865_/D VGND VGND VPWR VPWR _80865_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_583_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_563_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68587_ _68094_/A _68587_/B _68586_/Y VGND VGND VPWR VPWR _68591_/B sky130_fd_sc_hd__nor3_2
+XFILLER_167_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_626_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65799_ _65799_/A _65123_/B VGND VGND VPWR VPWR _65801_/B sky130_fd_sc_hd__nor2_2
+XFILLER_435_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_397_0_u_core.clock clkbuf_9_397_0_u_core.clock/A VGND VGND VPWR VPWR _79435_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_652_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_412_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39506_ _39504_/X _39506_/B VGND VGND VPWR VPWR _39506_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_444_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58340_ _57942_/X _58298_/X _58339_/X _74435_/Y _58025_/X VGND VGND VPWR VPWR _76668_/D
++ sky130_fd_sc_hd__a32oi_4
+XPHY_28608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55552_ _55600_/A _55552_/B _55552_/C VGND VGND VPWR VPWR _55552_/X sky130_fd_sc_hd__or3_2
+X_67538_ _67376_/A _67538_/B _67537_/Y VGND VGND VPWR VPWR _67538_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_228_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52764_ _52883_/A _52787_/B VGND VGND VPWR VPWR _52765_/B sky130_fd_sc_hd__or2_2
+XPHY_28619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_28_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_446_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_407_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_347_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_344_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80796_ _80844_/CLK _75015_/Y VGND VGND VPWR VPWR _66551_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_243_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_450_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_360_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54503_ _54474_/A _54506_/B VGND VGND VPWR VPWR _54503_/X sky130_fd_sc_hd__or2_2
+XFILLER_364_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51715_ _51715_/A VGND VGND VPWR VPWR _51835_/A sky130_fd_sc_hd__buf_1
+XPHY_3004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39437_ _39179_/X _39437_/B VGND VGND VPWR VPWR _39437_/X sky130_fd_sc_hd__or2_2
+XFILLER_404_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58271_ _58264_/X _58271_/B _58271_/C VGND VGND VPWR VPWR _58279_/A sky130_fd_sc_hd__nor3_2
+XFILLER_325_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67469_ _67453_/X _67461_/Y _67469_/C VGND VGND VPWR VPWR _67470_/B sky130_fd_sc_hd__nor3_2
+XFILLER_404_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55483_ _55461_/A _55482_/Y VGND VGND VPWR VPWR _55483_/X sky130_fd_sc_hd__or2_2
+XFILLER_600_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52695_ _77477_/Q _52692_/B VGND VGND VPWR VPWR _52695_/Y sky130_fd_sc_hd__nand2_2
+XPHY_27929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_427_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_203_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_423_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69208_ _64241_/A _69204_/Y _69208_/C VGND VGND VPWR VPWR _69209_/C sky130_fd_sc_hd__nor3_2
+XFILLER_399_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57222_ _57217_/X _57219_/Y _57221_/Y VGND VGND VPWR VPWR _57223_/C sky130_fd_sc_hd__nor3_2
+XFILLER_403_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54434_ _54434_/A _54448_/B VGND VGND VPWR VPWR _54434_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_240_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39368_ _39243_/A _39256_/A VGND VGND VPWR VPWR _39370_/A sky130_fd_sc_hd__or2_2
+XFILLER_401_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51646_ _53557_/A _51554_/B VGND VGND VPWR VPWR _51656_/A sky130_fd_sc_hd__or2_2
+XFILLER_32_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70480_ _70468_/X _70480_/B VGND VGND VPWR VPWR _70865_/A sky130_fd_sc_hd__and2_2
+XFILLER_620_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_440_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38319_ _38317_/X _38319_/B VGND VGND VPWR VPWR _80406_/D sky130_fd_sc_hd__nand2_2
+XFILLER_479_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57153_ _58537_/A VGND VGND VPWR VPWR _57471_/B sky130_fd_sc_hd__buf_1
+XFILLER_262_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81417_ _81385_/CLK _81417_/D VGND VGND VPWR VPWR _81417_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_377_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69139_ _69139_/A _69003_/Y _69007_/X _69139_/D VGND VGND VPWR VPWR _76713_/D sky130_fd_sc_hd__or4_2
+XPHY_1613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54365_ _77037_/Q _54362_/B VGND VGND VPWR VPWR _54367_/A sky130_fd_sc_hd__nand2_2
+XFILLER_357_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51577_ _51574_/Y _51576_/X VGND VGND VPWR VPWR _77776_/D sky130_fd_sc_hd__nand2_2
+XPHY_1624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_707_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39299_ _39291_/X _39310_/B _80163_/Q VGND VGND VPWR VPWR _39299_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_440_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_195_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_719_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56104_ _56116_/A _56104_/B _56104_/C VGND VGND VPWR VPWR wbs_dat_o[18] sky130_fd_sc_hd__nor3_2
+XFILLER_592_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53316_ _53316_/A VGND VGND VPWR VPWR _53622_/A sky130_fd_sc_hd__buf_1
+X_41330_ _41328_/X _41330_/B VGND VGND VPWR VPWR _79648_/D sky130_fd_sc_hd__nand2_2
+XFILLER_221_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72150_ _69865_/X _72133_/X _69884_/X _42465_/B VGND VGND VPWR VPWR _72151_/A sky130_fd_sc_hd__o22a_4
+XFILLER_162_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_596_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50528_ _50518_/X VGND VGND VPWR VPWR _50541_/B sky130_fd_sc_hd__buf_1
+XFILLER_197_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57084_ _57084_/A VGND VGND VPWR VPWR _57408_/A sky130_fd_sc_hd__buf_1
+X_81348_ _81351_/CLK _81348_/D VGND VGND VPWR VPWR _81348_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_211_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54296_ _77057_/Q _54289_/B VGND VGND VPWR VPWR _54298_/A sky130_fd_sc_hd__nand2_2
+XFILLER_534_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_401_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_718_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_573_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71101_ _44709_/B VGND VGND VPWR VPWR _74678_/A sky130_fd_sc_hd__inv_8
+XFILLER_87_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56035_ _45257_/B _55987_/B VGND VGND VPWR VPWR _56036_/C sky130_fd_sc_hd__nor2_2
+XFILLER_101_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41261_ _41261_/A _41260_/Y VGND VGND VPWR VPWR _79666_/D sky130_fd_sc_hd__nand2_2
+XFILLER_6_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53247_ _53219_/A _53247_/B VGND VGND VPWR VPWR _53247_/X sky130_fd_sc_hd__or2_2
+XPHY_33449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72081_ _70899_/X _72081_/B VGND VGND VPWR VPWR _72083_/C sky130_fd_sc_hd__nor2_2
+XFILLER_65_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50459_ _50430_/X _50433_/X VGND VGND VPWR VPWR _50459_/X sky130_fd_sc_hd__or2_2
+XFILLER_514_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81279_ _81273_/CLK _73049_/Y VGND VGND VPWR VPWR _73047_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_703_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_338_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_164_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43000_ _42997_/X _42999_/Y VGND VGND VPWR VPWR _79412_/D sky130_fd_sc_hd__nand2_2
+XFILLER_353_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_174_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_418_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_414_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40212_ _40331_/A _40201_/B VGND VGND VPWR VPWR _40212_/X sky130_fd_sc_hd__or2_2
+XPHY_22214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71032_ _69939_/X _70079_/Y _70880_/Y _71031_/X VGND VGND VPWR VPWR _42489_/B sky130_fd_sc_hd__o22a_4
+XFILLER_139_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53178_ _53178_/A _53213_/A VGND VGND VPWR VPWR _53180_/A sky130_fd_sc_hd__or2_2
+X_41192_ _41192_/A _41178_/B _58171_/A VGND VGND VPWR VPWR _41193_/B sky130_fd_sc_hd__nand3_2
+XPHY_32759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_84_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_352_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_514_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40143_ _40129_/X _40143_/B _40143_/C VGND VGND VPWR VPWR _40144_/B sky130_fd_sc_hd__nand3_2
+XFILLER_332_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_75840_ _75840_/A _75839_/Y VGND VGND VPWR VPWR _80588_/D sky130_fd_sc_hd__nand2_2
+X_52129_ _52044_/A _52125_/X VGND VGND VPWR VPWR _52129_/X sky130_fd_sc_hd__or2_2
+XPHY_22269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_156_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57986_ _67791_/A _57828_/X VGND VGND VPWR VPWR _57987_/C sky130_fd_sc_hd__nor2_2
+XPHY_9943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_332_1527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59725_ _77152_/Q _59404_/B VGND VGND VPWR VPWR _59726_/C sky130_fd_sc_hd__nor2_2
+XFILLER_430_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_156_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40074_ _40313_/A _40060_/X VGND VGND VPWR VPWR _40074_/X sky130_fd_sc_hd__or2_2
+XFILLER_371_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44951_ _44951_/A _44951_/B VGND VGND VPWR VPWR _44951_/Y sky130_fd_sc_hd__nor2_2
+XPHY_9976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56937_ _57008_/A _56937_/B _56937_/C VGND VGND VPWR VPWR _56938_/C sky130_fd_sc_hd__nor3_2
+XPHY_20834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75771_ _75771_/A _75771_/B VGND VGND VPWR VPWR _80606_/D sky130_fd_sc_hd__nand2_2
+XPHY_21579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72983_ _69698_/B VGND VGND VPWR VPWR _72985_/A sky130_fd_sc_hd__buf_1
+XFILLER_306_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_627_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_24_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77510_ _76779_/CLK _77510_/D VGND VGND VPWR VPWR _60601_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_466_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43902_ _43942_/A VGND VGND VPWR VPWR _43941_/A sky130_fd_sc_hd__buf_1
+XFILLER_238_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_666_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74722_ _74719_/X _74721_/Y VGND VGND VPWR VPWR _80868_/D sky130_fd_sc_hd__nand2_2
+XFILLER_293_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_467_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59656_ _59613_/X _59656_/B VGND VGND VPWR VPWR _59656_/Y sky130_fd_sc_hd__nor2_2
+XPHY_20878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47670_ _47707_/A _47666_/B VGND VGND VPWR VPWR _47671_/B sky130_fd_sc_hd__or2_2
+X_71934_ _70718_/X _71722_/B VGND VGND VPWR VPWR _71934_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_349_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78490_ _78474_/CLK _48843_/Y VGND VGND VPWR VPWR _63677_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_82_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_133_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44882_ _44840_/X _44881_/X VGND VGND VPWR VPWR _44882_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_616_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56868_ _56946_/A _56868_/B _56868_/C VGND VGND VPWR VPWR _56869_/C sky130_fd_sc_hd__nor3_2
+XFILLER_447_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_20889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_387_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_454_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_63_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46621_ _42307_/A _46624_/B VGND VGND VPWR VPWR _46622_/B sky130_fd_sc_hd__nor2_2
+XPHY_10388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58607_ _38637_/C _58462_/B VGND VGND VPWR VPWR _58609_/B sky130_fd_sc_hd__nor2_2
+XFILLER_482_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77441_ _77438_/CLK _77441_/D VGND VGND VPWR VPWR _61054_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_726_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43833_ _43696_/A _43832_/Y VGND VGND VPWR VPWR _43834_/C sky130_fd_sc_hd__or2_2
+XFILLER_671_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55819_ _55819_/A _55819_/B VGND VGND VPWR VPWR _55819_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_609_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74653_ _74141_/A _74659_/B VGND VGND VPWR VPWR _74655_/A sky130_fd_sc_hd__or2_2
+XPHY_10399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_428_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_310_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_720_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59587_ _59230_/A VGND VGND VPWR VPWR _59759_/A sky130_fd_sc_hd__buf_1
+X_71865_ _71890_/A _71890_/B _65652_/A VGND VGND VPWR VPWR _71866_/B sky130_fd_sc_hd__nand3_2
+XFILLER_92_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56799_ _65300_/A _56641_/B VGND VGND VPWR VPWR _56801_/B sky130_fd_sc_hd__nor2_2
+XFILLER_707_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_292_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_76_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_169_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49340_ _49505_/A VGND VGND VPWR VPWR _51155_/A sky130_fd_sc_hd__buf_1
+XFILLER_703_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73604_ _73607_/A _73614_/B _73604_/C VGND VGND VPWR VPWR _73605_/B sky130_fd_sc_hd__nand3_2
+XFILLER_470_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_406_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_219_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46552_ _46550_/X _46551_/X VGND VGND VPWR VPWR _46552_/Y sky130_fd_sc_hd__nand2_2
+X_58538_ _68992_/A _58538_/B VGND VGND VPWR VPWR _58538_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_74_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70816_ _79453_/Q VGND VGND VPWR VPWR _70823_/A sky130_fd_sc_hd__inv_8
+XFILLER_245_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77372_ _78451_/CLK _77372_/D VGND VGND VPWR VPWR _61564_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_65_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43764_ _43790_/A _43759_/Y _43764_/C VGND VGND VPWR VPWR _79299_/D sky130_fd_sc_hd__nor3_2
+XPHY_19320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74584_ _74582_/X _74583_/Y VGND VGND VPWR VPWR _74584_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_343_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_308_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_527_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_612_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40976_ _40974_/X _40975_/Y VGND VGND VPWR VPWR _79734_/D sky130_fd_sc_hd__nand2_2
+XFILLER_65_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71796_ _71787_/Y _71793_/X _71337_/X _71795_/Y VGND VGND VPWR VPWR _42720_/B sky130_fd_sc_hd__o22a_4
+XFILLER_640_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_670_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79111_ _79122_/CLK _79111_/D VGND VGND VPWR VPWR _79111_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_679_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45503_ _45520_/A _45503_/B _45502_/Y VGND VGND VPWR VPWR _45503_/Y sky130_fd_sc_hd__nor3_2
+XPHY_29876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76323_ _76320_/X _76322_/Y VGND VGND VPWR VPWR _76323_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_234_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42715_ _42682_/X _70604_/Y _70612_/Y _42683_/X VGND VGND VPWR VPWR _42715_/X sky130_fd_sc_hd__o22a_4
+XFILLER_677_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73535_ _73533_/X _73534_/Y VGND VGND VPWR VPWR _81160_/D sky130_fd_sc_hd__nand2_2
+XFILLER_398_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49271_ _78376_/Q _49257_/B VGND VGND VPWR VPWR _49273_/A sky130_fd_sc_hd__nand2_2
+XPHY_29887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_347_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70747_ _70747_/A VGND VGND VPWR VPWR _70838_/B sky130_fd_sc_hd__buf_1
+X_46483_ _46561_/B _46483_/B _46483_/C VGND VGND VPWR VPWR _46484_/B sky130_fd_sc_hd__nor3_2
+X_58469_ _58342_/X _58447_/Y _58469_/C VGND VGND VPWR VPWR _58469_/X sky130_fd_sc_hd__or3_2
+XFILLER_280_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_130 _71442_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_91_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43695_ _43695_/A VGND VGND VPWR VPWR _43824_/A sky130_fd_sc_hd__inv_8
+XPHY_4250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_141 _43872_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_621_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_152 _71708_/C VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_460_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48222_ _78646_/Q _48225_/B VGND VGND VPWR VPWR _48222_/Y sky130_fd_sc_hd__nand2_2
+X_60500_ _60500_/A _60499_/Y VGND VGND VPWR VPWR _60500_/Y sky130_fd_sc_hd__nor2_2
+XPHY_4272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79042_ _76720_/CLK _79042_/D VGND VGND VPWR VPWR _79042_/Q sky130_fd_sc_hd__dfxtp_4
+XANTENNA_163 _39220_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_45434_ _45432_/X _45433_/X VGND VGND VPWR VPWR _45435_/B sky130_fd_sc_hd__nand2_2
+XPHY_36020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76254_ _76254_/A _76254_/B VGND VGND VPWR VPWR _76256_/A sky130_fd_sc_hd__or2_2
+XPHY_18663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42646_ _42636_/A _42646_/B VGND VGND VPWR VPWR _42646_/X sky130_fd_sc_hd__and2_2
+XFILLER_596_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61480_ _61012_/A _61478_/Y _61479_/Y VGND VGND VPWR VPWR _61480_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_701_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_174 _73625_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_73466_ _73466_/A _73477_/B _81177_/Q VGND VGND VPWR VPWR _73468_/A sky130_fd_sc_hd__nand3_2
+XFILLER_54_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70678_ _69891_/X _70677_/Y _69625_/B _70586_/X VGND VGND VPWR VPWR _70678_/X sky130_fd_sc_hd__o22a_4
+XFILLER_583_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_185 _72663_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_422_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_36042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_226_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_196 _76066_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_402_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75205_ _75242_/A VGND VGND VPWR VPWR _75218_/A sky130_fd_sc_hd__buf_1
+XFILLER_204_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_441_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48153_ _48153_/A _48152_/X VGND VGND VPWR VPWR _78665_/D sky130_fd_sc_hd__nand2_2
+X_60431_ _59627_/A VGND VGND VPWR VPWR _60434_/A sky130_fd_sc_hd__buf_1
+XFILLER_347_100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72417_ _72417_/A VGND VGND VPWR VPWR _72428_/B sky130_fd_sc_hd__buf_1
+XFILLER_403_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_109_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45365_ _45064_/A _45365_/B _45364_/Y VGND VGND VPWR VPWR _45366_/B sky130_fd_sc_hd__or3_2
+XFILLER_163_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76185_ _76176_/A _76171_/B _65737_/A VGND VGND VPWR VPWR _76186_/B sky130_fd_sc_hd__nand3_2
+XFILLER_423_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42577_ _42511_/X _70288_/X _70292_/X _42512_/X VGND VGND VPWR VPWR _42577_/X sky130_fd_sc_hd__o22a_4
+XFILLER_478_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73397_ _73377_/A VGND VGND VPWR VPWR _73398_/A sky130_fd_sc_hd__buf_1
+XFILLER_92_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_35_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_458_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47104_ _46443_/B _47103_/X VGND VGND VPWR VPWR _47105_/B sky130_fd_sc_hd__or2_2
+XFILLER_348_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44316_ _73265_/B VGND VGND VPWR VPWR _44546_/A sky130_fd_sc_hd__buf_1
+X_75136_ _75134_/X _75136_/B VGND VGND VPWR VPWR _80764_/D sky130_fd_sc_hd__nand2_2
+X_63150_ _62533_/A _63150_/B _63149_/Y VGND VGND VPWR VPWR _63150_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_497_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_419_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_243_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41528_ _41619_/A VGND VGND VPWR VPWR _41528_/X sky130_fd_sc_hd__buf_1
+XPHY_35374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60362_ _60362_/A _59882_/X VGND VGND VPWR VPWR _60362_/Y sky130_fd_sc_hd__nor2_2
+X_48084_ _48025_/A _48093_/B VGND VGND VPWR VPWR _48084_/X sky130_fd_sc_hd__or2_2
+XFILLER_347_155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72348_ _72832_/A _72463_/B VGND VGND VPWR VPWR _72348_/X sky130_fd_sc_hd__or2_2
+XFILLER_321_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45296_ _45323_/A _45295_/Y VGND VGND VPWR VPWR _45296_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_655_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_638_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_201_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_376_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62101_ _62101_/A _61941_/X VGND VGND VPWR VPWR _62102_/C sky130_fd_sc_hd__nor2_2
+XFILLER_50_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_375_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47035_ _47028_/A _47035_/B VGND VGND VPWR VPWR _78918_/D sky130_fd_sc_hd__nor2_2
+XFILLER_536_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44247_ _44208_/X _44242_/Y _65874_/A _44246_/X VGND VGND VPWR VPWR _44248_/B sky130_fd_sc_hd__o22a_4
+XPHY_24150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63081_ _78446_/Q _62929_/B VGND VGND VPWR VPWR _63083_/B sky130_fd_sc_hd__nor2_2
+XFILLER_302_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75067_ _75189_/A _75070_/B VGND VGND VPWR VPWR _75069_/A sky130_fd_sc_hd__or2_2
+X_79944_ _79944_/CLK _79944_/D VGND VGND VPWR VPWR _79944_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_316_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41459_ _41457_/X _41458_/Y VGND VGND VPWR VPWR _79614_/D sky130_fd_sc_hd__nand2_2
+XPHY_34684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60293_ _60131_/A _60293_/B _60293_/C VGND VGND VPWR VPWR _60297_/B sky130_fd_sc_hd__nor3_2
+XFILLER_517_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72279_ _72790_/A _72279_/B VGND VGND VPWR VPWR _72279_/X sky130_fd_sc_hd__or2_2
+XFILLER_196_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_439_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_196_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_316_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62032_ _62032_/A _61553_/B VGND VGND VPWR VPWR _62032_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74018_ _74179_/A _74025_/B VGND VGND VPWR VPWR _74022_/A sky130_fd_sc_hd__or2_2
+XFILLER_31_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_474_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_143_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_534_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44178_ _44123_/X _44175_/Y _67201_/A _44177_/X VGND VGND VPWR VPWR _44179_/B sky130_fd_sc_hd__o22a_4
+XFILLER_239_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_504_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79875_ _79940_/CLK _40426_/Y VGND VGND VPWR VPWR _67825_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_48_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_721_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_252_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_489_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_505_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_83_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_684_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43129_ _43120_/Y _43129_/B _43129_/C _43128_/Y VGND VGND VPWR VPWR _43129_/X sky130_fd_sc_hd__or4_2
+XFILLER_135_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66840_ _80093_/Q _66352_/X VGND VGND VPWR VPWR _66840_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_350_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78826_ _78823_/CLK _78826_/D VGND VGND VPWR VPWR _78826_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_331_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_250_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_3064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48986_ _48988_/A VGND VGND VPWR VPWR _48987_/B sky130_fd_sc_hd__buf_1
+XFILLER_319_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_46_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_452_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_170_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47937_ _47935_/Y _47936_/X VGND VGND VPWR VPWR _47937_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_155_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66771_ _66771_/A _66285_/B VGND VGND VPWR VPWR _66773_/B sky130_fd_sc_hd__nor2_2
+XPHY_12280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78757_ _78756_/CLK _47786_/Y VGND VGND VPWR VPWR _78757_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_123_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63983_ _63983_/A _59486_/B VGND VGND VPWR VPWR _63984_/C sky130_fd_sc_hd__nor2_2
+XFILLER_211_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75969_ _75607_/A _75961_/X VGND VGND VPWR VPWR _75969_/X sky130_fd_sc_hd__or2_2
+XFILLER_430_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_61_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_300_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_48_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_512_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68510_ _64091_/X VGND VGND VPWR VPWR _68677_/B sky130_fd_sc_hd__buf_1
+XFILLER_528_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65722_ _80855_/Q _65887_/B VGND VGND VPWR VPWR _65723_/C sky130_fd_sc_hd__nor2_2
+XFILLER_284_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77708_ _77705_/CLK _51829_/Y VGND VGND VPWR VPWR _61595_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_69_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38670_ _38670_/A _38670_/B _80322_/Q VGND VGND VPWR VPWR _38671_/B sky130_fd_sc_hd__nand3_2
+XFILLER_457_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62934_ _62934_/A _63084_/B VGND VGND VPWR VPWR _62934_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_26_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_469_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69490_ _65384_/A _69490_/B _69490_/C VGND VGND VPWR VPWR _69556_/B sky130_fd_sc_hd__nor3_2
+XFILLER_684_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47868_ _47884_/B VGND VGND VPWR VPWR _47869_/B sky130_fd_sc_hd__buf_1
+XFILLER_22_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_708_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78688_ _78209_/CLK _48061_/Y VGND VGND VPWR VPWR _48059_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_465_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_151_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_545_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49607_ _61951_/A _49610_/B VGND VGND VPWR VPWR _49609_/A sky130_fd_sc_hd__nand2_2
+X_68441_ _68441_/A _68610_/B VGND VGND VPWR VPWR _68442_/C sky130_fd_sc_hd__nor2_2
+XFILLER_289_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46819_ _46815_/B _46818_/Y _46737_/B VGND VGND VPWR VPWR _46819_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_0_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65653_ _65313_/A _65653_/B _65652_/Y VGND VGND VPWR VPWR _65658_/B sky130_fd_sc_hd__nor3_2
+XFILLER_168_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77639_ _77639_/CLK _77639_/D VGND VGND VPWR VPWR _77639_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_95_0_u_core.clock clkbuf_7_95_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_95_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_541_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62865_ _62865_/A _62553_/B VGND VGND VPWR VPWR _62865_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_289_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_211_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47799_ _47789_/X VGND VGND VPWR VPWR _47799_/X sky130_fd_sc_hd__buf_1
+XFILLER_580_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_634_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_506_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_232_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64604_ _68989_/A VGND VGND VPWR VPWR _64771_/B sky130_fd_sc_hd__buf_1
+XFILLER_580_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_0_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_472_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49538_ _49538_/A _49530_/X VGND VGND VPWR VPWR _49541_/A sky130_fd_sc_hd__nand2_2
+X_61816_ _77893_/Q _61347_/B VGND VGND VPWR VPWR _61817_/C sky130_fd_sc_hd__nor2_2
+XFILLER_626_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68372_ _68372_/A _68206_/B VGND VGND VPWR VPWR _68372_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_604_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80650_ _80659_/CLK _75606_/Y VGND VGND VPWR VPWR _80650_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_361_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_263_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_94_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65584_ _65584_/A _65907_/B VGND VGND VPWR VPWR _65585_/C sky130_fd_sc_hd__nor2_2
+XFILLER_414_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_443_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62796_ _62478_/X _62796_/B _62795_/Y VGND VGND VPWR VPWR _62800_/B sky130_fd_sc_hd__nor3_2
+XFILLER_443_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_414_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_365_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_20_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67323_ _67323_/A _67323_/B _67323_/C VGND VGND VPWR VPWR _67331_/B sky130_fd_sc_hd__nor3_2
+XFILLER_0_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_77_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79309_ _79307_/CLK _43642_/Y VGND VGND VPWR VPWR _71867_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_706_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64535_ _68194_/A VGND VGND VPWR VPWR _69158_/B sky130_fd_sc_hd__buf_1
+XFILLER_248_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61747_ _61581_/A _61747_/B _61746_/Y VGND VGND VPWR VPWR _61747_/Y sky130_fd_sc_hd__nor3_2
+X_49469_ _49469_/A _49468_/X VGND VGND VPWR VPWR _49469_/Y sky130_fd_sc_hd__nand2_2
+X_80581_ _80577_/CLK _80581_/D VGND VGND VPWR VPWR _68045_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_408_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_541_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_523_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_55_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_24_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39222_ _39176_/A VGND VGND VPWR VPWR _39222_/X sky130_fd_sc_hd__buf_1
+X_51500_ _51472_/A _51518_/B VGND VGND VPWR VPWR _51501_/B sky130_fd_sc_hd__or2_2
+XFILLER_55_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_209_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67254_ _74214_/C _67254_/B VGND VGND VPWR VPWR _67254_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_263_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_575_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52480_ _52477_/Y _52479_/X VGND VGND VPWR VPWR _77536_/D sky130_fd_sc_hd__nand2_2
+XFILLER_252_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64466_ _64466_/A _64465_/X VGND VGND VPWR VPWR _64466_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_522_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61678_ _61052_/A _61676_/Y _61677_/Y VGND VGND VPWR VPWR _61678_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_18_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_402_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66205_ _66050_/X _66205_/B _66205_/C VGND VGND VPWR VPWR _66206_/D sky130_fd_sc_hd__nor3_2
+XFILLER_367_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51431_ _51271_/A VGND VGND VPWR VPWR _51732_/A sky130_fd_sc_hd__buf_1
+XFILLER_500_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39153_ _39148_/A _39159_/B _68011_/A VGND VGND VPWR VPWR _39153_/Y sky130_fd_sc_hd__nand3_2
+X_63417_ _63956_/A _63417_/B _63416_/Y VGND VGND VPWR VPWR _63425_/B sky130_fd_sc_hd__nor3_2
+Xclkbuf_7_120_0_u_core.clock clkbuf_6_60_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_241_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_105_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60629_ _59831_/X VGND VGND VPWR VPWR _60630_/B sky130_fd_sc_hd__buf_1
+X_67185_ _80319_/Q _67185_/B VGND VGND VPWR VPWR _67185_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_244_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64397_ _64838_/A _64397_/B _64396_/Y VGND VGND VPWR VPWR _64397_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_388_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_180_0_u_core.clock clkbuf_7_90_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_361_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_205_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_127_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_300_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81202_ _81476_/CLK _73373_/Y VGND VGND VPWR VPWR _81202_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_20_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54150_ _54088_/A _54147_/B VGND VGND VPWR VPWR _54150_/X sky130_fd_sc_hd__or2_2
+XFILLER_53_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66136_ _65798_/A _66131_/Y _66135_/Y VGND VGND VPWR VPWR _66136_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_558_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39084_ _38596_/A _39074_/B VGND VGND VPWR VPWR _39086_/A sky130_fd_sc_hd__or2_2
+X_51362_ _51362_/A _51361_/X VGND VGND VPWR VPWR _51362_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_261_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_673_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63348_ _63348_/A _63348_/B VGND VGND VPWR VPWR _63387_/B sky130_fd_sc_hd__nor2_2
+XFILLER_123_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_715_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53101_ _53099_/Y _53101_/B VGND VGND VPWR VPWR _77367_/D sky130_fd_sc_hd__nand2_2
+XFILLER_179_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_127_0_u_core.clock clkbuf_7_63_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_255_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_277_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50313_ _62826_/A _50312_/X VGND VGND VPWR VPWR _50313_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_14_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81133_ _81133_/CLK _73637_/Y VGND VGND VPWR VPWR _69318_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_672_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54081_ _53327_/A VGND VGND VPWR VPWR _54683_/A sky130_fd_sc_hd__buf_1
+X_66067_ _75145_/C _66393_/B VGND VGND VPWR VPWR _66067_/Y sky130_fd_sc_hd__nor2_2
+X_51293_ _51247_/B _51305_/B VGND VGND VPWR VPWR _51294_/B sky130_fd_sc_hd__or2_2
+Xclkbuf_9_187_0_u_core.clock clkbuf_8_93_0_u_core.clock/X VGND VGND VPWR VPWR _76678_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_63279_ _78503_/Q _63709_/B VGND VGND VPWR VPWR _63280_/C sky130_fd_sc_hd__nor2_2
+XPHY_13909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_49_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2230 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_355_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_615_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_570_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53032_ _53030_/Y _53031_/X VGND VGND VPWR VPWR _53032_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_274_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_418_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65018_ _65000_/Y _65018_/B VGND VGND VPWR VPWR _65019_/B sky130_fd_sc_hd__nor2_2
+XFILLER_180_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50244_ _50240_/A VGND VGND VPWR VPWR _50273_/B sky130_fd_sc_hd__buf_1
+Xclkbuf_8_75_0_u_core.clock clkbuf_8_74_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_75_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_292_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81064_ _81162_/CLK _73899_/Y VGND VGND VPWR VPWR _68565_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_119_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_179_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_511_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_685_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_273_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_66_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80015_ _79921_/CLK _80015_/D VGND VGND VPWR VPWR _64349_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_9217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_496_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_489_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57840_ _57598_/A _57840_/B _57839_/Y VGND VGND VPWR VPWR _57841_/B sky130_fd_sc_hd__nor3_2
+XFILLER_216_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69826_ _69811_/Y _69826_/B _69825_/Y VGND VGND VPWR VPWR _69826_/X sky130_fd_sc_hd__or3_2
+XFILLER_669_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50175_ _50173_/Y _50174_/X VGND VGND VPWR VPWR _78143_/D sky130_fd_sc_hd__nand2_2
+XFILLER_552_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39986_ _39986_/A _39985_/X _79989_/Q VGND VGND VPWR VPWR _39987_/B sky130_fd_sc_hd__nand3_2
+XFILLER_350_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38937_ _38935_/X _38936_/Y VGND VGND VPWR VPWR _80251_/D sky130_fd_sc_hd__nand2_2
+XFILLER_133_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57771_ _57444_/X _57767_/Y _57770_/Y VGND VGND VPWR VPWR _57771_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_236_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69757_ _69746_/X _69756_/X VGND VGND VPWR VPWR _69758_/B sky130_fd_sc_hd__nor2_2
+XFILLER_216_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66969_ _66634_/A _66967_/Y _66968_/Y VGND VGND VPWR VPWR _66969_/Y sky130_fd_sc_hd__nor3_2
+X_54983_ _54983_/A _54980_/B VGND VGND VPWR VPWR _54983_/X sky130_fd_sc_hd__or2_2
+XFILLER_126_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_710_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_449_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59510_ _59510_/A _59510_/B _59510_/C VGND VGND VPWR VPWR _59511_/C sky130_fd_sc_hd__nor3_2
+XFILLER_314_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68708_ _67885_/A VGND VGND VPWR VPWR _68709_/B sky130_fd_sc_hd__buf_1
+X_56722_ _58463_/A VGND VGND VPWR VPWR _56723_/B sky130_fd_sc_hd__buf_1
+XFILLER_628_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53934_ _53934_/A _53934_/B VGND VGND VPWR VPWR _53934_/X sky130_fd_sc_hd__or2_2
+XPHY_7837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38868_ _38868_/A _38867_/Y VGND VGND VPWR VPWR _80270_/D sky130_fd_sc_hd__nand2_2
+XFILLER_448_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_59_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69688_ _69688_/A _69629_/A VGND VGND VPWR VPWR _69689_/B sky130_fd_sc_hd__nor2_2
+XFILLER_19_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_75_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59441_ _59441_/A _59441_/B _59441_/C VGND VGND VPWR VPWR _59449_/B sky130_fd_sc_hd__nor3_2
+XFILLER_9_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56653_ _64951_/A _56402_/B VGND VGND VPWR VPWR _56655_/B sky130_fd_sc_hd__nor2_2
+XFILLER_288_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80917_ _80887_/CLK _74517_/Y VGND VGND VPWR VPWR _80917_/Q sky130_fd_sc_hd__dfxtp_4
+X_68639_ _68305_/A _68637_/Y _68639_/C VGND VGND VPWR VPWR _68640_/C sky130_fd_sc_hd__nor3_2
+XFILLER_726_1458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53865_ _53855_/X VGND VGND VPWR VPWR _53866_/B sky130_fd_sc_hd__buf_1
+XFILLER_366_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_186_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38799_ _38797_/X _38798_/Y VGND VGND VPWR VPWR _80288_/D sky130_fd_sc_hd__nand2_2
+XFILLER_446_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_214_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_613_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_2718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_409_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_142_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55604_ _55602_/X _55604_/B VGND VGND VPWR VPWR _55605_/B sky130_fd_sc_hd__nand2_2
+XFILLER_464_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52816_ _77445_/Q _52810_/B VGND VGND VPWR VPWR _52818_/A sky130_fd_sc_hd__nand2_2
+X_40830_ _40823_/A _40823_/B _66661_/A VGND VGND VPWR VPWR _40831_/B sky130_fd_sc_hd__nand3_2
+XPHY_29139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71650_ _71309_/A VGND VGND VPWR VPWR _71650_/X sky130_fd_sc_hd__buf_1
+X_59372_ _59372_/A VGND VGND VPWR VPWR _59392_/A sky130_fd_sc_hd__buf_1
+XFILLER_262_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80848_ _80844_/CLK _80848_/D VGND VGND VPWR VPWR _74812_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_28405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56584_ _56584_/A _56752_/B VGND VGND VPWR VPWR _56585_/C sky130_fd_sc_hd__nor2_2
+XFILLER_28_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_448_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53796_ _53647_/A VGND VGND VPWR VPWR _53853_/A sky130_fd_sc_hd__buf_1
+XPHY_38939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_262_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_186_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_652_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70601_ _70587_/X _70598_/X VGND VGND VPWR VPWR _70601_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_383_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58323_ _56255_/X VGND VGND VPWR VPWR _58633_/B sky130_fd_sc_hd__buf_1
+XFILLER_605_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_422_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55535_ _55535_/A _55534_/X VGND VGND VPWR VPWR _55535_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_721_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40761_ _40761_/A VGND VGND VPWR VPWR _40764_/A sky130_fd_sc_hd__buf_1
+XFILLER_505_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52747_ _52718_/A _52750_/B VGND VGND VPWR VPWR _52747_/X sky130_fd_sc_hd__or2_2
+XPHY_28449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71581_ _71684_/A _71691_/B _70933_/X VGND VGND VPWR VPWR _71660_/B sky130_fd_sc_hd__o21a_4
+XFILLER_598_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80779_ _80844_/CLK _80779_/D VGND VGND VPWR VPWR _80779_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_27715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_600_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_657_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42500_ _69142_/A _42500_/B VGND VGND VPWR VPWR _42502_/B sky130_fd_sc_hd__nor2_2
+XFILLER_347_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_344_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73320_ _73305_/X _73306_/X _67261_/A VGND VGND VPWR VPWR _73322_/A sky130_fd_sc_hd__nand3_2
+XFILLER_262_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_55_0_u_core.clock clkbuf_9_55_0_u_core.clock/A VGND VGND VPWR VPWR _78559_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_620_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58254_ _58254_/A _58331_/B VGND VGND VPWR VPWR _58255_/C sky130_fd_sc_hd__nor2_2
+X_70532_ _69750_/Y _70109_/A VGND VGND VPWR VPWR _70533_/B sky130_fd_sc_hd__nor2_2
+XPHY_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_578_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43480_ _43478_/X _43479_/Y VGND VGND VPWR VPWR _43480_/Y sky130_fd_sc_hd__nand2_2
+XPHY_2100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55466_ _55466_/A _55465_/Y VGND VGND VPWR VPWR _55466_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_180_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_389_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52678_ _52678_/A _52677_/X VGND VGND VPWR VPWR _52678_/Y sky130_fd_sc_hd__nand2_2
+X_40692_ _40433_/A _40695_/B VGND VGND VPWR VPWR _40692_/X sky130_fd_sc_hd__or2_2
+XPHY_27759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_243_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_35_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57205_ _66133_/A _57048_/X VGND VGND VPWR VPWR _57206_/C sky130_fd_sc_hd__nor2_2
+XFILLER_240_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54417_ _77025_/Q _54420_/B VGND VGND VPWR VPWR _54419_/A sky130_fd_sc_hd__nand2_2
+XFILLER_321_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42431_ _69732_/A _69879_/A VGND VGND VPWR VPWR _42439_/B sky130_fd_sc_hd__nor2_2
+XPHY_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73251_ _75052_/A _73258_/B VGND VGND VPWR VPWR _73251_/X sky130_fd_sc_hd__or2_2
+XFILLER_42_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51629_ _51568_/A _51638_/B VGND VGND VPWR VPWR _51630_/B sky130_fd_sc_hd__or2_2
+XPHY_17269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58185_ _39779_/C _58111_/B VGND VGND VPWR VPWR _58187_/B sky130_fd_sc_hd__nor2_2
+X_70463_ _70463_/A _70463_/B _70463_/C VGND VGND VPWR VPWR _70463_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_546_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_395_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_93_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55397_ _55416_/A _55397_/B VGND VGND VPWR VPWR _55397_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_719_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_141_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72202_ _72200_/X _72202_/B VGND VGND VPWR VPWR _72202_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_158_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45150_ _45062_/A _45149_/Y VGND VGND VPWR VPWR _45150_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_655_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57136_ _79736_/Q _56816_/B VGND VGND VPWR VPWR _57138_/B sky130_fd_sc_hd__nor2_2
+XPHY_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42362_ _42373_/A _42361_/Y VGND VGND VPWR VPWR _42364_/B sky130_fd_sc_hd__nor2_2
+XFILLER_518_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54348_ _54348_/A _54348_/B VGND VGND VPWR VPWR _77043_/D sky130_fd_sc_hd__nand2_2
+XPHY_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73182_ _74999_/A _73191_/B VGND VGND VPWR VPWR _73183_/B sky130_fd_sc_hd__or2_2
+XFILLER_635_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70394_ _70383_/A _70327_/B VGND VGND VPWR VPWR _70394_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_475_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_415_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_497_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_494_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44101_ _43823_/A VGND VGND VPWR VPWR _44174_/B sky130_fd_sc_hd__buf_1
+XPHY_1476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41313_ _41051_/X _41307_/B VGND VGND VPWR VPWR _41313_/X sky130_fd_sc_hd__or2_2
+XPHY_33224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_128_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72133_ _72127_/X _72133_/B _72130_/Y _72132_/Y VGND VGND VPWR VPWR _72133_/X sky130_fd_sc_hd__or4_2
+XFILLER_345_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_317_339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57067_ _58470_/A VGND VGND VPWR VPWR _57316_/A sky130_fd_sc_hd__buf_1
+XFILLER_303_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45081_ _45108_/A _45080_/Y VGND VGND VPWR VPWR _55500_/B sky130_fd_sc_hd__or2_2
+XFILLER_197_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_51_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42293_ _42293_/A VGND VGND VPWR VPWR _42294_/B sky130_fd_sc_hd__inv_8
+XFILLER_490_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54279_ _54279_/A _54279_/B VGND VGND VPWR VPWR _77062_/D sky130_fd_sc_hd__nand2_2
+X_77990_ _77998_/CLK _50755_/Y VGND VGND VPWR VPWR _61869_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_32_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_538_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_193_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44032_ _44032_/A VGND VGND VPWR VPWR _44032_/Y sky130_fd_sc_hd__inv_8
+XFILLER_13_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56018_ _56007_/X _55634_/B VGND VGND VPWR VPWR _56022_/B sky130_fd_sc_hd__nor2_2
+XFILLER_167_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_109_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41244_ _41116_/X _41256_/B VGND VGND VPWR VPWR _41244_/X sky130_fd_sc_hd__or2_2
+XFILLER_49_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76941_ _76941_/CLK _54729_/Y VGND VGND VPWR VPWR _58896_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_358_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72064_ _72064_/A VGND VGND VPWR VPWR _72064_/Y sky130_fd_sc_hd__inv_8
+XFILLER_535_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_101_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_139_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_313_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_418_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_668_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_418_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_633_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_3_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_256_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71015_ _70187_/X VGND VGND VPWR VPWR _71015_/Y sky130_fd_sc_hd__inv_8
+XFILLER_681_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_124_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48840_ _63677_/A _48844_/B VGND VGND VPWR VPWR _48843_/A sky130_fd_sc_hd__nand2_2
+XFILLER_512_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79660_ _79694_/CLK _41285_/Y VGND VGND VPWR VPWR _69223_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_325_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41175_ _41294_/A _41168_/B VGND VGND VPWR VPWR _41175_/X sky130_fd_sc_hd__or2_2
+XFILLER_139_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76872_ _77158_/CLK _54981_/Y VGND VGND VPWR VPWR _59729_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78611_ _78619_/CLK _78611_/D VGND VGND VPWR VPWR _63854_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_82_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40126_ _76224_/A _39888_/B VGND VGND VPWR VPWR _40140_/A sky130_fd_sc_hd__or2_2
+XFILLER_332_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75823_ _75810_/X _75712_/B _80591_/Q VGND VGND VPWR VPWR _75823_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_512_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_152_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48771_ _48927_/A VGND VGND VPWR VPWR _48867_/A sky130_fd_sc_hd__buf_1
+XPHY_9762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79591_ _79635_/CLK _79591_/D VGND VGND VPWR VPWR _79591_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_215_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_2_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45983_ _45969_/A _45980_/Y _45983_/C VGND VGND VPWR VPWR _79052_/D sky130_fd_sc_hd__nor3_2
+XFILLER_583_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57969_ _57735_/A _57969_/B _57968_/Y VGND VGND VPWR VPWR _57969_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_371_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_466_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47722_ _63193_/A _47725_/B VGND VGND VPWR VPWR _47724_/A sky130_fd_sc_hd__nand2_2
+XFILLER_251_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59708_ _53751_/A _64004_/B VGND VGND VPWR VPWR _59709_/C sky130_fd_sc_hd__nor2_2
+XFILLER_2_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78542_ _78525_/CLK _78542_/D VGND VGND VPWR VPWR _63117_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_449_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40057_ _40075_/A VGND VGND VPWR VPWR _40069_/A sky130_fd_sc_hd__buf_1
+X_44934_ _45223_/A VGND VGND VPWR VPWR _45413_/A sky130_fd_sc_hd__buf_1
+XFILLER_239_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_709_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75754_ _75876_/A _75754_/B VGND VGND VPWR VPWR _75757_/A sky130_fd_sc_hd__or2_2
+XFILLER_130_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60980_ _60352_/A _60980_/B _60979_/Y VGND VGND VPWR VPWR _60984_/B sky130_fd_sc_hd__nor3_2
+X_72966_ _72964_/X _72965_/Y VGND VGND VPWR VPWR _81298_/D sky130_fd_sc_hd__nand2_2
+XFILLER_8_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_726_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_666_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_367_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_10174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74705_ _74705_/A VGND VGND VPWR VPWR _75213_/A sky130_fd_sc_hd__buf_1
+XFILLER_529_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47653_ _47624_/A _47653_/B VGND VGND VPWR VPWR _47653_/X sky130_fd_sc_hd__or2_2
+X_59639_ _59639_/A _59476_/B VGND VGND VPWR VPWR _59640_/C sky130_fd_sc_hd__nor2_2
+XFILLER_384_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71917_ _73236_/A VGND VGND VPWR VPWR _71918_/B sky130_fd_sc_hd__buf_1
+XFILLER_644_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78473_ _78474_/CLK _48904_/Y VGND VGND VPWR VPWR _63543_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_10185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44865_ _44891_/A _44862_/Y _44865_/C VGND VGND VPWR VPWR _79181_/D sky130_fd_sc_hd__nor3_2
+XFILLER_454_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75685_ _75685_/A _75684_/Y VGND VGND VPWR VPWR _75685_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_349_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_72897_ _72897_/A _72896_/Y VGND VGND VPWR VPWR _81313_/D sky130_fd_sc_hd__nand2_2
+XFILLER_486_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_643_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_679_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_219_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46604_ _46583_/A _46604_/B VGND VGND VPWR VPWR _46604_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_245_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77424_ _77422_/CLK _52894_/Y VGND VGND VPWR VPWR _60902_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_310_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43816_ _43816_/A _43816_/B VGND VGND VPWR VPWR _43816_/Y sky130_fd_sc_hd__nor2_2
+X_74636_ _74636_/A _74646_/B VGND VGND VPWR VPWR _74636_/X sky130_fd_sc_hd__or2_2
+XFILLER_349_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62650_ _50737_/A _63110_/B VGND VGND VPWR VPWR _62650_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_581_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_442_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_20_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71848_ _71717_/A _71848_/B _71848_/C VGND VGND VPWR VPWR _71848_/Y sky130_fd_sc_hd__nor3_2
+X_47584_ _47581_/Y _47583_/X VGND VGND VPWR VPWR _78810_/D sky130_fd_sc_hd__nand2_2
+XFILLER_150_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_671_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44796_ _44796_/A _44796_/B _44796_/C VGND VGND VPWR VPWR _44796_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_207_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_281_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61601_ _77692_/Q _61918_/B VGND VGND VPWR VPWR _61601_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_280_146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_56_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49323_ _49320_/X _49323_/B VGND VGND VPWR VPWR _78364_/D sky130_fd_sc_hd__nand2_2
+XFILLER_599_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46535_ _46535_/A _46535_/B VGND VGND VPWR VPWR _79007_/D sky130_fd_sc_hd__nand2_2
+XFILLER_228_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77355_ _77353_/CLK _77355_/D VGND VGND VPWR VPWR _77355_/Q sky130_fd_sc_hd__dfxtp_4
+X_43747_ _44220_/A _43744_/C VGND VGND VPWR VPWR _43747_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_147_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62581_ _59889_/A VGND VGND VPWR VPWR _62894_/B sky130_fd_sc_hd__buf_1
+XFILLER_20_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74567_ _74567_/A VGND VGND VPWR VPWR _74568_/B sky130_fd_sc_hd__buf_1
+XFILLER_94_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_280_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40959_ _40597_/A _40963_/B VGND VGND VPWR VPWR _40962_/A sky130_fd_sc_hd__or2_2
+XPHY_29684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71779_ _71779_/A _71233_/A VGND VGND VPWR VPWR _71779_/Y sky130_fd_sc_hd__nor2_2
+XPHY_19161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_46_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_59_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_73_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_679_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_33_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64320_ _64306_/A VGND VGND VPWR VPWR _68948_/A sky130_fd_sc_hd__buf_1
+XFILLER_206_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76306_ _76299_/A _76303_/B _80473_/Q VGND VGND VPWR VPWR _76307_/B sky130_fd_sc_hd__nand3_2
+XFILLER_695_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_503_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49254_ _47417_/A _49254_/B VGND VGND VPWR VPWR _54991_/A sky130_fd_sc_hd__or2_2
+X_61532_ _61228_/A _61530_/Y _61531_/Y VGND VGND VPWR VPWR _61532_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_679_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73518_ _73531_/A _73523_/B _69181_/A VGND VGND VPWR VPWR _73519_/B sky130_fd_sc_hd__nand3_2
+XPHY_28972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46466_ _46466_/A _46350_/X _46466_/C VGND VGND VPWR VPWR _46466_/X sky130_fd_sc_hd__or3_2
+XFILLER_501_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77286_ _77278_/CLK _77286_/D VGND VGND VPWR VPWR _77286_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_397_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_558_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43678_ _43948_/A VGND VGND VPWR VPWR _43678_/X sky130_fd_sc_hd__buf_1
+XPHY_4080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74498_ _74497_/Y _74510_/B VGND VGND VPWR VPWR _74498_/X sky130_fd_sc_hd__or2_2
+XPHY_18460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_568_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_124_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48205_ _48205_/A _48205_/B VGND VGND VPWR VPWR _78652_/D sky130_fd_sc_hd__nand2_2
+XPHY_18482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79025_ _78951_/CLK _79025_/D VGND VGND VPWR VPWR _43166_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_639_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45417_ _45056_/A _45416_/Y VGND VGND VPWR VPWR _45417_/Y sky130_fd_sc_hd__nor2_2
+X_76237_ _76247_/A VGND VGND VPWR VPWR _76275_/A sky130_fd_sc_hd__buf_1
+XFILLER_575_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64251_ _64118_/X VGND VGND VPWR VPWR _64816_/A sky130_fd_sc_hd__buf_1
+XFILLER_395_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_304_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42629_ _42493_/X _70413_/Y _70418_/X _42494_/X VGND VGND VPWR VPWR _42629_/X sky130_fd_sc_hd__o22a_4
+XFILLER_639_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61463_ _77651_/Q _60835_/B VGND VGND VPWR VPWR _61465_/B sky130_fd_sc_hd__nor2_2
+XFILLER_378_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49185_ _49214_/A _49179_/B VGND VGND VPWR VPWR _49186_/B sky130_fd_sc_hd__or2_2
+XFILLER_657_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73449_ _75007_/A _73438_/X VGND VGND VPWR VPWR _73449_/X sky130_fd_sc_hd__or2_2
+XFILLER_349_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46397_ _78892_/Q VGND VGND VPWR VPWR _46397_/Y sky130_fd_sc_hd__inv_8
+XFILLER_321_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_368 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63202_ _62890_/X _63202_/B _63202_/C VGND VGND VPWR VPWR _63202_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_261_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48136_ _48136_/A _48135_/X VGND VGND VPWR VPWR _48140_/A sky130_fd_sc_hd__nand2_2
+X_60414_ _60414_/A _60413_/Y VGND VGND VPWR VPWR _60415_/B sky130_fd_sc_hd__nor2_2
+XFILLER_239_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45348_ _45056_/A _45347_/Y VGND VGND VPWR VPWR _45348_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64182_ _64182_/A VGND VGND VPWR VPWR _64191_/A sky130_fd_sc_hd__buf_1
+XFILLER_375_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76168_ _76168_/A VGND VGND VPWR VPWR _76169_/A sky130_fd_sc_hd__buf_1
+XFILLER_476_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_726_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61394_ _60923_/A _61394_/B _61393_/Y VGND VGND VPWR VPWR _61395_/C sky130_fd_sc_hd__nor3_2
+XFILLER_15_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63133_ _63133_/A _62987_/B VGND VGND VPWR VPWR _63135_/B sky130_fd_sc_hd__nor2_2
+XFILLER_714_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75119_ _74738_/A _75119_/B VGND VGND VPWR VPWR _75119_/X sky130_fd_sc_hd__or2_2
+X_60345_ _77236_/Q _60189_/B VGND VGND VPWR VPWR _60346_/C sky130_fd_sc_hd__nor2_2
+XFILLER_141_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48067_ _48065_/Y _48066_/X VGND VGND VPWR VPWR _48067_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_102_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_638_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45279_ _43171_/A _45071_/A _79408_/Q _44932_/A VGND VGND VPWR VPWR _45280_/B sky130_fd_sc_hd__o22a_4
+XFILLER_651_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68990_ _38641_/C _68990_/B VGND VGND VPWR VPWR _68990_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_536_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_293_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76099_ _76099_/A VGND VGND VPWR VPWR _76251_/A sky130_fd_sc_hd__buf_1
+XFILLER_317_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_122_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_725_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_634_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_128_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_391_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47018_ _47001_/A _47016_/Y _47018_/C VGND VGND VPWR VPWR _47019_/B sky130_fd_sc_hd__or3_2
+XFILLER_552_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67941_ _65467_/X VGND VGND VPWR VPWR _68600_/A sky130_fd_sc_hd__buf_1
+XFILLER_179_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63064_ _78821_/Q _63064_/B VGND VGND VPWR VPWR _63065_/C sky130_fd_sc_hd__nor2_2
+XFILLER_471_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79927_ _80027_/CLK _79927_/D VGND VGND VPWR VPWR _79927_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_517_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60276_ _60276_/A _60268_/Y _60276_/C VGND VGND VPWR VPWR _60299_/A sky130_fd_sc_hd__nor3_2
+XFILLER_363_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_540_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_710_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_436_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62015_ _61700_/A _62015_/B _62014_/Y VGND VGND VPWR VPWR _62015_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_678_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39840_ _39837_/X _39839_/Y VGND VGND VPWR VPWR _39840_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_256_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67872_ _75103_/C _67372_/B VGND VGND VPWR VPWR _67874_/B sky130_fd_sc_hd__nor2_2
+XPHY_23290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79858_ _79824_/CLK _40504_/Y VGND VGND VPWR VPWR _56583_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_651_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_48_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_668_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_350_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69611_ _69594_/A _69943_/B VGND VGND VPWR VPWR _69611_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_478_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66823_ _80029_/Q _66652_/B VGND VGND VPWR VPWR _66824_/C sky130_fd_sc_hd__nor2_2
+XFILLER_315_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78809_ _78809_/CLK _47587_/Y VGND VGND VPWR VPWR _63650_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_666_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39771_ _39768_/X _39771_/B VGND VGND VPWR VPWR _39771_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_115_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48969_ _63550_/A _48958_/B VGND VGND VPWR VPWR _48971_/A sky130_fd_sc_hd__nand2_2
+XFILLER_291_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_102_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79789_ _80013_/CLK _79789_/D VGND VGND VPWR VPWR _58726_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_419_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_331_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_315_0_u_core.clock clkbuf_9_315_0_u_core.clock/A VGND VGND VPWR VPWR _81306_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_694_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_97_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38722_ _38714_/X _38718_/X _80308_/Q VGND VGND VPWR VPWR _38722_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_311_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69542_ _39257_/C _64340_/B VGND VGND VPWR VPWR _69543_/C sky130_fd_sc_hd__nor2_2
+XFILLER_449_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_446_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66754_ _66754_/A _66754_/B _66754_/C VGND VGND VPWR VPWR _66755_/C sky130_fd_sc_hd__nor3_2
+XFILLER_297_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51980_ _51895_/A _51977_/B VGND VGND VPWR VPWR _51981_/B sky130_fd_sc_hd__or2_2
+XFILLER_211_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63966_ _59090_/A _63965_/Y VGND VGND VPWR VPWR _63999_/B sky130_fd_sc_hd__nor2_2
+XFILLER_300_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_6_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65705_ _65375_/A _65671_/Y _65705_/C VGND VGND VPWR VPWR _65706_/C sky130_fd_sc_hd__nor3_2
+XFILLER_635_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50931_ _50988_/A _50928_/B VGND VGND VPWR VPWR _50931_/X sky130_fd_sc_hd__or2_2
+XFILLER_26_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38653_ _38651_/X _38652_/Y VGND VGND VPWR VPWR _38653_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_508_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62917_ _62450_/A _62917_/B VGND VGND VPWR VPWR _62917_/Y sky130_fd_sc_hd__nor2_2
+X_69473_ _81198_/Q _64487_/B VGND VGND VPWR VPWR _69473_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_187_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66685_ _66685_/A _66685_/B _66685_/C VGND VGND VPWR VPWR _66686_/C sky130_fd_sc_hd__nor3_2
+XFILLER_445_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63897_ _63345_/A _63893_/Y _63896_/Y VGND VGND VPWR VPWR _63897_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_22_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_484_0_u_core.clock clkbuf_9_485_0_u_core.clock/A VGND VGND VPWR VPWR _80495_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_229_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_708_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_265_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80702_ _80734_/CLK _75368_/Y VGND VGND VPWR VPWR _66879_/A sky130_fd_sc_hd__dfxtp_4
+X_68424_ _69071_/A _68424_/B VGND VGND VPWR VPWR _68425_/C sky130_fd_sc_hd__nor2_2
+XFILLER_460_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53650_ _53650_/A _53650_/B VGND VGND VPWR VPWR _53660_/A sky130_fd_sc_hd__or2_2
+XFILLER_443_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65636_ _65471_/A _65636_/B _65635_/Y VGND VGND VPWR VPWR _65640_/B sky130_fd_sc_hd__nor3_2
+X_38584_ _38582_/X _38584_/B VGND VGND VPWR VPWR _38584_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_473_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50862_ _50922_/A _50857_/X VGND VGND VPWR VPWR _50863_/B sky130_fd_sc_hd__or2_2
+XFILLER_289_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62848_ _78236_/Q _63001_/B VGND VGND VPWR VPWR _62850_/B sky130_fd_sc_hd__nor2_2
+XFILLER_55_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_588_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_146_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52601_ _52601_/A _52601_/B VGND VGND VPWR VPWR _52601_/X sky130_fd_sc_hd__or2_2
+XFILLER_225_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80633_ _80637_/CLK _80633_/D VGND VGND VPWR VPWR _75669_/C sky130_fd_sc_hd__dfxtp_4
+X_68355_ _68035_/A _68351_/Y _68354_/Y VGND VGND VPWR VPWR _68363_/B sky130_fd_sc_hd__nor3_2
+XFILLER_380_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53581_ _53579_/Y _53580_/X VGND VGND VPWR VPWR _77246_/D sky130_fd_sc_hd__nand2_2
+XFILLER_94_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65567_ _65567_/A _65886_/B VGND VGND VPWR VPWR _65569_/B sky130_fd_sc_hd__nor2_2
+XFILLER_465_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50793_ _62612_/A _50789_/B VGND VGND VPWR VPWR _50793_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_271_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62779_ _62779_/A _63084_/B VGND VGND VPWR VPWR _62782_/B sky130_fd_sc_hd__nor2_2
+XFILLER_721_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_16_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55320_ _55320_/A _55319_/Y VGND VGND VPWR VPWR _55320_/X sky130_fd_sc_hd__or2_2
+XFILLER_541_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67306_ _64326_/X VGND VGND VPWR VPWR _67306_/X sky130_fd_sc_hd__buf_1
+XFILLER_398_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52532_ _52560_/A _52544_/B VGND VGND VPWR VPWR _52533_/B sky130_fd_sc_hd__or2_2
+XFILLER_498_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64518_ _65916_/A VGND VGND VPWR VPWR _64776_/A sky130_fd_sc_hd__buf_1
+XFILLER_77_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_181_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80564_ _80535_/CLK _80564_/D VGND VGND VPWR VPWR _65238_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_587_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68286_ _58235_/A _68131_/B VGND VGND VPWR VPWR _68286_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_380_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_358_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_0_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65498_ _65498_/A _64804_/B VGND VGND VPWR VPWR _65500_/B sky130_fd_sc_hd__nor2_2
+XFILLER_300_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_413_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_630_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_40_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_213_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39205_ _39205_/A _39200_/B _66196_/A VGND VGND VPWR VPWR _39206_/B sky130_fd_sc_hd__nand3_2
+XFILLER_420_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_549_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_75_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55251_ _55251_/A _69802_/Y _45790_/X VGND VGND VPWR VPWR _55251_/X sky130_fd_sc_hd__and3_2
+X_67237_ _67072_/A _67237_/B VGND VGND VPWR VPWR _67278_/B sky130_fd_sc_hd__nor2_2
+XFILLER_279_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52463_ _52463_/A _52463_/B VGND VGND VPWR VPWR _77540_/D sky130_fd_sc_hd__nand2_2
+X_64449_ _64449_/A VGND VGND VPWR VPWR _65045_/A sky130_fd_sc_hd__buf_1
+XPHY_25609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80495_ _80495_/CLK _80495_/D VGND VGND VPWR VPWR _76221_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_162_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_21_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54202_ _54078_/A _54205_/B VGND VGND VPWR VPWR _54203_/B sky130_fd_sc_hd__or2_2
+XFILLER_181_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39136_ _39133_/X _39136_/B VGND VGND VPWR VPWR _39136_/Y sky130_fd_sc_hd__nand2_2
+X_51414_ _51414_/A _51414_/B VGND VGND VPWR VPWR _77818_/D sky130_fd_sc_hd__nand2_2
+XFILLER_378_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55182_ _55177_/A _55181_/Y VGND VGND VPWR VPWR _55182_/X sky130_fd_sc_hd__xor2_2
+XFILLER_528_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67168_ _57639_/A _67328_/B VGND VGND VPWR VPWR _67169_/C sky130_fd_sc_hd__nor2_2
+XFILLER_222_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_593_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_30 io_out[21] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_590_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52394_ _60648_/A _52394_/B VGND VGND VPWR VPWR _52394_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_716_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_3059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_556_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_72_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_593_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_41 wbs_adr_i[2] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_339_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_300_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_394_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_52 wbs_adr_i[6] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_374_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_33_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66119_ _66119_/A _65626_/B VGND VGND VPWR VPWR _66121_/B sky130_fd_sc_hd__nor2_2
+X_54133_ _54133_/A _54033_/B VGND VGND VPWR VPWR _54143_/A sky130_fd_sc_hd__or2_2
+XANTENNA_63 _57707_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_558_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_20_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39067_ _39054_/A _39075_/B _39067_/C VGND VGND VPWR VPWR _39068_/B sky130_fd_sc_hd__nand3_2
+XFILLER_516_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51345_ _51289_/A _51363_/B VGND VGND VPWR VPWR _51345_/X sky130_fd_sc_hd__or2_2
+XFILLER_574_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_74 _56984_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_450_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59990_ _59990_/A VGND VGND VPWR VPWR _59993_/A sky130_fd_sc_hd__buf_1
+X_67099_ _73047_/C _67585_/B VGND VGND VPWR VPWR _67099_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_337_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_85 _60102_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_536_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_96 _61509_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_120_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81116_ _81112_/CLK _73700_/Y VGND VGND VPWR VPWR _66574_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_308_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54064_ _53310_/A VGND VGND VPWR VPWR _54064_/X sky130_fd_sc_hd__buf_1
+X_58941_ _77245_/Q _59307_/B VGND VGND VPWR VPWR _58945_/B sky130_fd_sc_hd__nor2_2
+XFILLER_515_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51276_ _41765_/Y _51256_/X _46277_/A _51257_/X VGND VGND VPWR VPWR _51436_/A sky130_fd_sc_hd__o22a_4
+XFILLER_155_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_10_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_630_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_513_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_372_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_257_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53015_ _53015_/A _53018_/B VGND VGND VPWR VPWR _53017_/A sky130_fd_sc_hd__nand2_2
+XPHY_31129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_7_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50227_ _78128_/Q _50220_/B VGND VGND VPWR VPWR _50227_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_133_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81047_ _81047_/CLK _81047_/D VGND VGND VPWR VPWR _81047_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_322_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_27_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58872_ _78982_/Q _58872_/B VGND VGND VPWR VPWR _58873_/A sky130_fd_sc_hd__nand2_2
+XFILLER_700_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_122_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_270_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_715_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57823_ _81313_/Q _57501_/X VGND VGND VPWR VPWR _57823_/Y sky130_fd_sc_hd__nor2_2
+X_69809_ _69746_/X _69644_/B _69593_/B _69808_/Y VGND VGND VPWR VPWR _69809_/X sky130_fd_sc_hd__and4_2
+XPHY_30439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50158_ _50093_/X _50173_/B VGND VGND VPWR VPWR _50158_/X sky130_fd_sc_hd__or2_2
+XFILLER_121_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39969_ _39969_/A _39968_/Y VGND VGND VPWR VPWR _39969_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_413_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_216_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_431_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72820_ _72820_/A _72820_/B VGND VGND VPWR VPWR _72820_/X sky130_fd_sc_hd__or2_2
+XPHY_8357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57754_ _57830_/A _57754_/B _57754_/C VGND VGND VPWR VPWR _57754_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_248_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42980_ _42980_/A _42980_/B VGND VGND VPWR VPWR _42980_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_268_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50089_ _50087_/Y _50088_/X VGND VGND VPWR VPWR _78165_/D sky130_fd_sc_hd__nand2_2
+X_54966_ _54973_/A VGND VGND VPWR VPWR _54985_/B sky130_fd_sc_hd__buf_1
+XFILLER_333_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_331_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_726_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56705_ _57111_/A VGND VGND VPWR VPWR _56710_/A sky130_fd_sc_hd__buf_1
+XFILLER_114_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_448_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_75_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53917_ _53917_/A _53940_/B VGND VGND VPWR VPWR _53917_/X sky130_fd_sc_hd__or2_2
+X_41931_ _41930_/X VGND VGND VPWR VPWR _41953_/B sky130_fd_sc_hd__buf_1
+XPHY_39404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72751_ _72787_/A VGND VGND VPWR VPWR _72751_/X sky130_fd_sc_hd__buf_1
+XPHY_6922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_464_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57685_ _67145_/A _57517_/B VGND VGND VPWR VPWR _57685_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_385_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54897_ _54897_/A _54896_/X VGND VGND VPWR VPWR _54897_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_384_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_331_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_236_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_40_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_546_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71702_ _71702_/A _71512_/B VGND VGND VPWR VPWR _71702_/X sky130_fd_sc_hd__and2_2
+XFILLER_483_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59424_ _59424_/A _60068_/B VGND VGND VPWR VPWR _59424_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_409_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44650_ _44788_/A VGND VGND VPWR VPWR _44679_/A sky130_fd_sc_hd__inv_8
+XFILLER_526_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56636_ _56636_/A _56636_/B VGND VGND VPWR VPWR _56637_/B sky130_fd_sc_hd__nor2_2
+XFILLER_268_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75470_ _75470_/A _75469_/Y VGND VGND VPWR VPWR _75470_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_99_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_362_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41862_ _46685_/A VGND VGND VPWR VPWR _41863_/A sky130_fd_sc_hd__buf_1
+XFILLER_79_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53848_ _53846_/Y _53848_/B VGND VGND VPWR VPWR _77175_/D sky130_fd_sc_hd__nand2_2
+XPHY_39459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72682_ _72682_/A _72674_/B _65627_/A VGND VGND VPWR VPWR _72683_/B sky130_fd_sc_hd__nand3_2
+XPHY_6977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_3076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_461_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_409_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_465_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43601_ _43591_/X _43601_/B _43601_/C VGND VGND VPWR VPWR _79320_/D sky130_fd_sc_hd__nor3_2
+XFILLER_182_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74421_ _68901_/A VGND VGND VPWR VPWR _74421_/Y sky130_fd_sc_hd__inv_8
+XPHY_38747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40813_ _40811_/X _40812_/Y VGND VGND VPWR VPWR _40813_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_21_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59355_ _59355_/A VGND VGND VPWR VPWR _59843_/B sky130_fd_sc_hd__buf_1
+X_71633_ _71693_/A _71633_/B _71632_/Y VGND VGND VPWR VPWR _71633_/X sky130_fd_sc_hd__or3_2
+XFILLER_461_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_429_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44581_ _44539_/A VGND VGND VPWR VPWR _44586_/A sky130_fd_sc_hd__buf_1
+X_56567_ _56567_/A _56406_/B VGND VGND VPWR VPWR _56567_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_284_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53779_ _59866_/A _53783_/B VGND VGND VPWR VPWR _53779_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_407_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41793_ _45309_/B _41792_/X VGND VGND VPWR VPWR _41793_/X sky130_fd_sc_hd__or2_2
+XPHY_38769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_33_0_u_core.clock clkbuf_5_16_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_67_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_55_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58306_ _58075_/X _58306_/B _58306_/C VGND VGND VPWR VPWR _58306_/Y sky130_fd_sc_hd__nor3_2
+X_46320_ _46089_/A _46320_/B VGND VGND VPWR VPWR _46320_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_606_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77140_ _77629_/CLK _53981_/Y VGND VGND VPWR VPWR _77140_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_309_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_231_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55518_ _55517_/X _45104_/B VGND VGND VPWR VPWR _55518_/Y sky130_fd_sc_hd__nor2_2
+X_43532_ _45789_/A _69843_/X VGND VGND VPWR VPWR _43533_/B sky130_fd_sc_hd__or2_2
+X_74352_ _74231_/A _74348_/X VGND VGND VPWR VPWR _74352_/X sky130_fd_sc_hd__or2_2
+XFILLER_432_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_622_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_309_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40744_ _40756_/A _40750_/B _65171_/A VGND VGND VPWR VPWR _40745_/B sky130_fd_sc_hd__nand3_2
+XFILLER_231_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59286_ _59286_/A _63885_/B VGND VGND VPWR VPWR _59286_/Y sky130_fd_sc_hd__nor2_2
+XPHY_17011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71564_ _71564_/A VGND VGND VPWR VPWR _74069_/A sky130_fd_sc_hd__inv_8
+XFILLER_602_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56498_ _40237_/C _56223_/X VGND VGND VPWR VPWR _56499_/C sky130_fd_sc_hd__nor2_2
+XPHY_27545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73303_ _74981_/A _73308_/B VGND VGND VPWR VPWR _73304_/B sky130_fd_sc_hd__or2_2
+XFILLER_223_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46251_ _46251_/A _46240_/B VGND VGND VPWR VPWR _46252_/B sky130_fd_sc_hd__nor2_2
+X_58237_ _68285_/A _58236_/X VGND VGND VPWR VPWR _58237_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_344_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70515_ _79466_/Q _70515_/B VGND VGND VPWR VPWR _70515_/X sky130_fd_sc_hd__or2_2
+XFILLER_676_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77071_ _77408_/CLK _54246_/Y VGND VGND VPWR VPWR _77071_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43463_ _43463_/A _43473_/B _65296_/A VGND VGND VPWR VPWR _43464_/B sky130_fd_sc_hd__nand3_2
+XFILLER_188_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55449_ _55449_/A _55448_/X VGND VGND VPWR VPWR _55449_/Y sky130_fd_sc_hd__nand2_2
+X_74283_ _74283_/A _74282_/Y VGND VGND VPWR VPWR _80974_/D sky130_fd_sc_hd__nand2_2
+XPHY_17055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40675_ _40670_/X _40678_/B _68308_/A VGND VGND VPWR VPWR _40676_/B sky130_fd_sc_hd__nand3_2
+XPHY_26844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71495_ _70389_/Y _71421_/B VGND VGND VPWR VPWR _71495_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_201_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_490_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_305_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45202_ _45200_/X _45202_/B VGND VGND VPWR VPWR _45203_/B sky130_fd_sc_hd__nand2_2
+XFILLER_199_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76022_ _75901_/A _76018_/B VGND VGND VPWR VPWR _76022_/X sky130_fd_sc_hd__or2_2
+XFILLER_321_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42414_ _42413_/X VGND VGND VPWR VPWR _42414_/X sky130_fd_sc_hd__buf_1
+XFILLER_537_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73234_ _73231_/Y _73233_/X VGND VGND VPWR VPWR _81237_/D sky130_fd_sc_hd__nand2_2
+XPHY_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_1446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_674_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58168_ _43282_/C _58250_/B VGND VGND VPWR VPWR _58169_/C sky130_fd_sc_hd__nor2_2
+X_46182_ _43178_/A _43179_/A _46173_/Y _46181_/X VGND VGND VPWR VPWR _46182_/X sky130_fd_sc_hd__o22a_4
+XFILLER_16_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70446_ _71498_/A _70446_/B _70445_/Y VGND VGND VPWR VPWR _70446_/Y sky130_fd_sc_hd__nor3_2
+XPHY_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43394_ _43466_/A VGND VGND VPWR VPWR _43395_/A sky130_fd_sc_hd__buf_1
+XFILLER_32_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_593_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_546_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_490_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_129_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_357_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45133_ _45052_/A VGND VGND VPWR VPWR _45133_/X sky130_fd_sc_hd__buf_1
+XPHY_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57119_ _56888_/A _57119_/B _57118_/Y VGND VGND VPWR VPWR _57119_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_338_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_141_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_723_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42345_ _42320_/X _42343_/Y _42399_/A _42312_/A VGND VGND VPWR VPWR _42346_/B sky130_fd_sc_hd__o22a_4
+XFILLER_455_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_372_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73165_ _73165_/A _73165_/B VGND VGND VPWR VPWR _81252_/D sky130_fd_sc_hd__nand2_2
+XFILLER_158_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_338_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58099_ _58099_/A _58016_/X VGND VGND VPWR VPWR _58099_/Y sky130_fd_sc_hd__nor2_2
+X_70377_ _70367_/Y VGND VGND VPWR VPWR _70379_/A sky130_fd_sc_hd__inv_8
+XFILLER_459_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_613_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_707_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_522_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60130_ _77331_/Q _59648_/B VGND VGND VPWR VPWR _60131_/C sky130_fd_sc_hd__nor2_2
+X_72116_ _72116_/A VGND VGND VPWR VPWR _39246_/A sky130_fd_sc_hd__buf_1
+XPHY_14952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49941_ _49941_/A _49977_/B VGND VGND VPWR VPWR _49975_/B sky130_fd_sc_hd__or2_2
+XFILLER_436_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45064_ _45064_/A _45050_/Y _45063_/Y VGND VGND VPWR VPWR _45065_/B sky130_fd_sc_hd__or3_2
+XFILLER_715_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42276_ _42276_/A _42276_/B VGND VGND VPWR VPWR _42278_/A sky130_fd_sc_hd__or2_2
+XFILLER_345_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73096_ _73102_/A _73089_/B _64764_/A VGND VGND VPWR VPWR _73098_/A sky130_fd_sc_hd__nand3_2
+XFILLER_314_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77973_ _77987_/CLK _77973_/D VGND VGND VPWR VPWR _61676_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_119_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44015_ _44015_/A VGND VGND VPWR VPWR _67520_/A sky130_fd_sc_hd__inv_8
+XFILLER_201_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_515_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79712_ _79713_/CLK _79712_/D VGND VGND VPWR VPWR _67287_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_487_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_459_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_453_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60061_ _59900_/A _60061_/B _60061_/C VGND VGND VPWR VPWR _60065_/B sky130_fd_sc_hd__nor3_2
+X_41227_ _41149_/A VGND VGND VPWR VPWR _41242_/A sky130_fd_sc_hd__buf_1
+XPHY_32364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76924_ _76921_/CLK _54792_/Y VGND VGND VPWR VPWR _60272_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_390_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_338_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72047_ _70823_/A _69871_/X VGND VGND VPWR VPWR _72052_/A sky130_fd_sc_hd__nor2_2
+XFILLER_630_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49872_ _62218_/A _49858_/B VGND VGND VPWR VPWR _49874_/A sky130_fd_sc_hd__nand2_2
+XFILLER_49_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_271_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_531_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_45_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_234_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_688_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_512_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_3_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_193_1567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_625_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48823_ _48883_/A _48810_/X VGND VGND VPWR VPWR _48824_/B sky130_fd_sc_hd__or2_2
+XFILLER_648_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_113_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_298_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79643_ _79641_/CLK _79643_/D VGND VGND VPWR VPWR _57374_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_675_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41158_ _41158_/A _41158_/B _58838_/A VGND VGND VPWR VPWR _41158_/Y sky130_fd_sc_hd__nand3_2
+XPHY_31674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_76855_ _76787_/CLK _76855_/D VGND VGND VPWR VPWR _76855_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_512_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_13_0_u_core.clock clkbuf_6_6_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_27_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_31685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_332_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40109_ _40109_/A _40109_/B VGND VGND VPWR VPWR _79956_/D sky130_fd_sc_hd__nand2_2
+XFILLER_316_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63820_ _48079_/A _63408_/X VGND VGND VPWR VPWR _63821_/C sky130_fd_sc_hd__nor2_2
+XPHY_21184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75806_ _75803_/A _75811_/B _80596_/Q VGND VGND VPWR VPWR _75806_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_386_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48754_ _48752_/Y _48754_/B VGND VGND VPWR VPWR _78511_/D sky130_fd_sc_hd__nand2_2
+XPHY_9592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_428_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79574_ _79653_/CLK _41607_/Y VGND VGND VPWR VPWR _65637_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_97_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45966_ _45965_/X VGND VGND VPWR VPWR _45969_/A sky130_fd_sc_hd__buf_1
+XFILLER_171_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41089_ _41018_/A VGND VGND VPWR VPWR _41089_/X sky130_fd_sc_hd__buf_1
+XPHY_30984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76786_ _78660_/CLK _55754_/Y VGND VGND VPWR VPWR _55752_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_344_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_80_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73998_ _74164_/A _73998_/B VGND VGND VPWR VPWR _74000_/A sky130_fd_sc_hd__or2_2
+XFILLER_65_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_474_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_722_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47705_ _47702_/Y _47704_/X VGND VGND VPWR VPWR _47705_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_61_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78525_ _78525_/CLK _78525_/D VGND VGND VPWR VPWR _78525_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_617_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44917_ _44917_/A _44911_/X VGND VGND VPWR VPWR _44918_/C sky130_fd_sc_hd__nor2_2
+XFILLER_681_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75737_ _75716_/X VGND VGND VPWR VPWR _75737_/X sky130_fd_sc_hd__buf_1
+X_63751_ _63620_/A _63747_/Y _63751_/C VGND VGND VPWR VPWR _63752_/C sky130_fd_sc_hd__nor3_2
+XPHY_20494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_607_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_3086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60963_ _60498_/A _60963_/B _60962_/Y VGND VGND VPWR VPWR _60964_/B sky130_fd_sc_hd__nor3_2
+XFILLER_78_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48685_ _48675_/X VGND VGND VPWR VPWR _48686_/B sky130_fd_sc_hd__buf_1
+X_72949_ _72949_/A _72948_/Y VGND VGND VPWR VPWR _81302_/D sky130_fd_sc_hd__nand2_2
+XFILLER_294_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45897_ _45899_/A _45897_/B VGND VGND VPWR VPWR _79077_/D sky130_fd_sc_hd__nor2_2
+XFILLER_130_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62702_ _62547_/A _62693_/Y _62702_/C VGND VGND VPWR VPWR _62718_/A sky130_fd_sc_hd__nor3_2
+XFILLER_349_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_525_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47636_ _63909_/A _47632_/B VGND VGND VPWR VPWR _47636_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_266_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66470_ _66470_/A _66470_/B _66470_/C VGND VGND VPWR VPWR _66470_/Y sky130_fd_sc_hd__nor3_2
+X_78456_ _78451_/CLK _48974_/Y VGND VGND VPWR VPWR _78456_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_529_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44848_ _44848_/A _44848_/B VGND VGND VPWR VPWR _79184_/D sky130_fd_sc_hd__nor2_2
+X_63682_ _59287_/A _63682_/B _63681_/Y VGND VGND VPWR VPWR _63682_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_93_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75668_ _76029_/A _75657_/B VGND VGND VPWR VPWR _75670_/A sky130_fd_sc_hd__or2_2
+XFILLER_19_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60894_ _60733_/A _60894_/B _60893_/Y VGND VGND VPWR VPWR _60898_/B sky130_fd_sc_hd__nor3_2
+XFILLER_66_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_310_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65421_ _65029_/X _65421_/B VGND VGND VPWR VPWR _65465_/B sky130_fd_sc_hd__nor2_2
+XFILLER_245_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77407_ _77060_/CLK _77407_/D VGND VGND VPWR VPWR _52959_/A sky130_fd_sc_hd__dfxtp_4
+X_62633_ _59045_/A VGND VGND VPWR VPWR _62944_/B sky130_fd_sc_hd__buf_1
+XFILLER_588_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74619_ _74615_/X _74605_/B _74619_/C VGND VGND VPWR VPWR _74620_/B sky130_fd_sc_hd__nand3_2
+XFILLER_507_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47567_ _47454_/A _59535_/A _58962_/A VGND VGND VPWR VPWR _49225_/A sky130_fd_sc_hd__or3_2
+XFILLER_345_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78387_ _78384_/CLK _78387_/D VGND VGND VPWR VPWR _49232_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_34_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44779_ _44681_/A _44775_/Y _44745_/X _44778_/X VGND VGND VPWR VPWR _44779_/X sky130_fd_sc_hd__o22a_4
+XFILLER_700_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75599_ _75656_/A VGND VGND VPWR VPWR _75599_/X sky130_fd_sc_hd__buf_1
+XPHY_29470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_440_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49306_ _49306_/A _49291_/B VGND VGND VPWR VPWR _49308_/A sky130_fd_sc_hd__nand2_2
+X_68140_ _67774_/X _68139_/Y VGND VGND VPWR VPWR _68140_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_411_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46518_ _41792_/X _43497_/B VGND VGND VPWR VPWR _46518_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_507_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65352_ _69300_/A VGND VGND VPWR VPWR _65702_/A sky130_fd_sc_hd__buf_1
+X_77338_ _77252_/CLK _77338_/D VGND VGND VPWR VPWR _60077_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_74_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62564_ _62564_/A _62564_/B _62564_/C VGND VGND VPWR VPWR _62565_/C sky130_fd_sc_hd__nor3_2
+XFILLER_362_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_78_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_529_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_147_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47498_ _47605_/A _47498_/B VGND VGND VPWR VPWR _47498_/X sky130_fd_sc_hd__or2_2
+XFILLER_22_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_185_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64303_ _68731_/A VGND VGND VPWR VPWR _69466_/B sky130_fd_sc_hd__buf_1
+XFILLER_369_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49237_ _49237_/A _49236_/X VGND VGND VPWR VPWR _49237_/X sky130_fd_sc_hd__or2_2
+X_61515_ _52700_/A _61206_/B VGND VGND VPWR VPWR _61515_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_37_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68071_ _68896_/A VGND VGND VPWR VPWR _68391_/B sky130_fd_sc_hd__buf_1
+XFILLER_395_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_369_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_323_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46449_ _46447_/A _46447_/B VGND VGND VPWR VPWR _46456_/B sky130_fd_sc_hd__nor2_2
+XFILLER_675_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65283_ _64769_/A _65281_/Y _65282_/Y VGND VGND VPWR VPWR _65283_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_558_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77269_ _77278_/CLK _77269_/D VGND VGND VPWR VPWR _53497_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_397_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_557_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62495_ _60933_/A VGND VGND VPWR VPWR _63110_/B sky130_fd_sc_hd__buf_1
+XFILLER_108_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_105_0_u_core.clock clkbuf_8_52_0_u_core.clock/X VGND VGND VPWR VPWR _78756_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_460_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_106_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67022_ _67013_/X _67022_/B _67022_/C VGND VGND VPWR VPWR _67023_/C sky130_fd_sc_hd__nor3_2
+XFILLER_499_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_343_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79008_ _79559_/CLK _79008_/D _46528_/X VGND VGND VPWR VPWR _79008_/Q sky130_fd_sc_hd__dfrtp_4
+XFILLER_226_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64234_ _68753_/A VGND VGND VPWR VPWR _64235_/B sky130_fd_sc_hd__buf_1
+XFILLER_355_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61446_ _77707_/Q _61760_/B VGND VGND VPWR VPWR _61446_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_618_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80280_ _80289_/CLK _80280_/D VGND VGND VPWR VPWR _80280_/Q sky130_fd_sc_hd__dfxtp_4
+X_49168_ _49073_/A _54907_/A VGND VGND VPWR VPWR _49168_/X sky130_fd_sc_hd__or2_2
+XFILLER_21_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_188_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_477_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_516_2809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_403_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_423 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_375_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_214_0_u_core.clock clkbuf_8_214_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_429_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_293_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48119_ _48119_/A _48119_/B VGND VGND VPWR VPWR _78673_/D sky130_fd_sc_hd__nand2_2
+XFILLER_89_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_670_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64165_ _67551_/A VGND VGND VPWR VPWR _64168_/A sky130_fd_sc_hd__buf_1
+XFILLER_141_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_274_0_u_core.clock clkbuf_9_275_0_u_core.clock/A VGND VGND VPWR VPWR _77259_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_89_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61377_ _59130_/X VGND VGND VPWR VPWR _61541_/A sky130_fd_sc_hd__buf_1
+X_49099_ _49099_/A _49099_/B VGND VGND VPWR VPWR _49099_/X sky130_fd_sc_hd__or2_2
+XFILLER_363_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_121_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_238_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51130_ _51015_/A _51123_/X VGND VGND VPWR VPWR _51130_/X sky130_fd_sc_hd__or2_2
+XFILLER_89_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63116_ _62974_/A _63114_/Y _63115_/Y VGND VGND VPWR VPWR _63116_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_395_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_391_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_201_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60328_ _77068_/Q _60790_/B VGND VGND VPWR VPWR _60328_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_518_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64096_ _64433_/A VGND VGND VPWR VPWR _68521_/A sky130_fd_sc_hd__buf_1
+X_68973_ _58520_/A _68810_/X VGND VGND VPWR VPWR _68973_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_274_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_198_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_391_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_701_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_256_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_115_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67924_ _67263_/X _67921_/Y _67923_/Y VGND VGND VPWR VPWR _67924_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_176_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51061_ _50998_/A _51082_/B VGND VGND VPWR VPWR _51062_/B sky130_fd_sc_hd__or2_2
+XFILLER_588_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63047_ _63047_/A _62894_/B VGND VGND VPWR VPWR _63047_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_711_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60259_ _60101_/A _60259_/B _60259_/C VGND VGND VPWR VPWR _60260_/B sky130_fd_sc_hd__nor3_2
+XFILLER_553_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_612_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_67_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50012_ _50012_/A _50027_/B VGND VGND VPWR VPWR _50012_/X sky130_fd_sc_hd__or2_2
+XFILLER_410_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_131_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_291_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39823_ _39800_/A VGND VGND VPWR VPWR _39828_/B sky130_fd_sc_hd__buf_1
+XFILLER_63_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67855_ _44470_/A _67855_/B VGND VGND VPWR VPWR _67858_/A sky130_fd_sc_hd__nor2_2
+XFILLER_170_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_664_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_150_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_107_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_655_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54820_ _54939_/A _54843_/B VGND VGND VPWR VPWR _54820_/X sky130_fd_sc_hd__or2_2
+X_66806_ _72915_/C _66971_/B VGND VGND VPWR VPWR _66807_/C sky130_fd_sc_hd__nor2_2
+XFILLER_312_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_666_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39754_ _40142_/A _39753_/X VGND VGND VPWR VPWR _39754_/X sky130_fd_sc_hd__or2_2
+XFILLER_410_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_252_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67786_ _58013_/A _68271_/B VGND VGND VPWR VPWR _67788_/B sky130_fd_sc_hd__nor2_2
+XFILLER_586_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64998_ _64366_/X _64995_/Y _64997_/Y VGND VGND VPWR VPWR _64998_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_410_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38705_ _38703_/X _38704_/Y VGND VGND VPWR VPWR _80313_/D sky130_fd_sc_hd__nand2_2
+XFILLER_257_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_3139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_57_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69525_ _69385_/A _69525_/B _69524_/Y VGND VGND VPWR VPWR _69525_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_312_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54751_ _54751_/A _54751_/B VGND VGND VPWR VPWR _54751_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_273_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66737_ _66716_/Y _66736_/Y VGND VGND VPWR VPWR _66738_/B sky130_fd_sc_hd__nor2_2
+X_39685_ _39667_/A VGND VGND VPWR VPWR _39689_/A sky130_fd_sc_hd__buf_1
+X_51963_ _77671_/Q _51948_/B VGND VGND VPWR VPWR _51963_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63949_ _59226_/A _63941_/Y _63949_/C VGND VGND VPWR VPWR _63949_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_547_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_404_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_211_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_448_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_131_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53702_ _53702_/A _53701_/X VGND VGND VPWR VPWR _53702_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_474_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38636_ _38484_/A _38651_/B VGND VGND VPWR VPWR _38638_/A sky130_fd_sc_hd__or2_2
+XFILLER_528_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50914_ _77947_/Q _50909_/X VGND VGND VPWR VPWR _50914_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_285_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57470_ _80029_/Q _57151_/X VGND VGND VPWR VPWR _57470_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_96_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69456_ _69456_/A _69455_/Y VGND VGND VPWR VPWR _69457_/B sky130_fd_sc_hd__nor2_2
+XFILLER_705_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54682_ _76953_/Q _54682_/B VGND VGND VPWR VPWR _54682_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66668_ _66177_/X _66665_/Y _66668_/C VGND VGND VPWR VPWR _66669_/C sky130_fd_sc_hd__nor3_2
+X_51894_ _77691_/Q _51890_/B VGND VGND VPWR VPWR _51896_/A sky130_fd_sc_hd__nand2_2
+XFILLER_434_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_109_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_211_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_328_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56421_ _56421_/A _56666_/B VGND VGND VPWR VPWR _56422_/C sky130_fd_sc_hd__nor2_2
+XPHY_4816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68407_ _68241_/A _68407_/B _68406_/Y VGND VGND VPWR VPWR _68407_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_699_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53633_ _53633_/A _53632_/X VGND VGND VPWR VPWR _53633_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_426_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65619_ _65619_/A _65447_/B VGND VGND VPWR VPWR _65621_/B sky130_fd_sc_hd__nor2_2
+XPHY_4827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38567_ _38567_/A _38558_/B VGND VGND VPWR VPWR _38567_/X sky130_fd_sc_hd__or2_2
+XFILLER_528_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_521_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50845_ _50878_/B VGND VGND VPWR VPWR _50855_/B sky130_fd_sc_hd__buf_1
+XFILLER_650_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69387_ _79981_/Q _69109_/B VGND VGND VPWR VPWR _69388_/C sky130_fd_sc_hd__nor2_2
+XPHY_4838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_677_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66599_ _66115_/A _66595_/Y _66598_/Y VGND VGND VPWR VPWR _66599_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_263_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_599_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59140_ _60033_/A VGND VGND VPWR VPWR _59141_/A sky130_fd_sc_hd__buf_1
+XFILLER_246_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80616_ _80597_/CLK _80616_/D VGND VGND VPWR VPWR _80616_/Q sky130_fd_sc_hd__dfxtp_4
+X_56352_ _56352_/A VGND VGND VPWR VPWR _56359_/A sky130_fd_sc_hd__buf_1
+XFILLER_326_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68338_ _68177_/Y _68178_/Y _68182_/X _68338_/D VGND VGND VPWR VPWR _76708_/D sky130_fd_sc_hd__or4_2
+XFILLER_521_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_164_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53564_ _53536_/A _53579_/B VGND VGND VPWR VPWR _53565_/B sky130_fd_sc_hd__or2_2
+XFILLER_0_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_129_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_383_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_380_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_16_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38498_ _38498_/A _38503_/B VGND VGND VPWR VPWR _38498_/X sky130_fd_sc_hd__or2_2
+XFILLER_306_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50776_ _50716_/X _50779_/B VGND VGND VPWR VPWR _50776_/X sky130_fd_sc_hd__or2_2
+XFILLER_41_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55303_ _55480_/A VGND VGND VPWR VPWR _55323_/A sky130_fd_sc_hd__buf_1
+XFILLER_424_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_519_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52515_ _77526_/Q _52518_/B VGND VGND VPWR VPWR _52515_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_496_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59071_ _59119_/A VGND VGND VPWR VPWR _59688_/A sky130_fd_sc_hd__buf_1
+XFILLER_306_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56283_ _56344_/A VGND VGND VPWR VPWR _56855_/A sky130_fd_sc_hd__buf_1
+XFILLER_421_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80547_ _80577_/CLK _75994_/Y VGND VGND VPWR VPWR _75993_/C sky130_fd_sc_hd__dfxtp_4
+X_68269_ _58256_/A _68269_/B VGND VGND VPWR VPWR _68270_/C sky130_fd_sc_hd__nor2_2
+XFILLER_639_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_718_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53495_ _53524_/A _53479_/B VGND VGND VPWR VPWR _53495_/X sky130_fd_sc_hd__or2_2
+XFILLER_298_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_322_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_263_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_697_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_547_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_591_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58022_ _58008_/Y _58022_/B VGND VGND VPWR VPWR _58022_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_55_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70300_ _70300_/A _70300_/B _70299_/Y VGND VGND VPWR VPWR _70301_/A sky130_fd_sc_hd__or3_2
+XFILLER_558_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55234_ _55230_/X _55233_/Y VGND VGND VPWR VPWR _76824_/D sky130_fd_sc_hd__or2_2
+XFILLER_241_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_33_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40460_ _39193_/A VGND VGND VPWR VPWR _40593_/A sky130_fd_sc_hd__buf_1
+X_52446_ _52330_/A _52439_/X VGND VGND VPWR VPWR _52446_/X sky130_fd_sc_hd__or2_2
+XPHY_25439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71280_ _72034_/A VGND VGND VPWR VPWR _71793_/A sky130_fd_sc_hd__buf_1
+XFILLER_671_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80478_ _80477_/CLK _80478_/D VGND VGND VPWR VPWR _80478_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_24705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_120_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_139_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_224_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39119_ _39119_/A _39138_/B VGND VGND VPWR VPWR _39119_/X sky130_fd_sc_hd__or2_2
+XFILLER_90_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70231_ _70021_/C _70231_/B VGND VGND VPWR VPWR _70266_/C sky130_fd_sc_hd__or2_2
+XFILLER_554_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55165_ _55144_/A _55160_/B _55165_/C VGND VGND VPWR VPWR _55167_/A sky130_fd_sc_hd__nor3_2
+XFILLER_166_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40391_ _40377_/A _40372_/B _68977_/A VGND VGND VPWR VPWR _40392_/B sky130_fd_sc_hd__nand3_2
+XFILLER_193_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_327_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52377_ _52374_/Y _52377_/B VGND VGND VPWR VPWR _77564_/D sky130_fd_sc_hd__nand2_2
+XFILLER_590_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_429_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_298_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42130_ _42088_/A VGND VGND VPWR VPWR _42142_/C sky130_fd_sc_hd__buf_1
+XPHY_14248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54116_ _54078_/A _54119_/B VGND VGND VPWR VPWR _54117_/B sky130_fd_sc_hd__or2_2
+XFILLER_120_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_194_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51328_ _51328_/A _51328_/B VGND VGND VPWR VPWR _77840_/D sky130_fd_sc_hd__nand2_2
+XFILLER_154_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_630_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70162_ _70102_/X VGND VGND VPWR VPWR _70162_/X sky130_fd_sc_hd__buf_1
+XPHY_13514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_314_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_613_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59973_ _59973_/A _59971_/Y _59973_/C VGND VGND VPWR VPWR _59974_/C sky130_fd_sc_hd__nor3_2
+X_55096_ _69997_/A _55096_/B VGND VGND VPWR VPWR _55097_/B sky130_fd_sc_hd__nand2_2
+XPHY_13525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_63 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_689_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_355_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_159_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42061_ _44448_/A VGND VGND VPWR VPWR _42088_/A sky130_fd_sc_hd__buf_1
+XPHY_13558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54047_ _53929_/X _54044_/B VGND VGND VPWR VPWR _54047_/X sky130_fd_sc_hd__or2_2
+X_58924_ _58901_/A VGND VGND VPWR VPWR _59073_/A sky130_fd_sc_hd__buf_1
+XFILLER_317_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_532_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51259_ _51421_/A VGND VGND VPWR VPWR _53069_/A sky130_fd_sc_hd__buf_1
+XFILLER_316_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74970_ _74984_/A _74973_/B _68537_/A VGND VGND VPWR VPWR _74970_/Y sky130_fd_sc_hd__nand3_2
+XPHY_13569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70093_ _70093_/A _70317_/A VGND VGND VPWR VPWR _70096_/B sky130_fd_sc_hd__nor2_2
+XFILLER_513_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41012_ _39747_/A VGND VGND VPWR VPWR _41013_/A sky130_fd_sc_hd__buf_1
+XPHY_30214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_497_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73921_ _73919_/X _73920_/Y VGND VGND VPWR VPWR _73921_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_510_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_27_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58855_ _58859_/A _58859_/B VGND VGND VPWR VPWR _58857_/A sky130_fd_sc_hd__nor2_2
+XFILLER_496_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_489_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_587_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_468_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_81_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_658_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_685_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_172_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57806_ _57735_/A _57806_/B _57805_/Y VGND VGND VPWR VPWR _57806_/Y sky130_fd_sc_hd__nor3_2
+X_45820_ _45817_/Y _45820_/B _45813_/C VGND VGND VPWR VPWR _45820_/Y sky130_fd_sc_hd__nor3_2
+XPHY_30269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_110_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76640_ _79174_/CLK _76640_/D VGND VGND VPWR VPWR _44925_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_672_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73852_ _73973_/A _73845_/B VGND VGND VPWR VPWR _73852_/X sky130_fd_sc_hd__or2_2
+XFILLER_79_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58786_ _39893_/C _58786_/B VGND VGND VPWR VPWR _58787_/C sky130_fd_sc_hd__nor2_2
+XFILLER_311_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_2997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_482_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55998_ _55992_/A _55672_/B VGND VGND VPWR VPWR _55998_/X sky130_fd_sc_hd__or2_2
+XFILLER_333_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_682_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_682_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_526_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72803_ _72803_/A _72810_/B VGND VGND VPWR VPWR _72803_/X sky130_fd_sc_hd__or2_2
+XFILLER_409_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45751_ _45785_/A _45751_/B VGND VGND VPWR VPWR _79101_/D sky130_fd_sc_hd__nor2_2
+XFILLER_212_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57737_ _56527_/A VGND VGND VPWR VPWR _57737_/X sky130_fd_sc_hd__buf_1
+XFILLER_94_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76571_ VGND VGND VPWR VPWR _76571_/HI la_data_out[67] sky130_fd_sc_hd__conb_1
+XPHY_7453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1535 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42963_ _41619_/A VGND VGND VPWR VPWR _42964_/A sky130_fd_sc_hd__buf_1
+X_54949_ _54949_/A _54955_/B VGND VGND VPWR VPWR _54949_/X sky130_fd_sc_hd__or2_2
+XFILLER_188_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73783_ _73741_/A VGND VGND VPWR VPWR _73784_/A sky130_fd_sc_hd__buf_1
+XFILLER_428_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70995_ _70431_/Y VGND VGND VPWR VPWR _70996_/A sky130_fd_sc_hd__inv_8
+XFILLER_251_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_483_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78310_ _78307_/CLK _49553_/Y VGND VGND VPWR VPWR _78310_/Q sky130_fd_sc_hd__dfxtp_4
+X_44702_ _41007_/A _44513_/C VGND VGND VPWR VPWR _44703_/B sky130_fd_sc_hd__nor2_2
+XPHY_6741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75522_ _75901_/A _75518_/B VGND VGND VPWR VPWR _75524_/A sky130_fd_sc_hd__or2_2
+XFILLER_614_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41914_ _41914_/A _41912_/X _41913_/X VGND VGND VPWR VPWR _41914_/X sky130_fd_sc_hd__or3_2
+XPHY_39234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48470_ _48936_/A VGND VGND VPWR VPWR _48470_/X sky130_fd_sc_hd__buf_1
+X_72734_ _72721_/A _72734_/B _72734_/C VGND VGND VPWR VPWR _72735_/B sky130_fd_sc_hd__nand3_2
+XFILLER_291_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79290_ _79290_/CLK _43847_/Y VGND VGND VPWR VPWR _43690_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_463_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57668_ _57417_/A _57662_/Y _57668_/C VGND VGND VPWR VPWR _57669_/B sky130_fd_sc_hd__nor3_2
+XFILLER_464_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45682_ _45682_/A _45681_/Y VGND VGND VPWR VPWR _45683_/C sky130_fd_sc_hd__nor2_2
+XPHY_39245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42894_ _42892_/X _42893_/Y VGND VGND VPWR VPWR _42894_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_287_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_60_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59407_ _59407_/A _59407_/B VGND VGND VPWR VPWR _59407_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_424_246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47421_ _48101_/B VGND VGND VPWR VPWR _60007_/A sky130_fd_sc_hd__buf_1
+XFILLER_147_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78241_ _77699_/CLK _49811_/Y VGND VGND VPWR VPWR _62372_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_366_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44633_ _44633_/A _44633_/B VGND VGND VPWR VPWR _44633_/X sky130_fd_sc_hd__and2_2
+XPHY_6796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75453_ _75453_/A VGND VGND VPWR VPWR _75502_/A sky130_fd_sc_hd__buf_1
+XPHY_28010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56619_ _80946_/Q _56541_/B VGND VGND VPWR VPWR _56620_/C sky130_fd_sc_hd__nor2_2
+XFILLER_283_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41845_ _41820_/A VGND VGND VPWR VPWR _41845_/X sky130_fd_sc_hd__buf_1
+XFILLER_90_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72665_ _72663_/X _72664_/Y VGND VGND VPWR VPWR _81371_/D sky130_fd_sc_hd__nand2_2
+XFILLER_95_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_422_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57599_ _57599_/A _57599_/B VGND VGND VPWR VPWR _57600_/B sky130_fd_sc_hd__nor2_2
+XPHY_38555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74404_ _74515_/A VGND VGND VPWR VPWR _74540_/B sky130_fd_sc_hd__buf_1
+XFILLER_366_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47352_ _47532_/A VGND VGND VPWR VPWR _49252_/A sky130_fd_sc_hd__buf_1
+XFILLER_1_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59338_ _59338_/A VGND VGND VPWR VPWR _59661_/B sky130_fd_sc_hd__buf_1
+X_71616_ _71616_/A _71480_/B VGND VGND VPWR VPWR _71616_/X sky130_fd_sc_hd__and2_2
+XFILLER_144_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78172_ _78170_/CLK _78172_/D VGND VGND VPWR VPWR _50064_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_362_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_73_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44564_ _79216_/Q _44564_/B VGND VGND VPWR VPWR _44564_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_346_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75384_ _75384_/A _75373_/B VGND VGND VPWR VPWR _75384_/X sky130_fd_sc_hd__or2_2
+XPHY_28065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_41776_ _41733_/A VGND VGND VPWR VPWR _41776_/X sky130_fd_sc_hd__buf_1
+XPHY_37854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72596_ _72584_/A _72592_/X _81389_/Q VGND VGND VPWR VPWR _72596_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_524_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_422_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_231_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46303_ _79019_/Q _46697_/B VGND VGND VPWR VPWR _46303_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_18_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77123_ _77139_/CLK _54041_/Y VGND VGND VPWR VPWR _60206_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43515_ _55480_/A _43513_/X _78909_/Q _55555_/A VGND VGND VPWR VPWR _43516_/B sky130_fd_sc_hd__o22a_4
+XFILLER_229_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_147_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_578_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74335_ _74070_/X _74328_/X VGND VGND VPWR VPWR _74335_/X sky130_fd_sc_hd__or2_2
+XFILLER_422_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40727_ _40653_/X VGND VGND VPWR VPWR _40741_/B sky130_fd_sc_hd__buf_1
+XFILLER_593_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59269_ _59447_/A _59264_/Y _59269_/C VGND VGND VPWR VPWR _59269_/Y sky130_fd_sc_hd__nor3_2
+X_47283_ _47281_/X _47283_/B _42286_/B VGND VGND VPWR VPWR _47283_/X sky130_fd_sc_hd__or3_2
+X_71547_ _71540_/X _71547_/B _71543_/Y _71547_/D VGND VGND VPWR VPWR _71547_/X sky130_fd_sc_hd__or4_2
+XFILLER_422_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44495_ _44525_/A _44495_/B _44494_/Y VGND VGND VPWR VPWR _44495_/Y sky130_fd_sc_hd__nor3_2
+XPHY_27375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_559_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61300_ _61300_/A _60825_/B VGND VGND VPWR VPWR _61300_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_600_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49022_ _49022_/A _49022_/B VGND VGND VPWR VPWR _49022_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_242_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46234_ _46241_/A VGND VGND VPWR VPWR _46265_/B sky130_fd_sc_hd__inv_8
+XFILLER_474_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77054_ _77408_/CLK _77054_/D VGND VGND VPWR VPWR _77054_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_176_429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43446_ _43444_/X _43445_/Y VGND VGND VPWR VPWR _79347_/D sky130_fd_sc_hd__nand2_2
+XPHY_16140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_164_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62280_ _77896_/Q _62128_/B VGND VGND VPWR VPWR _62280_/Y sky130_fd_sc_hd__nor2_2
+X_74266_ _74266_/A _74266_/B VGND VGND VPWR VPWR _74266_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_554_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40658_ _40390_/A _40658_/B VGND VGND VPWR VPWR _40658_/X sky130_fd_sc_hd__or2_2
+XFILLER_223_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_502_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71478_ _71537_/A _71537_/B _67624_/A VGND VGND VPWR VPWR _71478_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_73_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_207_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_724_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76005_ _76012_/A _76002_/B _80544_/Q VGND VGND VPWR VPWR _76005_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_403_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61231_ _51655_/A _61231_/B VGND VGND VPWR VPWR _61234_/B sky130_fd_sc_hd__nor2_2
+XFILLER_717_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73217_ _73235_/A _73226_/B _65938_/A VGND VGND VPWR VPWR _73220_/A sky130_fd_sc_hd__nand3_2
+XFILLER_318_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_34_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46165_ _46160_/A _46164_/Y _46165_/C _46161_/A VGND VGND VPWR VPWR _46165_/X sky130_fd_sc_hd__or4_2
+XFILLER_319_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70429_ _56209_/A _70429_/B VGND VGND VPWR VPWR _70430_/B sky130_fd_sc_hd__nor2_2
+XFILLER_435_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43377_ _43377_/A VGND VGND VPWR VPWR _43378_/B sky130_fd_sc_hd__buf_1
+XFILLER_8_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74197_ _74195_/X _74197_/B VGND VGND VPWR VPWR _74197_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_486_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40589_ _40552_/A VGND VGND VPWR VPWR _40589_/X sky130_fd_sc_hd__buf_1
+XFILLER_184_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_631_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_275_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45116_ _45115_/X VGND VGND VPWR VPWR _45116_/X sky130_fd_sc_hd__buf_1
+XFILLER_474_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42328_ _42312_/A _42328_/B VGND VGND VPWR VPWR _42328_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_494_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61162_ _60540_/A _61162_/B _61162_/C VGND VGND VPWR VPWR _61166_/B sky130_fd_sc_hd__nor3_2
+X_73148_ _73148_/A _73139_/B _68408_/A VGND VGND VPWR VPWR _73148_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_158_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46096_ _45175_/A _43036_/B VGND VGND VPWR VPWR _46097_/C sky130_fd_sc_hd__nor2_2
+XFILLER_275_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_613_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60113_ _59630_/A _60113_/B _60112_/Y VGND VGND VPWR VPWR _60117_/B sky130_fd_sc_hd__nor3_2
+XFILLER_335_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49924_ _49839_/A _49933_/B VGND VGND VPWR VPWR _49925_/B sky130_fd_sc_hd__or2_2
+X_45047_ _45113_/A VGND VGND VPWR VPWR _45089_/A sky130_fd_sc_hd__buf_1
+XPHY_32150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42259_ _42259_/A VGND VGND VPWR VPWR _42259_/Y sky130_fd_sc_hd__inv_8
+XFILLER_158_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61093_ _61093_/A _60628_/B VGND VGND VPWR VPWR _61093_/Y sky130_fd_sc_hd__nor2_2
+X_65970_ _57134_/A _65970_/B VGND VGND VPWR VPWR _65970_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_455_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73079_ _73089_/A _73079_/B _65620_/A VGND VGND VPWR VPWR _73079_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_314_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77956_ _77956_/CLK _77956_/D VGND VGND VPWR VPWR _62776_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_119_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_711_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_433_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60044_ _60836_/A VGND VGND VPWR VPWR _60044_/X sky130_fd_sc_hd__buf_1
+X_64921_ _64569_/X _64921_/B _64920_/Y VGND VGND VPWR VPWR _64921_/Y sky130_fd_sc_hd__nor3_2
+X_76907_ _76907_/CLK _54859_/Y VGND VGND VPWR VPWR _54857_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_531_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49855_ _49855_/A _49854_/X VGND VGND VPWR VPWR _78229_/D sky130_fd_sc_hd__nand2_2
+XFILLER_67_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_88_0_u_core.clock clkbuf_7_89_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_88_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_151_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77887_ _77891_/CLK _77887_/D VGND VGND VPWR VPWR _62106_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_173_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_583_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_314_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_488_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_312_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_402_0_u_core.clock clkbuf_9_403_0_u_core.clock/A VGND VGND VPWR VPWR _80813_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_351_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_433_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_31493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48806_ _63839_/A _48802_/B VGND VGND VPWR VPWR _48806_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_3_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67640_ _67151_/A _67634_/Y _67640_/C VGND VGND VPWR VPWR _67641_/C sky130_fd_sc_hd__nor3_2
+X_79626_ _79626_/CLK _79626_/D VGND VGND VPWR VPWR _41412_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_410_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_298_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64852_ _65021_/A _64852_/B _64852_/C VGND VGND VPWR VPWR _64852_/Y sky130_fd_sc_hd__nor3_2
+X_76838_ _79163_/CLK _55167_/X VGND VGND VPWR VPWR _76838_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_449_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49786_ _61944_/A _49789_/B VGND VGND VPWR VPWR _49788_/A sky130_fd_sc_hd__nand2_2
+XPHY_30770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46998_ _46029_/A VGND VGND VPWR VPWR _47001_/A sky130_fd_sc_hd__buf_1
+XFILLER_648_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_646_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63803_ _63803_/A _63802_/Y VGND VGND VPWR VPWR _76755_/D sky130_fd_sc_hd__nor2_2
+XFILLER_39_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_152_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_62_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48737_ _48708_/A _48740_/B VGND VGND VPWR VPWR _48737_/X sky130_fd_sc_hd__or2_2
+XFILLER_239_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67571_ _74593_/C _67747_/B VGND VGND VPWR VPWR _67573_/B sky130_fd_sc_hd__nor2_2
+XPHY_20280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79557_ _79557_/CLK _41818_/Y _41810_/X VGND VGND VPWR VPWR _46635_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_663_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45949_ _42646_/B _45959_/B VGND VGND VPWR VPWR _45950_/C sky130_fd_sc_hd__nor2_2
+X_64783_ _64264_/A _64783_/B _64782_/Y VGND VGND VPWR VPWR _64783_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_224_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76769_ _76768_/CLK _76769_/D VGND VGND VPWR VPWR _76769_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_312_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61995_ _61995_/A _61520_/B VGND VGND VPWR VPWR _61996_/C sky130_fd_sc_hd__nor2_2
+XFILLER_247_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_329_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69310_ _69172_/A _69308_/Y _69310_/C VGND VGND VPWR VPWR _69314_/B sky130_fd_sc_hd__nor3_2
+XFILLER_544_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_484_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_481_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_427_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66522_ _80219_/Q _66684_/B VGND VGND VPWR VPWR _66522_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_456_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78508_ _78474_/CLK _78508_/D VGND VGND VPWR VPWR _63971_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_509_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_3_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39470_ _39221_/A _39463_/X VGND VGND VPWR VPWR _39473_/A sky130_fd_sc_hd__or2_2
+XFILLER_230_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63734_ _59092_/X _63726_/Y _63733_/Y VGND VGND VPWR VPWR _63734_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_642_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60946_ _61101_/A _60938_/Y _60945_/Y VGND VGND VPWR VPWR _60946_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_23_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48668_ _63115_/A _48650_/B VGND VGND VPWR VPWR _48670_/A sky130_fd_sc_hd__nand2_2
+XFILLER_709_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79488_ _78988_/Q _79488_/D _42371_/X VGND VGND VPWR VPWR _79488_/Q sky130_fd_sc_hd__dfstp_4
+XFILLER_266_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_509_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_286_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_247_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_705_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38421_ _38421_/A _38421_/B _38421_/C VGND VGND VPWR VPWR _38422_/B sky130_fd_sc_hd__nand3_2
+X_69241_ _68791_/X _69237_/Y _69241_/C VGND VGND VPWR VPWR _69242_/C sky130_fd_sc_hd__nor3_2
+XFILLER_56_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_3194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_7_113_0_u_core.clock clkbuf_6_56_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_227_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_208_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47619_ _47619_/A _47618_/X VGND VGND VPWR VPWR _78800_/D sky130_fd_sc_hd__nand2_2
+X_66453_ _65952_/A _66445_/Y _66452_/Y VGND VGND VPWR VPWR _66453_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_415_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78439_ _78102_/CLK _78439_/D VGND VGND VPWR VPWR _63254_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_66_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_247_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_694_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63665_ _78825_/Q _63226_/B VGND VGND VPWR VPWR _63665_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_642_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_8_173_0_u_core.clock clkbuf_7_86_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_347_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_60877_ _53015_/A _60566_/B VGND VGND VPWR VPWR _60878_/C sky130_fd_sc_hd__nor2_2
+X_48599_ _48478_/X _48590_/B VGND VGND VPWR VPWR _48599_/X sky130_fd_sc_hd__or2_2
+XFILLER_577_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_281_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65404_ _80565_/Q _65052_/X VGND VGND VPWR VPWR _65405_/C sky130_fd_sc_hd__nor2_2
+XFILLER_470_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38352_ _38338_/X _38366_/B _69309_/A VGND VGND VPWR VPWR _38352_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_369_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50630_ _50658_/A _50633_/B VGND VGND VPWR VPWR _50630_/X sky130_fd_sc_hd__or2_2
+X_62616_ _77947_/Q _62147_/X VGND VGND VPWR VPWR _62616_/Y sky130_fd_sc_hd__nor2_2
+X_69172_ _69172_/A _69170_/Y _69171_/Y VGND VGND VPWR VPWR _69172_/Y sky130_fd_sc_hd__nor3_2
+X_81450_ _81203_/CLK _81450_/D VGND VGND VPWR VPWR _68918_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_225_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_17_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66384_ _66704_/A _66384_/B _66383_/Y VGND VGND VPWR VPWR _66389_/B sky130_fd_sc_hd__nor3_2
+XFILLER_613_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_397_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63596_ _63596_/A _63454_/X VGND VGND VPWR VPWR _63596_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_345_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_597_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_430_249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_444_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_91_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_245_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_165_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80401_ _80370_/CLK _80401_/D VGND VGND VPWR VPWR _38335_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_577_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68123_ _68948_/A VGND VGND VPWR VPWR _68454_/A sky130_fd_sc_hd__buf_1
+XFILLER_542_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65335_ _65335_/A _65333_/Y _65335_/C VGND VGND VPWR VPWR _65339_/B sky130_fd_sc_hd__nor3_2
+XFILLER_282_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38283_ _38283_/A _38282_/Y VGND VGND VPWR VPWR _38283_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_179_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50561_ _50561_/A _50560_/X VGND VGND VPWR VPWR _78042_/D sky130_fd_sc_hd__nand2_2
+X_62547_ _62547_/A _62539_/Y _62547_/C VGND VGND VPWR VPWR _62566_/A sky130_fd_sc_hd__nor3_2
+X_81381_ _81352_/CLK _72629_/Y VGND VGND VPWR VPWR _81381_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_62_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_52_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_577_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52300_ _52182_/A _52303_/B VGND VGND VPWR VPWR _52301_/B sky130_fd_sc_hd__or2_2
+XFILLER_143_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_301_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_653_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68054_ _67728_/A _68052_/Y _68054_/C VGND VGND VPWR VPWR _68054_/Y sky130_fd_sc_hd__nor3_2
+X_80332_ _80332_/CLK _38633_/Y VGND VGND VPWR VPWR _80332_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_405_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_403_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_68_0_u_core.clock clkbuf_8_69_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_68_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_495_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53280_ _53280_/A VGND VGND VPWR VPWR _53292_/B sky130_fd_sc_hd__buf_1
+XFILLER_194_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65266_ _74644_/C _64750_/B VGND VGND VPWR VPWR _65268_/B sky130_fd_sc_hd__nor2_2
+XFILLER_421_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50492_ _50489_/X VGND VGND VPWR VPWR _50514_/B sky130_fd_sc_hd__buf_1
+X_62478_ _59319_/A VGND VGND VPWR VPWR _62478_/X sky130_fd_sc_hd__buf_1
+XFILLER_221_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_558_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67005_ _67005_/A VGND VGND VPWR VPWR _67191_/A sky130_fd_sc_hd__buf_1
+XFILLER_11_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52231_ _77602_/Q _52223_/X VGND VGND VPWR VPWR _52231_/Y sky130_fd_sc_hd__nand2_2
+X_64217_ _64119_/A VGND VGND VPWR VPWR _64218_/A sky130_fd_sc_hd__buf_1
+XFILLER_304_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_375_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61429_ _52378_/A _61276_/B VGND VGND VPWR VPWR _61430_/C sky130_fd_sc_hd__nor2_2
+XFILLER_206_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_590_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80263_ _80259_/CLK _80263_/D VGND VGND VPWR VPWR _80263_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_594_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65197_ _65197_/A _65197_/B VGND VGND VPWR VPWR _65198_/C sky130_fd_sc_hd__nor2_2
+XFILLER_396_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_525_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_178_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_438_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52162_ _52162_/A _52161_/X VGND VGND VPWR VPWR _52162_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_30_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64148_ _67551_/A VGND VGND VPWR VPWR _69172_/A sky130_fd_sc_hd__buf_1
+XFILLER_498_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_334_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80194_ _80187_/CLK _80194_/D VGND VGND VPWR VPWR _67674_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_536_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_419_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_540_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_514_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51113_ _54935_/A _51141_/B VGND VGND VPWR VPWR _51113_/X sky130_fd_sc_hd__or2_2
+XFILLER_63_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_371_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52093_ _52093_/A _52037_/B VGND VGND VPWR VPWR _52094_/A sky130_fd_sc_hd__or2_2
+XFILLER_391_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56970_ _56970_/A _56721_/B VGND VGND VPWR VPWR _56970_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_541_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68956_ _58557_/A _69236_/B VGND VGND VPWR VPWR _68957_/C sky130_fd_sc_hd__nor2_2
+X_64079_ _65015_/A _64078_/X VGND VGND VPWR VPWR _64079_/X sky130_fd_sc_hd__or2_2
+XFILLER_151_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_419_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_137_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_144_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_265_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51044_ _51042_/Y _51043_/X VGND VGND VPWR VPWR _77913_/D sky130_fd_sc_hd__nand2_2
+X_55921_ _55880_/A _55921_/B VGND VGND VPWR VPWR _76684_/D sky130_fd_sc_hd__nor2_2
+X_67907_ _67907_/A _68395_/B VGND VGND VPWR VPWR _67910_/B sky130_fd_sc_hd__nor2_2
+XFILLER_454_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_239_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68887_ _66231_/A VGND VGND VPWR VPWR _68887_/X sky130_fd_sc_hd__buf_1
+XFILLER_451_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_667_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_369_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39806_ _39792_/X _39806_/B _67318_/A VGND VGND VPWR VPWR _39807_/B sky130_fd_sc_hd__nand3_2
+XPHY_10718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58640_ _58640_/A _58707_/B VGND VGND VPWR VPWR _58640_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_654_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55852_ _45460_/A _55851_/X VGND VGND VPWR VPWR _55853_/B sky130_fd_sc_hd__nor2_2
+X_67838_ _80291_/Q _67838_/B VGND VGND VPWR VPWR _67840_/B sky130_fd_sc_hd__nor2_2
+XFILLER_320_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_154_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_664_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_320_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54803_ _54803_/A _54803_/B VGND VGND VPWR VPWR _54805_/A sky130_fd_sc_hd__nand2_2
+XFILLER_419_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_6_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39737_ _39763_/A VGND VGND VPWR VPWR _39871_/B sky130_fd_sc_hd__buf_1
+XFILLER_24_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58571_ _41292_/C _58705_/B VGND VGND VPWR VPWR _58572_/C sky130_fd_sc_hd__nor2_2
+XFILLER_76_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55783_ _76777_/Q _55768_/X VGND VGND VPWR VPWR _55783_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67769_ _68254_/A _67761_/Y _67769_/C VGND VGND VPWR VPWR _67769_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_347_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_22_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52995_ _52993_/Y _52994_/X VGND VGND VPWR VPWR _52995_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_292_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_40_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_48_0_u_core.clock clkbuf_9_49_0_u_core.clock/A VGND VGND VPWR VPWR _78048_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_699_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57522_ _66783_/A _57445_/X VGND VGND VPWR VPWR _57522_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_434_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69508_ _64531_/A _69506_/Y _69508_/C VGND VGND VPWR VPWR _69508_/Y sky130_fd_sc_hd__nor3_2
+XPHY_5303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_660_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_328_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54734_ _54791_/A _54752_/B VGND VGND VPWR VPWR _54735_/B sky130_fd_sc_hd__or2_2
+XFILLER_58_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39668_ _39678_/A _39668_/B _67495_/A VGND VGND VPWR VPWR _39669_/B sky130_fd_sc_hd__nand3_2
+X_51946_ _77676_/Q _51950_/B VGND VGND VPWR VPWR _51946_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70780_ _69659_/Y _70712_/B VGND VGND VPWR VPWR _70784_/A sky130_fd_sc_hd__nor2_2
+XFILLER_521_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_73_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_540_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38619_ _72341_/Y _38619_/B VGND VGND VPWR VPWR _38620_/A sky130_fd_sc_hd__or2_2
+XFILLER_265_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57453_ _57450_/X _57453_/B _57452_/Y VGND VGND VPWR VPWR _57454_/C sky130_fd_sc_hd__nor3_2
+X_69439_ _64887_/A _69439_/B _69438_/Y VGND VGND VPWR VPWR _69440_/C sky130_fd_sc_hd__nor3_2
+XPHY_4613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54665_ _54665_/A _54664_/X VGND VGND VPWR VPWR _76957_/D sky130_fd_sc_hd__nand2_2
+XFILLER_306_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_233_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_461_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_504 _65248_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_92_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_723_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51877_ _51728_/A VGND VGND VPWR VPWR _51936_/A sky130_fd_sc_hd__buf_1
+XFILLER_462_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39599_ _39599_/A _39599_/B VGND VGND VPWR VPWR _80083_/D sky130_fd_sc_hd__nand2_2
+XFILLER_328_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_606_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_515 _64599_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_167_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_526 _65706_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_150_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56404_ _57547_/A VGND VGND VPWR VPWR _56404_/X sky130_fd_sc_hd__buf_1
+XFILLER_341_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_658_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_537 _68019_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_41630_ _42886_/A _41514_/B _64260_/A VGND VGND VPWR VPWR _41630_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_183_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53616_ _77236_/Q _53630_/B VGND VGND VPWR VPWR _53616_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_445_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72450_ _72413_/X VGND VGND VPWR VPWR _72457_/A sky130_fd_sc_hd__buf_1
+XPHY_4657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_226_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50828_ _50828_/A _50827_/X VGND VGND VPWR VPWR _50828_/Y sky130_fd_sc_hd__nand2_2
+X_57384_ _79964_/Q _57309_/B VGND VGND VPWR VPWR _57384_/Y sky130_fd_sc_hd__nor2_2
+XANTENNA_548 _69000_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_247_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54596_ _54594_/Y _54596_/B VGND VGND VPWR VPWR _76977_/D sky130_fd_sc_hd__nand2_2
+XFILLER_403_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_559 ANTENNA_559/DIODE VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_422_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_35_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_213_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59123_ _77173_/Q _59388_/B VGND VGND VPWR VPWR _59127_/B sky130_fd_sc_hd__nor2_2
+XFILLER_403_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71401_ _71391_/Y _71398_/X _71337_/X _71400_/Y VGND VGND VPWR VPWR _42592_/B sky130_fd_sc_hd__o22a_4
+XFILLER_57_1558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_41_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56335_ _56623_/A VGND VGND VPWR VPWR _56336_/B sky130_fd_sc_hd__buf_1
+XFILLER_548_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41561_ _41061_/X _41547_/B VGND VGND VPWR VPWR _41563_/A sky130_fd_sc_hd__or2_2
+XFILLER_41_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53547_ _53547_/A _53547_/B VGND VGND VPWR VPWR _77256_/D sky130_fd_sc_hd__nand2_2
+XFILLER_122_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72381_ _72206_/A _72394_/B VGND VGND VPWR VPWR _72381_/X sky130_fd_sc_hd__or2_2
+XPHY_3967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_601_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50759_ _54581_/A _50759_/B VGND VGND VPWR VPWR _50785_/B sky130_fd_sc_hd__or2_2
+XFILLER_183_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_109_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43300_ _43353_/A VGND VGND VPWR VPWR _43301_/A sky130_fd_sc_hd__buf_1
+XFILLER_576_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74120_ _74120_/A VGND VGND VPWR VPWR _74787_/A sky130_fd_sc_hd__buf_1
+XFILLER_107_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40512_ _40512_/A _40512_/B VGND VGND VPWR VPWR _40512_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_491_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59054_ _59357_/A VGND VGND VPWR VPWR _59054_/X sky130_fd_sc_hd__buf_1
+X_71332_ _71332_/A _71332_/B VGND VGND VPWR VPWR _71332_/X sky130_fd_sc_hd__or2_2
+XFILLER_675_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_224_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44280_ _44031_/A _44264_/B VGND VGND VPWR VPWR _44285_/B sky130_fd_sc_hd__nor2_2
+XFILLER_709_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56266_ _56266_/A VGND VGND VPWR VPWR _57401_/A sky130_fd_sc_hd__buf_1
+XFILLER_361_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41492_ _41488_/X _41492_/B VGND VGND VPWR VPWR _79605_/D sky130_fd_sc_hd__nand2_2
+XFILLER_230_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53478_ _53478_/A VGND VGND VPWR VPWR _53479_/B sky130_fd_sc_hd__buf_1
+XPHY_35759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58005_ _58005_/A _58249_/B VGND VGND VPWR VPWR _58007_/B sky130_fd_sc_hd__nor2_2
+XFILLER_35_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_126_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43231_ _43231_/A _43215_/A VGND VGND VPWR VPWR _43233_/B sky130_fd_sc_hd__nor2_2
+XFILLER_712_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55217_ _69997_/A _55096_/B VGND VGND VPWR VPWR _55217_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_693_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74051_ _74202_/A _74045_/B VGND VGND VPWR VPWR _74053_/A sky130_fd_sc_hd__or2_2
+XFILLER_31_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40443_ _40429_/A _40443_/B _57639_/A VGND VGND VPWR VPWR _40443_/Y sky130_fd_sc_hd__nand3_2
+X_52429_ _54340_/A _52428_/X VGND VGND VPWR VPWR _52429_/X sky130_fd_sc_hd__or2_2
+XFILLER_724_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71263_ _71141_/A VGND VGND VPWR VPWR _71772_/A sky130_fd_sc_hd__buf_1
+XFILLER_671_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_224_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56197_ _56193_/X _56197_/B VGND VGND VPWR VPWR _56197_/X sky130_fd_sc_hd__and2_2
+XFILLER_439_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_16_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73002_ _42897_/A _73002_/B VGND VGND VPWR VPWR _73002_/X sky130_fd_sc_hd__or2_2
+XFILLER_276_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70214_ _70213_/Y _70168_/A _70206_/A _70408_/B VGND VGND VPWR VPWR _70214_/X sky130_fd_sc_hd__o22a_4
+XPHY_14034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_127_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_504_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43162_ _43157_/X _43162_/B VGND VGND VPWR VPWR _43162_/X sky130_fd_sc_hd__and2_2
+XFILLER_652_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_396_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55148_ _70325_/X _55148_/B VGND VGND VPWR VPWR _55148_/X sky130_fd_sc_hd__or2_2
+XPHY_13300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40374_ _38472_/A VGND VGND VPWR VPWR _40374_/X sky130_fd_sc_hd__buf_1
+XFILLER_276_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71194_ _78936_/Q VGND VGND VPWR VPWR _71194_/Y sky130_fd_sc_hd__inv_8
+XFILLER_166_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_126_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_153_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42113_ _56615_/A VGND VGND VPWR VPWR _56478_/A sky130_fd_sc_hd__buf_1
+XPHY_14078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77810_ _77811_/CLK _77810_/D VGND VGND VPWR VPWR _61349_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_257_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_712_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70145_ _70141_/X _70875_/B VGND VGND VPWR VPWR _70145_/X sky130_fd_sc_hd__or2_2
+XPHY_14089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47970_ _47970_/A _47969_/X VGND VGND VPWR VPWR _47970_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59956_ _59803_/A _59956_/B _59955_/Y VGND VGND VPWR VPWR _59964_/B sky130_fd_sc_hd__nor3_2
+X_55079_ _55079_/A _55079_/B VGND VGND VPWR VPWR _55080_/B sky130_fd_sc_hd__and2_2
+X_43093_ _78959_/Q VGND VGND VPWR VPWR _46714_/A sky130_fd_sc_hd__inv_8
+XFILLER_194_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78790_ _78284_/CLK _47654_/Y VGND VGND VPWR VPWR _63201_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_292_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58907_ _59621_/A VGND VGND VPWR VPWR _63885_/B sky130_fd_sc_hd__buf_1
+X_42044_ _45842_/A VGND VGND VPWR VPWR _42044_/Y sky130_fd_sc_hd__inv_8
+X_46921_ _46920_/X _71414_/B _71357_/B _46892_/X VGND VGND VPWR VPWR _46922_/B sky130_fd_sc_hd__o22a_4
+XPHY_13388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77741_ _77741_/CLK _51702_/Y VGND VGND VPWR VPWR _60542_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_218_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74953_ _74953_/A _75054_/B VGND VGND VPWR VPWR _74953_/X sky130_fd_sc_hd__or2_2
+XPHY_12654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70076_ _71819_/A VGND VGND VPWR VPWR _71489_/A sky130_fd_sc_hd__buf_1
+XPHY_13399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_686_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_684_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59887_ _77153_/Q _59404_/B VGND VGND VPWR VPWR _59888_/C sky130_fd_sc_hd__nor2_2
+XFILLER_137_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_122_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_218_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49640_ _61798_/A _49634_/B VGND VGND VPWR VPWR _49642_/A sky130_fd_sc_hd__nand2_2
+XFILLER_669_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73904_ _73662_/A _73904_/B VGND VGND VPWR VPWR _73904_/X sky130_fd_sc_hd__or2_2
+XFILLER_190_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46852_ _46852_/A _46852_/B VGND VGND VPWR VPWR _46853_/B sky130_fd_sc_hd__and2_2
+XPHY_12698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58838_ _58838_/A _56333_/B VGND VGND VPWR VPWR _58838_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_665_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77672_ _77613_/CLK _77672_/D VGND VGND VPWR VPWR _77672_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_30066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74884_ _75366_/A _74876_/B VGND VGND VPWR VPWR _74884_/X sky130_fd_sc_hd__or2_2
+XFILLER_296_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_81_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_489_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79411_ _79411_/CLK _43004_/Y VGND VGND VPWR VPWR _79411_/Q sky130_fd_sc_hd__dfxtp_4
+X_45803_ _45803_/A _45810_/B VGND VGND VPWR VPWR _45804_/B sky130_fd_sc_hd__nor2_2
+XFILLER_643_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76623_ VGND VGND VPWR VPWR _76623_/HI la_data_out[119] sky130_fd_sc_hd__conb_1
+XFILLER_584_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73835_ _73821_/X _73828_/X _65921_/A VGND VGND VPWR VPWR _73836_/B sky130_fd_sc_hd__nand3_2
+X_49571_ _49629_/A _49577_/B VGND VGND VPWR VPWR _49571_/X sky130_fd_sc_hd__or2_2
+XFILLER_645_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58769_ _58836_/A _58769_/B _58768_/Y VGND VGND VPWR VPWR _58769_/Y sky130_fd_sc_hd__nor3_2
+X_46783_ _46783_/A _46783_/B VGND VGND VPWR VPWR _46783_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_428_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43995_ _43995_/A _43995_/B _43995_/C VGND VGND VPWR VPWR _43995_/Y sky130_fd_sc_hd__nor3_2
+XPHY_7250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_42_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_368_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60800_ _60800_/A _60800_/B _60800_/C VGND VGND VPWR VPWR _60800_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_40_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48522_ _48529_/A VGND VGND VPWR VPWR _48523_/B sky130_fd_sc_hd__buf_1
+XFILLER_545_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79342_ _79342_/CLK _43464_/Y VGND VGND VPWR VPWR _65296_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_626_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45734_ _70708_/X VGND VGND VPWR VPWR _45734_/Y sky130_fd_sc_hd__inv_8
+XPHY_39020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_365_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76554_ VGND VGND VPWR VPWR _76554_/HI la_data_out[50] sky130_fd_sc_hd__conb_1
+XFILLER_131_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42946_ _42949_/A _42949_/B _66975_/A VGND VGND VPWR VPWR _42947_/B sky130_fd_sc_hd__nand3_2
+XFILLER_7_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61780_ _50087_/A _62092_/B VGND VGND VPWR VPWR _61782_/B sky130_fd_sc_hd__nor2_2
+XFILLER_428_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73766_ _73766_/A _73766_/B VGND VGND VPWR VPWR _73766_/Y sky130_fd_sc_hd__nand2_2
+XPHY_7294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_111_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_70978_ _70978_/A _70978_/B _70938_/Y _70977_/Y VGND VGND VPWR VPWR _70978_/X sky130_fd_sc_hd__or4_2
+XFILLER_467_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_346_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_266_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_76_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_209_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_463_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75505_ _74746_/A VGND VGND VPWR VPWR _75891_/A sky130_fd_sc_hd__buf_1
+XFILLER_480_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_426_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60731_ _77455_/Q _60419_/X VGND VGND VPWR VPWR _60733_/B sky130_fd_sc_hd__nor2_2
+XFILLER_286_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48453_ _48451_/X _48452_/X VGND VGND VPWR VPWR _48453_/X sky130_fd_sc_hd__or2_2
+XFILLER_625_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72717_ _72773_/A VGND VGND VPWR VPWR _72721_/A sky130_fd_sc_hd__buf_1
+XFILLER_48_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79273_ _79216_/CLK _79273_/D VGND VGND VPWR VPWR _43973_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_162_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45665_ _45661_/Y _45664_/Y VGND VGND VPWR VPWR _45671_/A sky130_fd_sc_hd__or2_2
+XPHY_38330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76485_ VGND VGND VPWR VPWR _76485_/HI io_out[7] sky130_fd_sc_hd__conb_1
+XFILLER_346_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42877_ _42827_/X _42876_/X VGND VGND VPWR VPWR _42877_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_244_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_483_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73697_ _73642_/A VGND VGND VPWR VPWR _73712_/B sky130_fd_sc_hd__buf_1
+XPHY_38341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47404_ _47404_/A _47403_/X VGND VGND VPWR VPWR _78849_/D sky130_fd_sc_hd__nand2_2
+XFILLER_441_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78224_ _77699_/CLK _49874_/Y VGND VGND VPWR VPWR _62218_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_346_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44616_ _44610_/A _44611_/Y _44616_/C VGND VGND VPWR VPWR _79210_/D sky130_fd_sc_hd__nor3_2
+XPHY_5881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63450_ _78616_/Q _63295_/X VGND VGND VPWR VPWR _63451_/C sky130_fd_sc_hd__nor2_2
+X_75436_ _75838_/A _75577_/B VGND VGND VPWR VPWR _75438_/A sky130_fd_sc_hd__or2_2
+XFILLER_578_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41828_ _41822_/X _41827_/X VGND VGND VPWR VPWR _41828_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_90_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60662_ _59113_/A VGND VGND VPWR VPWR _60663_/B sky130_fd_sc_hd__buf_1
+X_72648_ _72245_/A _72652_/B VGND VGND VPWR VPWR _72648_/X sky130_fd_sc_hd__or2_2
+XPHY_5892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48384_ _63294_/A _48369_/B VGND VGND VPWR VPWR _48386_/A sky130_fd_sc_hd__nand2_2
+XFILLER_676_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45596_ _45596_/A _45596_/B VGND VGND VPWR VPWR _45599_/B sky130_fd_sc_hd__nor2_2
+XPHY_38385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62401_ _50075_/A _62401_/B VGND VGND VPWR VPWR _62403_/B sky130_fd_sc_hd__nor2_2
+XFILLER_602_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_281_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_182_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47335_ _63525_/A _47329_/B VGND VGND VPWR VPWR _47336_/B sky130_fd_sc_hd__nand2_2
+XPHY_37673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78155_ _78129_/CLK _78155_/D VGND VGND VPWR VPWR _62710_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_327_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44547_ _44549_/A _44564_/B VGND VGND VPWR VPWR _44547_/Y sky130_fd_sc_hd__nor2_2
+XPHY_27150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63381_ _47521_/A _63226_/B VGND VGND VPWR VPWR _63381_/Y sky130_fd_sc_hd__nor2_2
+X_75367_ _75367_/A _75375_/B _66879_/A VGND VGND VPWR VPWR _75367_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_610_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41759_ _41754_/Y _41758_/X VGND VGND VPWR VPWR _41759_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_144_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60593_ _60593_/A _60593_/B _60593_/C VGND VGND VPWR VPWR _60593_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_320_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72579_ _72823_/A _72576_/B VGND VGND VPWR VPWR _72579_/X sky130_fd_sc_hd__or2_2
+XFILLER_366_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_220_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_377_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65120_ _64267_/X VGND VGND VPWR VPWR _65132_/A sky130_fd_sc_hd__buf_1
+XFILLER_231_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77106_ _76911_/CLK _77106_/D VGND VGND VPWR VPWR _54114_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_34_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_34_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62332_ _62179_/X _62327_/Y _62332_/C VGND VGND VPWR VPWR _62332_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74318_ _74242_/X VGND VGND VPWR VPWR _74320_/A sky130_fd_sc_hd__buf_1
+XFILLER_320_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47266_ _58901_/A VGND VGND VPWR VPWR _47456_/C sky130_fd_sc_hd__buf_1
+XFILLER_143_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_299_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78086_ _78451_/CLK _50393_/Y VGND VGND VPWR VPWR _78086_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_16_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44478_ _44343_/Y _44450_/X _44477_/X VGND VGND VPWR VPWR _44478_/X sky130_fd_sc_hd__and3_2
+X_75298_ _75294_/X _75298_/B VGND VGND VPWR VPWR _80720_/D sky130_fd_sc_hd__nand2_2
+XFILLER_394_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_400_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_709_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_340_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49005_ _49063_/A _48999_/B VGND VGND VPWR VPWR _49006_/B sky130_fd_sc_hd__or2_2
+XPHY_26482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_647_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_13_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65051_ _65051_/A _65732_/B VGND VGND VPWR VPWR _65054_/B sky130_fd_sc_hd__nor2_2
+XFILLER_539_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_320_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46217_ _46243_/A _46213_/Y _46217_/C VGND VGND VPWR VPWR _79035_/D sky130_fd_sc_hd__nor3_2
+XFILLER_9_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77037_ _77082_/CLK _77037_/D VGND VGND VPWR VPWR _77037_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_192_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43429_ _42948_/A _43415_/X VGND VGND VPWR VPWR _43432_/A sky130_fd_sc_hd__or2_2
+XFILLER_647_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74249_ _74249_/A _74248_/Y VGND VGND VPWR VPWR _80983_/D sky130_fd_sc_hd__nand2_2
+X_62263_ _78280_/Q _61795_/X VGND VGND VPWR VPWR _62263_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_157_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_361_0_u_core.clock clkbuf_9_361_0_u_core.clock/A VGND VGND VPWR VPWR _77705_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_379_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47197_ _47108_/B VGND VGND VPWR VPWR _47197_/Y sky130_fd_sc_hd__inv_8
+XFILLER_581_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64002_ _64002_/A _64002_/B _64002_/C VGND VGND VPWR VPWR _64002_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_31_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_717_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61214_ _52800_/A _60739_/B VGND VGND VPWR VPWR _61214_/Y sky130_fd_sc_hd__nor2_2
+XPHY_25792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_160_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46148_ _43138_/Y _46148_/B VGND VGND VPWR VPWR _46148_/X sky130_fd_sc_hd__or2_2
+XFILLER_195_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_86_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62194_ _62194_/A _62034_/X VGND VGND VPWR VPWR _62194_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_535_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_522_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_255_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_308_0_u_core.clock clkbuf_9_309_0_u_core.clock/A VGND VGND VPWR VPWR _81060_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_372_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68810_ _68810_/A VGND VGND VPWR VPWR _68810_/X sky130_fd_sc_hd__buf_1
+XFILLER_293_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61145_ _52135_/A _60830_/B VGND VGND VPWR VPWR _61145_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_416_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38970_ _38968_/X _38969_/Y VGND VGND VPWR VPWR _80242_/D sky130_fd_sc_hd__nand2_2
+XFILLER_275_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46079_ _46078_/X VGND VGND VPWR VPWR _46696_/A sky130_fd_sc_hd__buf_1
+X_69790_ _42129_/A _69754_/A VGND VGND VPWR VPWR _69790_/X sky130_fd_sc_hd__or2_2
+XFILLER_550_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78988_ _79100_/CLK _46651_/X _46626_/X VGND VGND VPWR VPWR _78988_/Q sky130_fd_sc_hd__dfrtp_4
+XPHY_14590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_516_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49907_ _49936_/A _49907_/B VGND VGND VPWR VPWR _49907_/X sky130_fd_sc_hd__or2_2
+XFILLER_416_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68741_ _68741_/A _68406_/B VGND VGND VPWR VPWR _68741_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_318_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65953_ _65953_/A _65952_/Y VGND VGND VPWR VPWR _65954_/B sky130_fd_sc_hd__nor2_2
+X_61076_ _61076_/A _60452_/B VGND VGND VPWR VPWR _61077_/C sky130_fd_sc_hd__nor2_2
+XFILLER_119_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77939_ _77937_/CLK _50944_/Y VGND VGND VPWR VPWR _77939_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_711_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_477_0_u_core.clock clkbuf_9_477_0_u_core.clock/A VGND VGND VPWR VPWR _80734_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_318_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_286_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_214_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60027_ _60027_/A _60027_/B _60026_/Y VGND VGND VPWR VPWR _60028_/C sky130_fd_sc_hd__nor3_2
+X_64904_ _64748_/A _64904_/B _64903_/Y VGND VGND VPWR VPWR _64905_/C sky130_fd_sc_hd__nor3_2
+XFILLER_351_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_566_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49838_ _49838_/A VGND VGND VPWR VPWR _49845_/B sky130_fd_sc_hd__buf_1
+XFILLER_302_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80950_ _80884_/CLK _74372_/Y VGND VGND VPWR VPWR _80950_/Q sky130_fd_sc_hd__dfxtp_4
+X_68672_ _68632_/X _68672_/B VGND VGND VPWR VPWR _68673_/C sky130_fd_sc_hd__nor2_2
+XFILLER_370_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_708_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65884_ _65884_/A _65884_/B _65884_/C VGND VGND VPWR VPWR _65885_/C sky130_fd_sc_hd__nor3_2
+XFILLER_583_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_312_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67623_ _65137_/X VGND VGND VPWR VPWR _68279_/B sky130_fd_sc_hd__buf_1
+XFILLER_476_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79609_ _79610_/CLK _41477_/Y VGND VGND VPWR VPWR _66134_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_329_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64835_ _56519_/A _65182_/B VGND VGND VPWR VPWR _64837_/B sky130_fd_sc_hd__nor2_2
+XFILLER_230_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80881_ _80969_/CLK _74655_/Y VGND VGND VPWR VPWR _80881_/Q sky130_fd_sc_hd__dfxtp_4
+X_49769_ _49766_/Y _49769_/B VGND VGND VPWR VPWR _78252_/D sky130_fd_sc_hd__nand2_2
+XFILLER_136_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_648_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_652_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51800_ _51800_/A _51800_/B VGND VGND VPWR VPWR _77716_/D sky130_fd_sc_hd__nand2_2
+X_39522_ _39529_/A _39529_/B _58366_/A VGND VGND VPWR VPWR _39522_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_446_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_509_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_481_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67554_ _67728_/A _67552_/Y _67553_/Y VGND VGND VPWR VPWR _67554_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_39_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52780_ _52181_/A VGND VGND VPWR VPWR _52839_/A sky130_fd_sc_hd__buf_1
+XFILLER_249_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64766_ _69331_/A _64761_/Y _64765_/Y VGND VGND VPWR VPWR _64766_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_444_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_428_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_364_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61978_ _61670_/A _61978_/B _61978_/C VGND VGND VPWR VPWR _61978_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_583_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66505_ _66505_/A _66345_/B VGND VGND VPWR VPWR _66507_/B sky130_fd_sc_hd__nor2_2
+XFILLER_509_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51731_ _77734_/Q _51712_/B VGND VGND VPWR VPWR _51731_/Y sky130_fd_sc_hd__nand2_2
+X_39453_ _39442_/A _39452_/X _57253_/A VGND VGND VPWR VPWR _39454_/B sky130_fd_sc_hd__nand3_2
+XFILLER_702_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63717_ _63979_/A _63715_/Y _63716_/Y VGND VGND VPWR VPWR _63717_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_54_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_23_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60929_ _60929_/A _61243_/B VGND VGND VPWR VPWR _60929_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_81_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67485_ _67485_/A _67485_/B VGND VGND VPWR VPWR _67485_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_3_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_444_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64697_ _64696_/Y _65025_/B VGND VGND VPWR VPWR _64701_/A sky130_fd_sc_hd__nor2_2
+XFILLER_604_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38404_ _38402_/X _38403_/Y VGND VGND VPWR VPWR _80384_/D sky130_fd_sc_hd__nand2_2
+XFILLER_540_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_247_1530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_659_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81502_ _81470_/CLK _81502_/D VGND VGND VPWR VPWR _57586_/A sky130_fd_sc_hd__dfxtp_4
+X_69224_ _69224_/A _69362_/B VGND VGND VPWR VPWR _69224_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_599_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_149_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66436_ _67091_/A _66428_/Y _66435_/Y VGND VGND VPWR VPWR _66454_/A sky130_fd_sc_hd__nor3_2
+X_54450_ _54448_/Y _54450_/B VGND VGND VPWR VPWR _77016_/D sky130_fd_sc_hd__nand2_2
+XFILLER_694_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39384_ _39113_/A _39384_/B VGND VGND VPWR VPWR _39384_/X sky130_fd_sc_hd__or2_2
+XFILLER_440_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51662_ _77752_/Q _51662_/B VGND VGND VPWR VPWR _51662_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_270_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63648_ _59202_/A _63648_/B _63647_/Y VGND VGND VPWR VPWR _63648_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_431_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_522_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_260_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_2906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_70_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_342_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53401_ _59429_/A _53401_/B VGND VGND VPWR VPWR _53403_/A sky130_fd_sc_hd__nand2_2
+XFILLER_522_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_58_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38335_ _38323_/A _38322_/X _38335_/C VGND VGND VPWR VPWR _38336_/B sky130_fd_sc_hd__nand3_2
+XFILLER_323_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50613_ _50610_/X VGND VGND VPWR VPWR _50635_/B sky130_fd_sc_hd__buf_1
+XFILLER_243_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69155_ _74832_/C _68531_/X VGND VGND VPWR VPWR _69155_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_503_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_81433_ _81366_/CLK _81433_/D VGND VGND VPWR VPWR _81433_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_557_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_23_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54381_ _54402_/B VGND VGND VPWR VPWR _54398_/B sky130_fd_sc_hd__buf_1
+X_66367_ _66685_/A _66365_/Y _66367_/C VGND VGND VPWR VPWR _66367_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_223_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51593_ _51616_/B VGND VGND VPWR VPWR _51615_/B sky130_fd_sc_hd__buf_1
+XFILLER_126_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_401_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63579_ _63710_/A _63577_/Y _63579_/C VGND VGND VPWR VPWR _63580_/C sky130_fd_sc_hd__nor3_2
+XPHY_2529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56120_ _56084_/A VGND VGND VPWR VPWR _56129_/A sky130_fd_sc_hd__buf_1
+XFILLER_585_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68106_ _41552_/C _68602_/B VGND VGND VPWR VPWR _68106_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_162_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53332_ _53332_/A VGND VGND VPWR VPWR _53635_/A sky130_fd_sc_hd__buf_1
+XFILLER_211_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65318_ _64459_/A VGND VGND VPWR VPWR _66152_/A sky130_fd_sc_hd__buf_1
+XFILLER_368_164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50544_ _50430_/X _50518_/X VGND VGND VPWR VPWR _50544_/X sky130_fd_sc_hd__or2_2
+X_38266_ _76316_/A VGND VGND VPWR VPWR _38285_/A sky130_fd_sc_hd__buf_1
+X_81364_ _81330_/CLK _81364_/D VGND VGND VPWR VPWR _81364_/Q sky130_fd_sc_hd__dfxtp_4
+X_69086_ _68433_/X _69082_/Y _69086_/C VGND VGND VPWR VPWR _69087_/C sky130_fd_sc_hd__nor3_2
+XFILLER_56_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_590_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_149_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66298_ _66295_/X _66296_/Y _66298_/C VGND VGND VPWR VPWR _66304_/B sky130_fd_sc_hd__nor3_2
+XFILLER_715_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_52_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_500_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_383_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_573_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80315_ _80315_/CLK _38698_/Y VGND VGND VPWR VPWR _80315_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_30_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56051_ _55991_/A _55549_/B VGND VGND VPWR VPWR _56051_/X sky130_fd_sc_hd__or2_2
+X_68037_ _80869_/Q _67711_/B VGND VGND VPWR VPWR _68038_/C sky130_fd_sc_hd__nor2_2
+XFILLER_706_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53263_ _53207_/A _53269_/B VGND VGND VPWR VPWR _53264_/B sky130_fd_sc_hd__or2_2
+XPHY_33609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65249_ _65249_/A VGND VGND VPWR VPWR _65909_/B sky130_fd_sc_hd__buf_1
+XFILLER_397_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_143_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50475_ _50414_/X _50484_/B VGND VGND VPWR VPWR _50476_/B sky130_fd_sc_hd__or2_2
+XFILLER_195_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81295_ _81301_/CLK _72980_/Y VGND VGND VPWR VPWR _81295_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_457_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_371_3105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_637_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55002_ _54974_/A _55011_/B VGND VGND VPWR VPWR _55003_/B sky130_fd_sc_hd__or2_2
+XFILLER_554_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52214_ _52182_/A _52214_/B VGND VGND VPWR VPWR _52215_/B sky130_fd_sc_hd__or2_2
+XFILLER_325_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80246_ _80238_/CLK _80246_/D VGND VGND VPWR VPWR _38954_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_555_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_491_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53194_ _53203_/A VGND VGND VPWR VPWR _53209_/B sky130_fd_sc_hd__buf_1
+XFILLER_699_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_148_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_175_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_276_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_295_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_164_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59810_ _59810_/A VGND VGND VPWR VPWR _59811_/B sky130_fd_sc_hd__buf_1
+XFILLER_434_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52145_ _52060_/A _52142_/B VGND VGND VPWR VPWR _52146_/B sky130_fd_sc_hd__or2_2
+XFILLER_30_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80177_ _80238_/CLK _39241_/Y VGND VGND VPWR VPWR _56531_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_121_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_438_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_69_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69988_ _79466_/Q VGND VGND VPWR VPWR _70504_/A sky130_fd_sc_hd__inv_8
+XFILLER_707_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_533_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_2991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59741_ _77240_/Q _59741_/B VGND VGND VPWR VPWR _59745_/B sky130_fd_sc_hd__nor2_2
+XFILLER_219_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40090_ _40076_/A _40087_/B _66169_/A VGND VGND VPWR VPWR _40091_/B sky130_fd_sc_hd__nand3_2
+XFILLER_352_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52076_ _52048_/A _52082_/B VGND VGND VPWR VPWR _52077_/B sky130_fd_sc_hd__or2_2
+X_56953_ _56780_/A _56951_/Y _56952_/Y VGND VGND VPWR VPWR _56957_/B sky130_fd_sc_hd__nor3_2
+XFILLER_336_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68939_ _41292_/C _68439_/X VGND VGND VPWR VPWR _68941_/B sky130_fd_sc_hd__nor2_2
+XFILLER_668_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_3023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_277_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51027_ _51055_/A _51027_/B VGND VGND VPWR VPWR _51027_/X sky130_fd_sc_hd__or2_2
+XFILLER_24_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55904_ _55904_/A _55904_/B _55904_/C VGND VGND VPWR VPWR _76676_/D sky130_fd_sc_hd__or3_2
+XFILLER_584_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_137_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_1_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59672_ _64028_/A _59667_/Y _59671_/Y VGND VGND VPWR VPWR _59672_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_314_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71950_ _71950_/A _71145_/B VGND VGND VPWR VPWR _71950_/X sky130_fd_sc_hd__and2_2
+XPHY_10515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56884_ _80245_/Q _56709_/B VGND VGND VPWR VPWR _56885_/C sky130_fd_sc_hd__nor2_2
+XFILLER_133_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_388_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_289_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_694_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_723_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58623_ _58391_/A _58623_/B _58623_/C VGND VGND VPWR VPWR _58627_/B sky130_fd_sc_hd__nor3_2
+XFILLER_442_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70901_ _70347_/X VGND VGND VPWR VPWR _70901_/Y sky130_fd_sc_hd__inv_8
+XPHY_10548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55835_ _55832_/Y _55834_/X VGND VGND VPWR VPWR _55835_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_627_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71881_ _71881_/A _71881_/B VGND VGND VPWR VPWR _71881_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_584_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_26_0_u_core.clock clkbuf_6_27_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_53_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_426_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2991 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42800_ _45085_/A _42760_/B VGND VGND VPWR VPWR _42807_/B sky130_fd_sc_hd__nor2_2
+XFILLER_419_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73620_ _73623_/A _73507_/X _81136_/Q VGND VGND VPWR VPWR _73621_/B sky130_fd_sc_hd__nand3_2
+XFILLER_189_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58554_ _80618_/Q _58389_/B VGND VGND VPWR VPWR _58556_/B sky130_fd_sc_hd__nor2_2
+X_70832_ _72036_/C _72027_/B VGND VGND VPWR VPWR _72028_/A sky130_fd_sc_hd__nor2_2
+XFILLER_642_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_703_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43780_ _43780_/A _43780_/B VGND VGND VPWR VPWR _43782_/B sky130_fd_sc_hd__nor2_2
+XPHY_5100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55766_ _55766_/A _55766_/B VGND VGND VPWR VPWR _76782_/D sky130_fd_sc_hd__nand2_2
+XFILLER_389_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_369_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40992_ _41005_/A _40992_/B _56566_/A VGND VGND VPWR VPWR _40993_/B sky130_fd_sc_hd__nand3_2
+XFILLER_265_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_115_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52978_ _52994_/B VGND VGND VPWR VPWR _52991_/B sky130_fd_sc_hd__buf_1
+XFILLER_189_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_1507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_292_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57505_ _57423_/X _57505_/B _57504_/Y VGND VGND VPWR VPWR _57509_/B sky130_fd_sc_hd__nor3_2
+XPHY_5133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42731_ _65709_/A _42634_/B VGND VGND VPWR VPWR _42733_/B sky130_fd_sc_hd__nor2_2
+XFILLER_435_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54717_ _54717_/A _54716_/X VGND VGND VPWR VPWR _54717_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_267_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73551_ _73548_/X _73551_/B VGND VGND VPWR VPWR _73551_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_527_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_57_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51929_ _61136_/A _51918_/B VGND VGND VPWR VPWR _51931_/A sky130_fd_sc_hd__nand2_2
+XFILLER_343_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70763_ _70763_/A _70763_/B VGND VGND VPWR VPWR _70763_/X sky130_fd_sc_hd__and2_2
+X_58485_ _58560_/A _58485_/B _58484_/Y VGND VGND VPWR VPWR _58486_/B sky130_fd_sc_hd__nor3_2
+XFILLER_441_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_464_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55697_ _55574_/X _55696_/X VGND VGND VPWR VPWR _55697_/X sky130_fd_sc_hd__and2_2
+XFILLER_543_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_301 _47817_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_435_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_245_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_312 _53465_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_265_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_285 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_621_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72502_ _72489_/X _72491_/X _81414_/Q VGND VGND VPWR VPWR _72503_/B sky130_fd_sc_hd__nand3_2
+XPHY_18812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_323 _53706_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_76_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45450_ _45450_/A _45448_/Y _45449_/Y VGND VGND VPWR VPWR _45450_/Y sky130_fd_sc_hd__nor3_2
+X_57436_ _57037_/A VGND VGND VPWR VPWR _57516_/B sky130_fd_sc_hd__buf_1
+X_76270_ _76277_/A _76281_/B _80483_/Q VGND VGND VPWR VPWR _76271_/B sky130_fd_sc_hd__nand3_2
+XPHY_18823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42662_ _55177_/B _42650_/B VGND VGND VPWR VPWR _42662_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_540_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54648_ _54639_/A VGND VGND VPWR VPWR _54648_/X sky130_fd_sc_hd__buf_1
+X_73482_ _75039_/A _73485_/B VGND VGND VPWR VPWR _73483_/B sky130_fd_sc_hd__or2_2
+XANTENNA_334 _52819_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_76_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70694_ _70694_/A _70680_/B VGND VGND VPWR VPWR _70694_/X sky130_fd_sc_hd__or2_2
+XFILLER_226_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_345 _51155_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_505_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_356 _51141_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_701_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44401_ _44569_/A VGND VGND VPWR VPWR _44608_/B sky130_fd_sc_hd__buf_1
+XPHY_4476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75221_ _75218_/A _75218_/B _68033_/A VGND VGND VPWR VPWR _75221_/Y sky130_fd_sc_hd__nand3_2
+XANTENNA_367 _50869_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_41613_ _41616_/A _41624_/B _65299_/A VGND VGND VPWR VPWR _41614_/B sky130_fd_sc_hd__nand3_2
+XFILLER_76_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72433_ _72433_/A _72429_/B _81432_/Q VGND VGND VPWR VPWR _72433_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_382_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45381_ _69585_/B _45355_/B VGND VGND VPWR VPWR _45408_/B sky130_fd_sc_hd__nor2_2
+XFILLER_243_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_378 _53078_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_57_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57367_ _66460_/A _57048_/X VGND VGND VPWR VPWR _57367_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54579_ _54664_/A _54554_/A VGND VGND VPWR VPWR _54580_/B sky130_fd_sc_hd__or2_2
+XPHY_18878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42593_ _42593_/A VGND VGND VPWR VPWR _42594_/D sky130_fd_sc_hd__buf_1
+XFILLER_677_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_389 _53221_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_578_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_214_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47120_ _46361_/B _47119_/Y VGND VGND VPWR VPWR _47120_/X sky130_fd_sc_hd__or2_2
+XFILLER_403_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59106_ _59105_/X VGND VGND VPWR VPWR _59107_/B sky130_fd_sc_hd__buf_1
+XFILLER_213_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56318_ _56600_/A VGND VGND VPWR VPWR _56319_/A sky130_fd_sc_hd__buf_1
+XPHY_25000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44332_ _44424_/A VGND VGND VPWR VPWR _44332_/Y sky130_fd_sc_hd__inv_8
+XPHY_3786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75152_ _75391_/A _75151_/X VGND VGND VPWR VPWR _75152_/X sky130_fd_sc_hd__or2_2
+XFILLER_158_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41544_ _41530_/A _41538_/B _79591_/Q VGND VGND VPWR VPWR _41544_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_122_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72364_ _72364_/A _72363_/Y VGND VGND VPWR VPWR _81451_/D sky130_fd_sc_hd__nand2_2
+XFILLER_278_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_658_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57298_ _57298_/A _57213_/B VGND VGND VPWR VPWR _57300_/B sky130_fd_sc_hd__nor2_2
+XFILLER_537_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_534_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_458_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74103_ _74092_/X _74118_/B _66105_/A VGND VGND VPWR VPWR _74104_/B sky130_fd_sc_hd__nand3_2
+XFILLER_204_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47051_ _47043_/A _47049_/Y _47051_/C VGND VGND VPWR VPWR _47052_/B sky130_fd_sc_hd__or3_2
+X_59037_ _60209_/A VGND VGND VPWR VPWR _59513_/A sky130_fd_sc_hd__buf_1
+X_71315_ _70903_/A _71314_/X VGND VGND VPWR VPWR _71315_/X sky130_fd_sc_hd__and2_2
+XFILLER_684_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44263_ _44263_/A _44262_/X VGND VGND VPWR VPWR _44263_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_126_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56249_ _79823_/Q _58765_/B VGND VGND VPWR VPWR _56249_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_139_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75083_ _75083_/A VGND VGND VPWR VPWR _75102_/A sky130_fd_sc_hd__buf_1
+XFILLER_536_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79960_ _79961_/CLK _79960_/D VGND VGND VPWR VPWR _66003_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_376_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_328_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41475_ _41103_/A _41478_/B VGND VGND VPWR VPWR _41475_/X sky130_fd_sc_hd__or2_2
+XPHY_34844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72295_ _72803_/A _72295_/B VGND VGND VPWR VPWR _72300_/A sky130_fd_sc_hd__or2_2
+XPHY_25066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_3046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_671_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_619_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46002_ _46002_/A VGND VGND VPWR VPWR _46003_/A sky130_fd_sc_hd__buf_1
+XPHY_34866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43214_ _43217_/A VGND VGND VPWR VPWR _43215_/A sky130_fd_sc_hd__inv_8
+XFILLER_196_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74034_ _74007_/A VGND VGND VPWR VPWR _74045_/B sky130_fd_sc_hd__buf_1
+XFILLER_70_1588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78911_ _79040_/CLK _47090_/Y VGND VGND VPWR VPWR _47086_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_34877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40426_ _40423_/X _40425_/Y VGND VGND VPWR VPWR _40426_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_474_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71246_ _70218_/X _71554_/B VGND VGND VPWR VPWR _71246_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_154_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44194_ _44187_/Y _44194_/B VGND VGND VPWR VPWR _44194_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_13_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79891_ _79902_/CLK _79891_/D VGND VGND VPWR VPWR _65150_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_196_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_315_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_100_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_354_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_100_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_456_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43145_ _43145_/A _46148_/B VGND VGND VPWR VPWR _43145_/X sky130_fd_sc_hd__or2_2
+XFILLER_139_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78842_ _77920_/CLK _78842_/D VGND VGND VPWR VPWR _63696_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_202_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_684_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40357_ _40354_/X _40356_/Y VGND VGND VPWR VPWR _79890_/D sky130_fd_sc_hd__nand2_2
+XFILLER_272_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71177_ _71078_/X _71169_/Y _71176_/Y VGND VGND VPWR VPWR _71177_/X sky130_fd_sc_hd__or3_2
+XPHY_13141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_354_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_5_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_520_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_29_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_374_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_3044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_70128_ _69964_/Y _70128_/B VGND VGND VPWR VPWR _70128_/X sky130_fd_sc_hd__or2_2
+XFILLER_272_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_83_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47953_ _47865_/A _47971_/B VGND VGND VPWR VPWR _47953_/X sky130_fd_sc_hd__or2_2
+XFILLER_452_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43076_ _43070_/C _43076_/B _43076_/C _43209_/A VGND VGND VPWR VPWR _43109_/B sky130_fd_sc_hd__or4_2
+XFILLER_116_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59939_ _76978_/Q _60108_/B VGND VGND VPWR VPWR _59939_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_417_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78773_ _77387_/CLK _47727_/Y VGND VGND VPWR VPWR _78773_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_313_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40288_ _40288_/A _40295_/B VGND VGND VPWR VPWR _40288_/X sky130_fd_sc_hd__or2_2
+XFILLER_257_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75985_ _75865_/A _75992_/B VGND VGND VPWR VPWR _75987_/A sky130_fd_sc_hd__or2_2
+XFILLER_520_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42027_ _42260_/A VGND VGND VPWR VPWR _42036_/B sky130_fd_sc_hd__buf_1
+X_46904_ _46904_/A _46887_/B VGND VGND VPWR VPWR _46906_/B sky130_fd_sc_hd__nor2_2
+XPHY_12473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_532_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77724_ _77714_/CLK _77724_/D VGND VGND VPWR VPWR _61587_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_64_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_312_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62950_ _59060_/X VGND VGND VPWR VPWR _62955_/A sky130_fd_sc_hd__buf_1
+XPHY_12484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74936_ _74934_/X _74936_/B VGND VGND VPWR VPWR _74936_/Y sky130_fd_sc_hd__nand2_2
+X_70059_ _70059_/A VGND VGND VPWR VPWR _70680_/B sky130_fd_sc_hd__buf_1
+XFILLER_313_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47884_ _47855_/A _47884_/B VGND VGND VPWR VPWR _47885_/B sky130_fd_sc_hd__or2_2
+XFILLER_116_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_155_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_469_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_646_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_122_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61901_ _61259_/X _61901_/B _61900_/Y VGND VGND VPWR VPWR _61902_/B sky130_fd_sc_hd__nor3_2
+XFILLER_633_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49623_ _49623_/A _49623_/B VGND VGND VPWR VPWR _49623_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_567_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46835_ _46835_/A VGND VGND VPWR VPWR _46835_/X sky130_fd_sc_hd__buf_1
+XFILLER_469_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_313_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77655_ _77195_/CLK _52028_/Y VGND VGND VPWR VPWR _77655_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_250_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62881_ _49709_/A _63037_/B VGND VGND VPWR VPWR _62881_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_289_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74867_ _74874_/A _74878_/B _80835_/Q VGND VGND VPWR VPWR _74867_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_674_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_250_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_268_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_205_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_485_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_444_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_0_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_627_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_383_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_151_0_u_core.clock clkbuf_8_75_0_u_core.clock/X VGND VGND VPWR VPWR _77295_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_661_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64620_ _56479_/A _64782_/B VGND VGND VPWR VPWR _64620_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_266_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76606_ VGND VGND VPWR VPWR _76606_/HI la_data_out[102] sky130_fd_sc_hd__conb_1
+XFILLER_209_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_463_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61832_ _61832_/A _61208_/B VGND VGND VPWR VPWR _61832_/Y sky130_fd_sc_hd__nor2_2
+X_49554_ _61818_/A _49551_/B VGND VGND VPWR VPWR _49554_/Y sky130_fd_sc_hd__nand2_2
+X_73818_ _73941_/A _73817_/X VGND VGND VPWR VPWR _73823_/A sky130_fd_sc_hd__or2_2
+XFILLER_387_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_110_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46766_ _46716_/X VGND VGND VPWR VPWR _46772_/A sky130_fd_sc_hd__inv_8
+XFILLER_209_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_725_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_368_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77586_ _77584_/CLK _77586_/D VGND VGND VPWR VPWR _77586_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_621_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43978_ _43968_/B _43978_/B _43956_/C VGND VGND VPWR VPWR _43978_/Y sky130_fd_sc_hd__nor3_2
+XPHY_7080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74798_ _76201_/A VGND VGND VPWR VPWR _75406_/A sky130_fd_sc_hd__buf_1
+XFILLER_725_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_76_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_481_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_264_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_23_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48505_ _48505_/A _48505_/B VGND VGND VPWR VPWR _48505_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_615_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79325_ _78931_/CLK _43583_/Y VGND VGND VPWR VPWR _79325_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_252_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45717_ _43537_/C VGND VGND VPWR VPWR _45717_/X sky130_fd_sc_hd__buf_1
+X_64551_ _80912_/Q _64907_/B VGND VGND VPWR VPWR _64551_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_40_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76537_ VGND VGND VPWR VPWR _76537_/HI la_data_out[29] sky130_fd_sc_hd__conb_1
+XFILLER_63_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_188_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_428_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42929_ _42926_/X _42929_/B VGND VGND VPWR VPWR _42929_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_79_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61763_ _61763_/A _61918_/B VGND VGND VPWR VPWR _61764_/C sky130_fd_sc_hd__nor2_2
+X_73749_ _73748_/X VGND VGND VPWR VPWR _73750_/B sky130_fd_sc_hd__buf_1
+X_49485_ _53307_/A _49557_/B VGND VGND VPWR VPWR _49485_/X sky130_fd_sc_hd__or2_2
+XFILLER_502_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_92_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46697_ _41902_/A _46697_/B _46696_/Y VGND VGND VPWR VPWR _46738_/A sky130_fd_sc_hd__or3_2
+XFILLER_428_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_428_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_64_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63502_ _78768_/Q _63901_/B VGND VGND VPWR VPWR _63502_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_91_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60714_ _60247_/A _60712_/Y _60714_/C VGND VGND VPWR VPWR _60715_/C sky130_fd_sc_hd__nor3_2
+X_48436_ _48436_/A _48425_/B VGND VGND VPWR VPWR _48438_/A sky130_fd_sc_hd__nand2_2
+XFILLER_545_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67270_ _67105_/A _67268_/Y _67269_/Y VGND VGND VPWR VPWR _67270_/Y sky130_fd_sc_hd__nor3_2
+X_79256_ _79254_/CLK _44179_/Y VGND VGND VPWR VPWR _79256_/Q sky130_fd_sc_hd__dfxtp_4
+X_45648_ _72010_/A _45638_/B VGND VGND VPWR VPWR _45649_/C sky130_fd_sc_hd__nor2_2
+XFILLER_224_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64482_ _64475_/X _64482_/B _64482_/C VGND VGND VPWR VPWR _64492_/B sky130_fd_sc_hd__nor3_2
+XFILLER_346_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76468_ VGND VGND VPWR VPWR _76468_/HI io_oeb[28] sky130_fd_sc_hd__conb_1
+X_61694_ _61228_/A _61692_/Y _61693_/Y VGND VGND VPWR VPWR _61694_/Y sky130_fd_sc_hd__nor3_2
+XPHY_38171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_36_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_676_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_444_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66221_ _66221_/A _66221_/B _66221_/C VGND VGND VPWR VPWR _66230_/B sky130_fd_sc_hd__nor3_2
+Xclkbuf_8_207_0_u_core.clock clkbuf_8_206_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_415_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_45_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78207_ _78189_/CLK _49934_/Y VGND VGND VPWR VPWR _78207_/Q sky130_fd_sc_hd__dfxtp_4
+X_63433_ _63710_/A _63431_/Y _63433_/C VGND VGND VPWR VPWR _63433_/Y sky130_fd_sc_hd__nor3_2
+X_75419_ _74815_/A _75413_/B VGND VGND VPWR VPWR _75419_/X sky130_fd_sc_hd__or2_2
+XFILLER_14_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48367_ _63985_/A _48367_/B VGND VGND VPWR VPWR _48367_/Y sky130_fd_sc_hd__nand2_2
+X_60645_ _60645_/A _60791_/B VGND VGND VPWR VPWR _60646_/C sky130_fd_sc_hd__nor2_2
+Xclkbuf_9_267_0_u_core.clock clkbuf_9_267_0_u_core.clock/A VGND VGND VPWR VPWR _81343_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_79187_ _79197_/CLK _44825_/Y VGND VGND VPWR VPWR _79187_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_205_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_209_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45579_ _45542_/X VGND VGND VPWR VPWR _45580_/B sky130_fd_sc_hd__buf_1
+X_76399_ _38281_/A _76396_/B VGND VGND VPWR VPWR _76401_/A sky130_fd_sc_hd__or2_2
+XFILLER_324_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_75_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47318_ _47299_/A VGND VGND VPWR VPWR _47348_/A sky130_fd_sc_hd__buf_1
+XFILLER_578_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78138_ _78138_/CLK _78138_/D VGND VGND VPWR VPWR _78138_/Q sky130_fd_sc_hd__dfxtp_4
+X_66152_ _66152_/A VGND VGND VPWR VPWR _66155_/A sky130_fd_sc_hd__buf_1
+XFILLER_20_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63364_ _59891_/A VGND VGND VPWR VPWR _63364_/X sky130_fd_sc_hd__buf_1
+XFILLER_162_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60576_ _60501_/Y _60576_/B VGND VGND VPWR VPWR _60576_/Y sky130_fd_sc_hd__nor2_2
+X_48298_ _47313_/A VGND VGND VPWR VPWR _48927_/A sky130_fd_sc_hd__buf_1
+XFILLER_691_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_127_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65103_ _65103_/A _65103_/B VGND VGND VPWR VPWR _65103_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_377_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62315_ _78257_/Q _62012_/B VGND VGND VPWR VPWR _62315_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_594_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_377_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47249_ _47244_/X _47249_/B _47248_/Y VGND VGND VPWR VPWR _47249_/X sky130_fd_sc_hd__or3_2
+X_66083_ _66083_/A VGND VGND VPWR VPWR _66734_/A sky130_fd_sc_hd__buf_1
+XFILLER_559_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78069_ _78559_/CLK _50460_/Y VGND VGND VPWR VPWR _61737_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_672_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63295_ _60166_/A VGND VGND VPWR VPWR _63295_/X sky130_fd_sc_hd__buf_1
+XFILLER_158_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_539_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_634_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_105_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80100_ _80099_/CLK _80100_/D VGND VGND VPWR VPWR _58054_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_365_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65034_ _65034_/A _65034_/B _65034_/C VGND VGND VPWR VPWR _65038_/B sky130_fd_sc_hd__nor3_2
+XFILLER_570_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69911_ _69802_/Y _69911_/B VGND VGND VPWR VPWR _69912_/B sky130_fd_sc_hd__or2_2
+XFILLER_375_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50260_ _50861_/A VGND VGND VPWR VPWR _50261_/A sky130_fd_sc_hd__buf_1
+XFILLER_619_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62246_ _61931_/A _62246_/B _62246_/C VGND VGND VPWR VPWR _62252_/B sky130_fd_sc_hd__nor3_2
+XFILLER_238_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81080_ _81083_/CLK _81080_/D VGND VGND VPWR VPWR _65921_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_492_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_203_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_359_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_117_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_306_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_533_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80031_ _80031_/CLK _80031_/D VGND VGND VPWR VPWR _80031_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_392_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69842_ _69842_/A _69842_/B _69842_/C VGND VGND VPWR VPWR _69843_/A sky130_fd_sc_hd__or3_2
+XFILLER_711_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50191_ _78138_/Q _50195_/B VGND VGND VPWR VPWR _50191_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_318_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62177_ _62157_/Y _62176_/Y VGND VGND VPWR VPWR _62177_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_255_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_195_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_121_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_246_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_145_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_322_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_157_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_468_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61128_ _61128_/A _60972_/X VGND VGND VPWR VPWR _61128_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_585_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38953_ _38581_/X _38965_/B VGND VGND VPWR VPWR _38955_/A sky130_fd_sc_hd__or2_2
+XFILLER_533_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69773_ _55897_/B _69768_/X _69773_/C VGND VGND VPWR VPWR _69774_/C sky130_fd_sc_hd__nor3_2
+XFILLER_467_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66985_ _66163_/A VGND VGND VPWR VPWR _67025_/A sky130_fd_sc_hd__buf_1
+XFILLER_315_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_687_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_550_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_303_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68724_ _68724_/A _68724_/B _68723_/Y VGND VGND VPWR VPWR _68728_/B sky130_fd_sc_hd__nor3_2
+XFILLER_413_2776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_288_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53950_ _77148_/Q _53964_/B VGND VGND VPWR VPWR _53950_/Y sky130_fd_sc_hd__nand2_2
+X_61059_ _60593_/A _61057_/Y _61059_/C VGND VGND VPWR VPWR _61060_/C sky130_fd_sc_hd__nor3_2
+X_65936_ _81208_/Q _65616_/B VGND VGND VPWR VPWR _65937_/C sky130_fd_sc_hd__nor2_2
+XFILLER_134_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38884_ _38921_/A VGND VGND VPWR VPWR _38900_/A sky130_fd_sc_hd__buf_1
+XFILLER_648_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_511_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_87_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_476_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_64_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_676_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52901_ _60436_/A _52898_/B VGND VGND VPWR VPWR _52901_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_491_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80933_ _80911_/CLK _74445_/Y VGND VGND VPWR VPWR _68076_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_468_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68655_ _68488_/A _68653_/Y _68654_/Y VGND VGND VPWR VPWR _68655_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_268_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53881_ _53853_/A _53855_/X VGND VGND VPWR VPWR _53882_/B sky130_fd_sc_hd__or2_2
+XFILLER_680_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65867_ _65375_/A _65825_/Y _65866_/Y VGND VGND VPWR VPWR _65868_/C sky130_fd_sc_hd__nor3_2
+XFILLER_151_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_708_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_5_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_436_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_25_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55620_ _55646_/A _55626_/A _55516_/A VGND VGND VPWR VPWR _55620_/X sky130_fd_sc_hd__o21a_4
+XFILLER_683_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67606_ _67606_/A _67780_/B VGND VGND VPWR VPWR _67606_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_691_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52832_ _61054_/A _52832_/B VGND VGND VPWR VPWR _52832_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_329_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64818_ _80017_/Q _64190_/B VGND VGND VPWR VPWR _64818_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_288_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80864_ _80863_/CLK _74740_/Y VGND VGND VPWR VPWR _80864_/Q sky130_fd_sc_hd__dfxtp_4
+X_68586_ _68586_/A _69063_/B VGND VGND VPWR VPWR _68586_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_509_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_68_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65798_ _65798_/A _65794_/Y _65797_/Y VGND VGND VPWR VPWR _65798_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_228_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_132_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_2776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_167_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39505_ _39505_/A _39505_/B _58667_/A VGND VGND VPWR VPWR _39506_/B sky130_fd_sc_hd__nand3_2
+XFILLER_416_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_626_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67537_ _67375_/A _67537_/B _67536_/Y VGND VGND VPWR VPWR _67537_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_432_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55551_ _55551_/A _45168_/B VGND VGND VPWR VPWR _55552_/C sky130_fd_sc_hd__nor2_2
+XFILLER_642_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52763_ _52763_/A VGND VGND VPWR VPWR _52883_/A sky130_fd_sc_hd__buf_1
+XFILLER_524_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64749_ _64541_/A _64742_/Y _64749_/C VGND VGND VPWR VPWR _64757_/B sky130_fd_sc_hd__nor3_2
+XFILLER_412_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_382_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80795_ _80751_/CLK _75018_/Y VGND VGND VPWR VPWR _66394_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_490_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54502_ _54502_/A _54505_/B VGND VGND VPWR VPWR _54504_/A sky130_fd_sc_hd__nand2_2
+XFILLER_633_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51714_ _51714_/A _51710_/B VGND VGND VPWR VPWR _51714_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_481_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39436_ _39433_/X _39435_/Y VGND VGND VPWR VPWR _80127_/D sky130_fd_sc_hd__nand2_2
+XFILLER_77_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58270_ _58352_/A _58270_/B _58270_/C VGND VGND VPWR VPWR _58271_/C sky130_fd_sc_hd__nor3_2
+XPHY_18119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_607_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_54_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55482_ _55363_/A _55481_/Y VGND VGND VPWR VPWR _55482_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_446_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67468_ _67151_/A _67464_/Y _67468_/C VGND VGND VPWR VPWR _67469_/C sky130_fd_sc_hd__nor3_2
+XFILLER_427_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52694_ _52694_/A _52693_/X VGND VGND VPWR VPWR _52694_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_578_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_243_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_203_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_180_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57221_ _66137_/A _57220_/X VGND VGND VPWR VPWR _57221_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_659_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69207_ _64240_/A _69207_/B _69207_/C VGND VGND VPWR VPWR _69208_/C sky130_fd_sc_hd__nor3_2
+XFILLER_230_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_592_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_420_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_58_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54433_ _54433_/A VGND VGND VPWR VPWR _54448_/B sky130_fd_sc_hd__buf_1
+XPHY_17418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66419_ _66419_/A _66574_/B VGND VGND VPWR VPWR _66419_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_621_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51645_ _51643_/Y _51644_/X VGND VGND VPWR VPWR _51645_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_36_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39367_ _39361_/X _39367_/B VGND VGND VPWR VPWR _39367_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_360_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_196_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67399_ _67901_/A _67399_/B _67399_/C VGND VGND VPWR VPWR _67399_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_242_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_519_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_431_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_1525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38318_ _38305_/A _38305_/B _38318_/C VGND VGND VPWR VPWR _38319_/B sky130_fd_sc_hd__nand3_2
+XFILLER_342_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81416_ _81385_/CLK _72496_/Y VGND VGND VPWR VPWR _81416_/Q sky130_fd_sc_hd__dfxtp_4
+X_57152_ _80025_/Q _57151_/X VGND VGND VPWR VPWR _57155_/B sky130_fd_sc_hd__nor2_2
+XFILLER_377_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_659_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69138_ _69138_/A _69138_/B _69138_/C VGND VGND VPWR VPWR _69139_/D sky130_fd_sc_hd__nor3_2
+XPHY_1603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54364_ _54364_/A _54364_/B VGND VGND VPWR VPWR _54364_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_24_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39298_ _39156_/A _39301_/B VGND VGND VPWR VPWR _39298_/X sky130_fd_sc_hd__or2_2
+X_51576_ _51663_/A _51567_/X VGND VGND VPWR VPWR _51576_/X sky130_fd_sc_hd__or2_2
+XFILLER_23_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_557_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_357_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_10_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56103_ _56089_/A _56103_/B VGND VGND VPWR VPWR _56104_/C sky130_fd_sc_hd__nor2_2
+XFILLER_385_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53315_ _53315_/A _53331_/B VGND VGND VPWR VPWR _53315_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_221_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_633_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_168_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38249_ _38304_/A VGND VGND VPWR VPWR _38260_/B sky130_fd_sc_hd__buf_1
+XFILLER_574_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50527_ _62505_/A _50519_/X VGND VGND VPWR VPWR _50527_/Y sky130_fd_sc_hd__nand2_2
+X_57083_ _57083_/A _57082_/Y VGND VGND VPWR VPWR _57101_/B sky130_fd_sc_hd__nor2_2
+XFILLER_518_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81347_ _81343_/CLK _81347_/D VGND VGND VPWR VPWR _72756_/C sky130_fd_sc_hd__dfxtp_4
+X_69069_ _68924_/A _69061_/Y _69068_/Y VGND VGND VPWR VPWR _69069_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_545_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54295_ _54295_/A _54295_/B VGND VGND VPWR VPWR _77058_/D sky130_fd_sc_hd__nand2_2
+XFILLER_137_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_320_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_14_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_722_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71100_ _69865_/X _71069_/X _69884_/X _42501_/B VGND VGND VPWR VPWR _44709_/B sky130_fd_sc_hd__o22a_4
+XFILLER_492_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_30_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56034_ _45253_/Y _55986_/B VGND VGND VPWR VPWR _56036_/B sky130_fd_sc_hd__nor2_2
+XFILLER_401_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41260_ _41260_/A _41264_/B _64954_/A VGND VGND VPWR VPWR _41260_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_136_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53246_ _59233_/A _53243_/B VGND VGND VPWR VPWR _53248_/A sky130_fd_sc_hd__nand2_2
+X_72080_ _72135_/A _72079_/X VGND VGND VPWR VPWR _72080_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_221_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_516_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50458_ _61737_/A _50458_/B VGND VGND VPWR VPWR _50460_/A sky130_fd_sc_hd__nand2_2
+X_81278_ _81273_/CLK _81278_/D VGND VGND VPWR VPWR _66935_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_69_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_531_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_65_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_319_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40211_ _40209_/X _40210_/Y VGND VGND VPWR VPWR _79929_/D sky130_fd_sc_hd__nand2_2
+XFILLER_13_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71031_ _71031_/A _70892_/X _71030_/Y VGND VGND VPWR VPWR _71031_/X sky130_fd_sc_hd__or3_2
+XFILLER_418_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_633_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80229_ _80226_/CLK _80229_/D VGND VGND VPWR VPWR _80229_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_516_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41191_ _41149_/A VGND VGND VPWR VPWR _41192_/A sky130_fd_sc_hd__buf_1
+XFILLER_414_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53177_ _54983_/A VGND VGND VPWR VPWR _53213_/A sky130_fd_sc_hd__buf_1
+XPHY_32749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50389_ _50271_/A _50389_/B VGND VGND VPWR VPWR _50389_/X sky130_fd_sc_hd__or2_2
+XFILLER_238_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_273_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_614_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40142_ _40142_/A _40142_/B VGND VGND VPWR VPWR _40144_/A sky130_fd_sc_hd__or2_2
+XPHY_9911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52128_ _77627_/Q _52138_/B VGND VGND VPWR VPWR _52128_/Y sky130_fd_sc_hd__nand2_2
+XPHY_21514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_453_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57985_ _67792_/A _57826_/X VGND VGND VPWR VPWR _57987_/B sky130_fd_sc_hd__nor2_2
+XFILLER_297_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_254_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_215_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_47_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_123_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59724_ _77144_/Q _59403_/B VGND VGND VPWR VPWR _59726_/B sky130_fd_sc_hd__nor2_2
+XFILLER_65_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40073_ _40071_/X _40072_/Y VGND VGND VPWR VPWR _79966_/D sky130_fd_sc_hd__nand2_2
+X_44950_ _45413_/A _44949_/Y VGND VGND VPWR VPWR _44951_/B sky130_fd_sc_hd__nor2_2
+XPHY_9966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52059_ _77646_/Q _52059_/B VGND VGND VPWR VPWR _52059_/Y sky130_fd_sc_hd__nand2_2
+X_56936_ _80054_/Q _57170_/B VGND VGND VPWR VPWR _56937_/C sky130_fd_sc_hd__nor2_2
+XPHY_20824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75770_ _75755_/X _75774_/B _75770_/C VGND VGND VPWR VPWR _75771_/B sky130_fd_sc_hd__nand3_2
+XPHY_21569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_340_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_120_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72982_ _73023_/A VGND VGND VPWR VPWR _72989_/A sky130_fd_sc_hd__buf_1
+XPHY_20835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_644_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_28_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43901_ _43871_/A _43894_/Y _43900_/Y VGND VGND VPWR VPWR _43901_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_120_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74721_ _74721_/A _74720_/X _80868_/Q VGND VGND VPWR VPWR _74721_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_132_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59655_ _59655_/A _59654_/Y VGND VGND VPWR VPWR _59656_/B sky130_fd_sc_hd__nor2_2
+X_71933_ _70085_/X _71906_/B _71932_/X VGND VGND VPWR VPWR _71933_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_172_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44881_ _44892_/A _44880_/Y VGND VGND VPWR VPWR _44881_/X sky130_fd_sc_hd__and2_2
+XFILLER_78_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56867_ _80277_/Q _56945_/B VGND VGND VPWR VPWR _56868_/C sky130_fd_sc_hd__nor2_2
+XFILLER_310_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_726_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_49_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_111_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_670_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_365_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46620_ _46626_/A VGND VGND VPWR VPWR _46620_/X sky130_fd_sc_hd__buf_1
+XPHY_10378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58606_ _58373_/A _58606_/B _58606_/C VGND VGND VPWR VPWR _58606_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_412_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77440_ _77454_/CLK _77440_/D VGND VGND VPWR VPWR _60899_/A sky130_fd_sc_hd__dfxtp_4
+X_43832_ _43803_/B VGND VGND VPWR VPWR _43832_/Y sky130_fd_sc_hd__inv_8
+XFILLER_627_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55818_ _51164_/A _55818_/B VGND VGND VPWR VPWR _55819_/B sky130_fd_sc_hd__or2_2
+X_74652_ _74652_/A _74651_/Y VGND VGND VPWR VPWR _80882_/D sky130_fd_sc_hd__nand2_2
+XFILLER_130_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_1_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71864_ _71864_/A _42974_/A VGND VGND VPWR VPWR _71864_/X sky130_fd_sc_hd__or2_2
+X_59586_ _59912_/A _59586_/B _59586_/C VGND VGND VPWR VPWR _59609_/A sky130_fd_sc_hd__nor3_2
+XFILLER_382_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_43_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56798_ _58509_/A VGND VGND VPWR VPWR _56798_/X sky130_fd_sc_hd__buf_1
+XFILLER_213_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_41_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_584_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_207_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_369_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_92_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_21_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73603_ _73530_/A VGND VGND VPWR VPWR _73614_/B sky130_fd_sc_hd__buf_1
+XFILLER_707_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70815_ _70814_/Y _70815_/B VGND VGND VPWR VPWR _70818_/B sky130_fd_sc_hd__nor2_2
+X_46551_ _41851_/B _46551_/B VGND VGND VPWR VPWR _46551_/X sky130_fd_sc_hd__or2_2
+XFILLER_46_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58537_ _58537_/A VGND VGND VPWR VPWR _58538_/B sky130_fd_sc_hd__buf_1
+XFILLER_284_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77371_ _77584_/CLK _77371_/D VGND VGND VPWR VPWR _53086_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43763_ _43674_/X _43763_/B _43763_/C VGND VGND VPWR VPWR _43764_/C sky130_fd_sc_hd__nor3_2
+X_55749_ _55740_/A VGND VGND VPWR VPWR _55756_/B sky130_fd_sc_hd__buf_1
+XPHY_19310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74583_ _74580_/A _74568_/B _80901_/Q VGND VGND VPWR VPWR _74583_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_322_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_435_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40975_ _40982_/A _40975_/B _56977_/A VGND VGND VPWR VPWR _40975_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71795_ _71795_/A _71795_/B VGND VGND VPWR VPWR _71795_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_644_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_660_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79110_ _79122_/CLK _79110_/D VGND VGND VPWR VPWR _79110_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_65_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45502_ _45477_/A _45499_/Y _45501_/Y VGND VGND VPWR VPWR _45502_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_612_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_163_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76322_ _76318_/A _76321_/X _80469_/Q VGND VGND VPWR VPWR _76322_/Y sky130_fd_sc_hd__nand3_2
+XPHY_19343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_622_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42714_ _55207_/A _42704_/B VGND VGND VPWR VPWR _42722_/B sky130_fd_sc_hd__nor2_2
+XFILLER_699_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49270_ _49268_/Y _49269_/X VGND VGND VPWR VPWR _78377_/D sky130_fd_sc_hd__nand2_2
+XFILLER_245_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73534_ _73531_/A _73531_/B _68563_/A VGND VGND VPWR VPWR _73534_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46482_ _46482_/A _46482_/B VGND VGND VPWR VPWR _46483_/C sky130_fd_sc_hd__nor2_2
+XFILLER_499_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58468_ _58217_/A _58468_/B VGND VGND VPWR VPWR _58469_/C sky130_fd_sc_hd__nor2_2
+X_70746_ _70733_/B _71937_/A VGND VGND VPWR VPWR _70747_/A sky130_fd_sc_hd__or2_2
+XFILLER_265_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_120 _71053_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_37_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43694_ _43815_/A VGND VGND VPWR VPWR _68024_/A sky130_fd_sc_hd__inv_8
+XPHY_4240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_131 _71488_/C VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_720_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_142 _71613_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_243_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48221_ _48221_/A _48220_/X VGND VGND VPWR VPWR _48221_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_442_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_363_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79041_ _76720_/CLK _79041_/D VGND VGND VPWR VPWR _71986_/A sky130_fd_sc_hd__dfxtp_4
+XANTENNA_153 _75525_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57419_ _57419_/A _57419_/B VGND VGND VPWR VPWR _57420_/C sky130_fd_sc_hd__nor2_2
+XFILLER_128_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45433_ io_out[25] _45403_/B VGND VGND VPWR VPWR _45433_/X sky130_fd_sc_hd__or2_2
+X_76253_ _76251_/X _76253_/B VGND VGND VPWR VPWR _76253_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42645_ _42645_/A _42644_/X VGND VGND VPWR VPWR _42645_/Y sky130_fd_sc_hd__nor2_2
+X_73465_ _73465_/A _73464_/X VGND VGND VPWR VPWR _81178_/D sky130_fd_sc_hd__nand2_2
+XANTENNA_164 _73236_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_215_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_497_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58399_ _58399_/A VGND VGND VPWR VPWR _58645_/A sky130_fd_sc_hd__buf_1
+XFILLER_280_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70677_ _70677_/A _70675_/X _70677_/C VGND VGND VPWR VPWR _70677_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_226_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_480_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_175 _74819_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_579_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_186 _72790_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_410_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75204_ _75204_/A VGND VGND VPWR VPWR _75242_/A sky130_fd_sc_hd__buf_1
+XFILLER_493_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_197 _76224_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48152_ _48214_/A _48164_/B VGND VGND VPWR VPWR _48152_/X sky130_fd_sc_hd__or2_2
+X_60430_ _59626_/A VGND VGND VPWR VPWR _60440_/A sky130_fd_sc_hd__buf_1
+XPHY_3572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72416_ _72412_/X _72415_/Y VGND VGND VPWR VPWR _81437_/D sky130_fd_sc_hd__nand2_2
+XPHY_18697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45364_ _45133_/X _45364_/B _45363_/Y VGND VGND VPWR VPWR _45364_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_200_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76184_ _38314_/A _76184_/B VGND VGND VPWR VPWR _76184_/X sky130_fd_sc_hd__or2_2
+XFILLER_509_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42576_ _42576_/A VGND VGND VPWR VPWR _42576_/X sky130_fd_sc_hd__buf_1
+XFILLER_42_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_81_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73396_ _73396_/A _73396_/B VGND VGND VPWR VPWR _73396_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_57_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_458_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47103_ _46441_/B _47103_/B VGND VGND VPWR VPWR _47103_/X sky130_fd_sc_hd__or2_2
+XFILLER_197_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44315_ _44285_/A _44310_/Y _44315_/C VGND VGND VPWR VPWR _79239_/D sky130_fd_sc_hd__nor3_2
+XPHY_2871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75135_ _75131_/A _75124_/B _75135_/C VGND VGND VPWR VPWR _75136_/B sky130_fd_sc_hd__nand3_2
+X_41527_ _41019_/A _41527_/B VGND VGND VPWR VPWR _41527_/X sky130_fd_sc_hd__or2_2
+XFILLER_31_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60361_ _60361_/A _60361_/B VGND VGND VPWR VPWR _60361_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_509_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48083_ _48074_/A VGND VGND VPWR VPWR _48093_/B sky130_fd_sc_hd__buf_1
+X_72347_ _72346_/X VGND VGND VPWR VPWR _72463_/B sky130_fd_sc_hd__buf_1
+XFILLER_278_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_187_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_651_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_348_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45295_ _45322_/A _45294_/Y VGND VGND VPWR VPWR _45295_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_391_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_393_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_3111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_239_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62100_ _78263_/Q _61940_/B VGND VGND VPWR VPWR _62100_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_725_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47034_ _47034_/A _47034_/B VGND VGND VPWR VPWR _47035_/B sky130_fd_sc_hd__and2_2
+XFILLER_201_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44246_ _44261_/A _44251_/A VGND VGND VPWR VPWR _44246_/X sky130_fd_sc_hd__and2_2
+XFILLER_239_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79943_ _79961_/CLK _79943_/D VGND VGND VPWR VPWR _79943_/Q sky130_fd_sc_hd__dfxtp_4
+X_63080_ _62453_/X _63076_/Y _63079_/Y VGND VGND VPWR VPWR _63080_/Y sky130_fd_sc_hd__nor3_2
+X_75066_ _75066_/A _75065_/Y VGND VGND VPWR VPWR _80782_/D sky130_fd_sc_hd__nand2_2
+XFILLER_475_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41458_ _41453_/X _41454_/X _57603_/A VGND VGND VPWR VPWR _41458_/Y sky130_fd_sc_hd__nand3_2
+XPHY_34674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60292_ _77332_/Q _59648_/B VGND VGND VPWR VPWR _60293_/C sky130_fd_sc_hd__nor2_2
+XFILLER_278_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72278_ _72932_/A VGND VGND VPWR VPWR _72790_/A sky130_fd_sc_hd__buf_1
+XPHY_24151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_139_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_362_137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_174_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_220_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_452_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62031_ _62031_/A _61409_/B VGND VGND VPWR VPWR _62031_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74017_ _74694_/A VGND VGND VPWR VPWR _74179_/A sky130_fd_sc_hd__buf_1
+XFILLER_347_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40409_ _40409_/A VGND VGND VPWR VPWR _40423_/B sky130_fd_sc_hd__buf_1
+XFILLER_474_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71229_ _70206_/A _69562_/A VGND VGND VPWR VPWR _71239_/B sky130_fd_sc_hd__nor2_2
+XFILLER_678_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44177_ _44229_/A _44182_/A VGND VGND VPWR VPWR _44177_/X sky130_fd_sc_hd__and2_2
+XFILLER_259_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79874_ _79940_/CLK _79874_/D VGND VGND VPWR VPWR _40429_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_83_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41389_ _41269_/A _41389_/B VGND VGND VPWR VPWR _41389_/X sky130_fd_sc_hd__or2_2
+XFILLER_316_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_237_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_651_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_100_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43128_ _43117_/X VGND VGND VPWR VPWR _43128_/Y sky130_fd_sc_hd__inv_8
+XFILLER_679_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78825_ _78823_/CLK _47515_/Y VGND VGND VPWR VPWR _78825_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_255_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_170_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_449_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48985_ _49043_/A _52819_/A VGND VGND VPWR VPWR _48988_/A sky130_fd_sc_hd__or2_2
+XFILLER_693_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_29_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_3076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_139_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_610_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47936_ _47847_/A _47930_/B VGND VGND VPWR VPWR _47936_/X sky130_fd_sc_hd__or2_2
+X_43059_ _43044_/X VGND VGND VPWR VPWR _43062_/C sky130_fd_sc_hd__inv_8
+XFILLER_139_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66770_ _66283_/A _66767_/Y _66770_/C VGND VGND VPWR VPWR _66774_/B sky130_fd_sc_hd__nor3_2
+XFILLER_370_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78756_ _78756_/CLK _47794_/Y VGND VGND VPWR VPWR _64035_/A sky130_fd_sc_hd__dfxtp_4
+X_63982_ _48425_/A _59485_/B VGND VGND VPWR VPWR _63982_/Y sky130_fd_sc_hd__nor2_2
+X_75968_ _75968_/A _75968_/B VGND VGND VPWR VPWR _80554_/D sky130_fd_sc_hd__nand2_2
+XFILLER_452_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_190_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65721_ _65721_/A _65886_/B VGND VGND VPWR VPWR _65723_/B sky130_fd_sc_hd__nor2_2
+XFILLER_469_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77707_ _77705_/CLK _51832_/Y VGND VGND VPWR VPWR _77707_/Q sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_7_106_0_u_core.clock clkbuf_6_53_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_106_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_300_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62933_ _60590_/A VGND VGND VPWR VPWR _63554_/A sky130_fd_sc_hd__buf_1
+X_74919_ _74915_/A _74919_/B _74919_/C VGND VGND VPWR VPWR _74920_/B sky130_fd_sc_hd__nand3_2
+X_47867_ _47867_/A _47860_/B VGND VGND VPWR VPWR _47870_/A sky130_fd_sc_hd__nand2_2
+XFILLER_512_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_64_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78687_ _78186_/CLK _48064_/Y VGND VGND VPWR VPWR _78687_/Q sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_8_166_0_u_core.clock clkbuf_7_83_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_333_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_662_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75899_ _75889_/A _75889_/B _80572_/Q VGND VGND VPWR VPWR _75900_/B sky130_fd_sc_hd__nand3_2
+XFILLER_367_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_418_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_268_185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_708_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49606_ _49604_/Y _49605_/X VGND VGND VPWR VPWR _78295_/D sky130_fd_sc_hd__nand2_2
+XFILLER_348_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68440_ _68440_/A _68439_/X VGND VGND VPWR VPWR _68442_/B sky130_fd_sc_hd__nor2_2
+XFILLER_211_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_113_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65652_ _65652_/A _65312_/B VGND VGND VPWR VPWR _65652_/Y sky130_fd_sc_hd__nor2_2
+X_46818_ _43185_/A _46818_/B VGND VGND VPWR VPWR _46818_/Y sky130_fd_sc_hd__nor2_2
+X_77638_ _77716_/CLK _52089_/Y VGND VGND VPWR VPWR _77638_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62864_ _62864_/A _62864_/B _62863_/Y VGND VGND VPWR VPWR _62868_/B sky130_fd_sc_hd__nor3_2
+XFILLER_408_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47798_ _63773_/A _47791_/B VGND VGND VPWR VPWR _47801_/A sky130_fd_sc_hd__nand2_2
+XPHY_10890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64603_ _64840_/A VGND VGND VPWR VPWR _68989_/A sky130_fd_sc_hd__buf_1
+XFILLER_110_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_348_1535 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49537_ _49535_/Y _49537_/B VGND VGND VPWR VPWR _78315_/D sky130_fd_sc_hd__nand2_2
+X_61815_ _61815_/A _61657_/B VGND VGND VPWR VPWR _61815_/Y sky130_fd_sc_hd__nor2_2
+X_68371_ _80487_/Q _68048_/B VGND VGND VPWR VPWR _68373_/B sky130_fd_sc_hd__nor2_2
+XFILLER_589_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46749_ _43035_/A _46753_/A VGND VGND VPWR VPWR _46750_/C sky130_fd_sc_hd__nor2_2
+XFILLER_228_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65583_ _65583_/A _65906_/B VGND VGND VPWR VPWR _65583_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_232_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77569_ _78535_/CLK _77569_/D VGND VGND VPWR VPWR _61112_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_621_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_580_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62795_ _62795_/A _62795_/B VGND VGND VPWR VPWR _62795_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_267_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_168_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_129_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_348_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_604_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67322_ _66992_/A _67322_/B _67322_/C VGND VGND VPWR VPWR _67323_/C sky130_fd_sc_hd__nor3_2
+X_79308_ _79315_/CLK _79308_/D VGND VGND VPWR VPWR _71892_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_185_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64534_ _64461_/A VGND VGND VPWR VPWR _68194_/A sky130_fd_sc_hd__buf_1
+XFILLER_365_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_36_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61746_ _61242_/X _61745_/Y VGND VGND VPWR VPWR _61746_/Y sky130_fd_sc_hd__nor2_2
+X_49468_ _49438_/A _49477_/B VGND VGND VPWR VPWR _49468_/X sky130_fd_sc_hd__or2_2
+X_80580_ _80577_/CLK _80580_/D VGND VGND VPWR VPWR _75871_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_506_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_59_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_80_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39221_ _39221_/A _39212_/X VGND VGND VPWR VPWR _39221_/X sky130_fd_sc_hd__or2_2
+XFILLER_440_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_498_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_603_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48419_ _62940_/A _48413_/B VGND VGND VPWR VPWR _48421_/A sky130_fd_sc_hd__nand2_2
+XFILLER_695_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67253_ _67406_/A _67253_/B _67252_/Y VGND VGND VPWR VPWR _67257_/B sky130_fd_sc_hd__nor3_2
+X_79239_ _79271_/CLK _79239_/D VGND VGND VPWR VPWR _44033_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_94_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64465_ _66550_/A VGND VGND VPWR VPWR _64465_/X sky130_fd_sc_hd__buf_1
+XFILLER_24_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61677_ _61677_/A _61208_/B VGND VGND VPWR VPWR _61677_/Y sky130_fd_sc_hd__nor2_2
+X_49399_ _53221_/A _55795_/A VGND VGND VPWR VPWR _49399_/X sky130_fd_sc_hd__or2_2
+XFILLER_224_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_40_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_593_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_304_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66204_ _66528_/A _66162_/Y _66204_/C VGND VGND VPWR VPWR _66205_/C sky130_fd_sc_hd__nor3_2
+XFILLER_320_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39152_ _39176_/A VGND VGND VPWR VPWR _39159_/B sky130_fd_sc_hd__buf_1
+X_51430_ _60721_/A _51435_/B VGND VGND VPWR VPWR _51434_/A sky130_fd_sc_hd__nand2_2
+XFILLER_196_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63416_ _63411_/X _63413_/Y _63415_/Y VGND VGND VPWR VPWR _63416_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_55_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_127_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_366_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60628_ _60628_/A _60628_/B VGND VGND VPWR VPWR _60628_/Y sky130_fd_sc_hd__nor2_2
+X_67184_ _80287_/Q _67184_/B VGND VGND VPWR VPWR _67186_/B sky130_fd_sc_hd__nor2_2
+XFILLER_528_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64396_ _64389_/X _64396_/B _64396_/C VGND VGND VPWR VPWR _64396_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_367_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_300_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_220_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81201_ _81201_/CLK _73376_/Y VGND VGND VPWR VPWR _64760_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_637_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_222_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66135_ _66132_/X _66135_/B _66135_/C VGND VGND VPWR VPWR _66135_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_402_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39083_ _39081_/X _39082_/Y VGND VGND VPWR VPWR _80212_/D sky130_fd_sc_hd__nand2_2
+X_63347_ _63347_/A _63346_/Y VGND VGND VPWR VPWR _63348_/B sky130_fd_sc_hd__nor2_2
+X_51361_ _51391_/A _51361_/B VGND VGND VPWR VPWR _51361_/X sky130_fd_sc_hd__or2_2
+XFILLER_695_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60559_ _60399_/A _60559_/B _60558_/Y VGND VGND VPWR VPWR _60559_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_20_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_162_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_719_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53100_ _53072_/A _53103_/B VGND VGND VPWR VPWR _53101_/B sky130_fd_sc_hd__or2_2
+XFILLER_359_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_552_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50312_ _50311_/X VGND VGND VPWR VPWR _50312_/X sky130_fd_sc_hd__buf_1
+XFILLER_140_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81132_ _80790_/CLK _73640_/Y VGND VGND VPWR VPWR _81132_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_582_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54080_ _59881_/A _54080_/B VGND VGND VPWR VPWR _54084_/A sky130_fd_sc_hd__nand2_2
+XFILLER_140_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66066_ _66066_/A _66066_/B _66066_/C VGND VGND VPWR VPWR _66070_/B sky130_fd_sc_hd__nor3_2
+XFILLER_555_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51292_ _51308_/B VGND VGND VPWR VPWR _51305_/B sky130_fd_sc_hd__buf_1
+X_63278_ _60935_/A VGND VGND VPWR VPWR _63709_/B sky130_fd_sc_hd__buf_1
+XFILLER_299_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_539_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_175_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_454_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_101_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53031_ _53031_/A _53049_/B VGND VGND VPWR VPWR _53031_/X sky130_fd_sc_hd__or2_2
+XFILLER_476_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_457_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65017_ _65017_/A _65017_/B _65017_/C VGND VGND VPWR VPWR _65018_/B sky130_fd_sc_hd__nor3_2
+XFILLER_119_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_192_368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50243_ _50847_/A VGND VGND VPWR VPWR _50245_/A sky130_fd_sc_hd__buf_1
+XFILLER_632_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62229_ _78184_/Q _62075_/B VGND VGND VPWR VPWR _62231_/B sky130_fd_sc_hd__nor2_2
+XFILLER_689_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81063_ _81162_/CLK _73902_/Y VGND VGND VPWR VPWR _68390_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_570_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_523_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_94_0_u_core.clock clkbuf_9_95_0_u_core.clock/A VGND VGND VPWR VPWR _78386_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_257_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_175_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_362_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_257_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_337_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80014_ _79947_/CLK _80014_/D VGND VGND VPWR VPWR _39893_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_134_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69825_ _55886_/B _69825_/B VGND VGND VPWR VPWR _69825_/Y sky130_fd_sc_hd__nor2_2
+XPHY_9218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50174_ _50116_/A _50168_/B VGND VGND VPWR VPWR _50174_/X sky130_fd_sc_hd__or2_2
+XFILLER_552_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_530_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39985_ _39985_/A VGND VGND VPWR VPWR _39985_/X sky130_fd_sc_hd__buf_1
+XPHY_9229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_251_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_431_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38936_ _38926_/A _38926_/B _80251_/Q VGND VGND VPWR VPWR _38936_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_511_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_311_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_47_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57770_ _57450_/X _57770_/B _57770_/C VGND VGND VPWR VPWR _57770_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_689_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69756_ _55901_/C _69755_/X VGND VGND VPWR VPWR _69756_/X sky130_fd_sc_hd__and2_2
+XFILLER_322_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54982_ _59564_/A _54985_/B VGND VGND VPWR VPWR _54982_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_9_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66968_ _57586_/A _66800_/X VGND VGND VPWR VPWR _66968_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_288_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_704_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_628_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_511_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_637_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_273_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56721_ _65114_/A _56721_/B VGND VGND VPWR VPWR _56721_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_25_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68707_ _68374_/A _68703_/Y _68707_/C VGND VGND VPWR VPWR _68717_/B sky130_fd_sc_hd__nor3_2
+XFILLER_565_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53933_ _53635_/A VGND VGND VPWR VPWR _53934_/A sky130_fd_sc_hd__buf_1
+XFILLER_385_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65919_ _65598_/A _65917_/Y _65919_/C VGND VGND VPWR VPWR _65919_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_366_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_314_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38867_ _38864_/X _38881_/B _38867_/C VGND VGND VPWR VPWR _38867_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_436_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69687_ _69687_/A _69711_/B VGND VGND VPWR VPWR _69687_/X sky130_fd_sc_hd__or2_2
+XPHY_7838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_665_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_112_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66899_ _80510_/Q _66725_/B VGND VGND VPWR VPWR _66900_/C sky130_fd_sc_hd__nor2_2
+XFILLER_448_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_436_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59440_ _59440_/A _59438_/Y _59439_/Y VGND VGND VPWR VPWR _59441_/C sky130_fd_sc_hd__nor3_2
+XFILLER_75_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80916_ _80884_/CLK _74521_/Y VGND VGND VPWR VPWR _65267_/A sky130_fd_sc_hd__dfxtp_4
+X_56652_ _56488_/A _56650_/Y _56652_/C VGND VGND VPWR VPWR _56656_/B sky130_fd_sc_hd__nor3_2
+XFILLER_288_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_524_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68638_ _79976_/Q _68304_/B VGND VGND VPWR VPWR _68639_/C sky130_fd_sc_hd__nor2_2
+XFILLER_436_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53864_ _59951_/A _53856_/X VGND VGND VPWR VPWR _53867_/A sky130_fd_sc_hd__nand2_2
+XPHY_39619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_249_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38798_ _38805_/A _38798_/B _80288_/Q VGND VGND VPWR VPWR _38798_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_528_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55603_ io_in[27] _55555_/A VGND VGND VPWR VPWR _55604_/B sky130_fd_sc_hd__or2_2
+XFILLER_186_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_446_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52815_ _52813_/Y _52814_/X VGND VGND VPWR VPWR _77446_/D sky130_fd_sc_hd__nand2_2
+XFILLER_249_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59371_ _59534_/A _59331_/Y _59370_/Y VGND VGND VPWR VPWR _59371_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_27_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56583_ _56583_/A _56669_/B VGND VGND VPWR VPWR _56583_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_142_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80847_ _80845_/CLK _80847_/D VGND VGND VPWR VPWR _64145_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_546_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68569_ _68569_/A VGND VGND VPWR VPWR _68906_/A sky130_fd_sc_hd__buf_1
+XFILLER_483_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53795_ _59114_/A _53791_/B VGND VGND VPWR VPWR _53798_/A sky130_fd_sc_hd__nand2_2
+XFILLER_426_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58322_ _58322_/A _58320_/Y _58322_/C VGND VGND VPWR VPWR _58322_/Y sky130_fd_sc_hd__nor3_2
+X_70600_ _70566_/X _70576_/Y VGND VGND VPWR VPWR _70600_/X sky130_fd_sc_hd__and2_2
+XFILLER_621_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_262_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55534_ _55562_/A _55533_/Y VGND VGND VPWR VPWR _55534_/X sky130_fd_sc_hd__or2_2
+XFILLER_167_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40760_ _40883_/A _40760_/B VGND VGND VPWR VPWR _40765_/A sky130_fd_sc_hd__or2_2
+XFILLER_243_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52746_ _60732_/A _52752_/B VGND VGND VPWR VPWR _52748_/A sky130_fd_sc_hd__nand2_2
+XFILLER_262_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71580_ _71580_/A _71579_/X VGND VGND VPWR VPWR _71691_/B sky130_fd_sc_hd__and2_2
+XFILLER_167_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80778_ _80841_/CLK _80778_/D VGND VGND VPWR VPWR _68864_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_249_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_266_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_721_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_505_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39419_ _39156_/A _39422_/B VGND VGND VPWR VPWR _39419_/X sky130_fd_sc_hd__or2_2
+XPHY_17204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58253_ _79686_/Q _58330_/B VGND VGND VPWR VPWR _58255_/B sky130_fd_sc_hd__nor2_2
+X_70531_ _69958_/A _70530_/X VGND VGND VPWR VPWR _70534_/A sky130_fd_sc_hd__or2_2
+XFILLER_600_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_720_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55465_ _44944_/A _55465_/B VGND VGND VPWR VPWR _55465_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_377_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40691_ _40653_/X VGND VGND VPWR VPWR _40695_/B sky130_fd_sc_hd__buf_1
+X_52677_ _52614_/X _52692_/B VGND VGND VPWR VPWR _52677_/X sky130_fd_sc_hd__or2_2
+XFILLER_227_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_164_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_559_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_596_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57204_ _66134_/A _57046_/X VGND VGND VPWR VPWR _57206_/B sky130_fd_sc_hd__nor2_2
+XFILLER_565_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42430_ _42430_/A _42430_/B VGND VGND VPWR VPWR _42439_/A sky130_fd_sc_hd__nor2_2
+XFILLER_162_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54416_ _54413_/Y _54416_/B VGND VGND VPWR VPWR _77026_/D sky130_fd_sc_hd__nand2_2
+XFILLER_303_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73250_ _72011_/A VGND VGND VPWR VPWR _75052_/A sky130_fd_sc_hd__buf_1
+XFILLER_322_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_696_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51628_ _51644_/B VGND VGND VPWR VPWR _51638_/B sky130_fd_sc_hd__buf_1
+XPHY_16514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58184_ _58184_/A _58184_/B _58183_/Y VGND VGND VPWR VPWR _58188_/B sky130_fd_sc_hd__nor3_2
+XPHY_17259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70462_ _70462_/A _70091_/X VGND VGND VPWR VPWR _70464_/B sky130_fd_sc_hd__nor2_2
+XPHY_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55396_ _55396_/A _55396_/B _55396_/C VGND VGND VPWR VPWR _55397_/B sky130_fd_sc_hd__or3_2
+XFILLER_579_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_143_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72201_ _72183_/X _72190_/X _68446_/A VGND VGND VPWR VPWR _72202_/B sky130_fd_sc_hd__nand3_2
+XPHY_15802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_204_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57135_ _57135_/A _57135_/B _57134_/Y VGND VGND VPWR VPWR _57135_/Y sky130_fd_sc_hd__nor3_2
+XPHY_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_420_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42361_ _42361_/A VGND VGND VPWR VPWR _42361_/Y sky130_fd_sc_hd__inv_8
+XFILLER_123_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54347_ _54227_/X _54362_/B VGND VGND VPWR VPWR _54348_/B sky130_fd_sc_hd__or2_2
+XPHY_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73181_ _73181_/A VGND VGND VPWR VPWR _74999_/A sky130_fd_sc_hd__buf_1
+XFILLER_184_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51559_ _51557_/X _51586_/B VGND VGND VPWR VPWR _51560_/B sky130_fd_sc_hd__or2_2
+XPHY_15824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70393_ _70390_/Y _70164_/X _70165_/X _70392_/X VGND VGND VPWR VPWR _70393_/X sky130_fd_sc_hd__o22a_4
+XFILLER_50_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44100_ _40393_/A _44069_/X VGND VGND VPWR VPWR _44100_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_707_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_197_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41312_ _41310_/X _41312_/B VGND VGND VPWR VPWR _41312_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_260_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72132_ _72132_/A _71150_/A VGND VGND VPWR VPWR _72132_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_195_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45080_ _45080_/A _45107_/B VGND VGND VPWR VPWR _45080_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_453_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57066_ _56738_/X _57021_/X _57065_/X _74505_/Y _56824_/X VGND VGND VPWR VPWR _57066_/Y
++ sky130_fd_sc_hd__a32oi_4
+XPHY_33225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_310_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42292_ _42291_/X VGND VGND VPWR VPWR _42293_/A sky130_fd_sc_hd__buf_1
+XPHY_1488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54278_ _54248_/X _54266_/B VGND VGND VPWR VPWR _54279_/B sky130_fd_sc_hd__or2_2
+XFILLER_279_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_338_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_51_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44031_ _44031_/A VGND VGND VPWR VPWR _44272_/A sky130_fd_sc_hd__inv_8
+XFILLER_326_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56017_ _56005_/X _56017_/B _56017_/C VGND VGND VPWR VPWR wbs_dat_o[4] sky130_fd_sc_hd__nor3_2
+XFILLER_570_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41243_ _41243_/A _41242_/Y VGND VGND VPWR VPWR _41243_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53229_ _53229_/A _53228_/X VGND VGND VPWR VPWR _53229_/Y sky130_fd_sc_hd__nand2_2
+XPHY_33269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76940_ _76939_/CLK _76940_/D VGND VGND VPWR VPWR _76940_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_10_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72063_ _72063_/A VGND VGND VPWR VPWR _72063_/Y sky130_fd_sc_hd__inv_8
+XFILLER_652_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_178_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_136_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_414_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_192_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_13_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71014_ _70161_/X VGND VGND VPWR VPWR _71180_/B sky130_fd_sc_hd__inv_8
+XPHY_31823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_136_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_298_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41174_ _41171_/X _41173_/Y VGND VGND VPWR VPWR _79690_/D sky130_fd_sc_hd__nand2_2
+XPHY_31834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_414_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76871_ _76871_/CLK _76871_/D VGND VGND VPWR VPWR _59564_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_688_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_124_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_611_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_26_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_340_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78610_ _78606_/CLK _78610_/D VGND VGND VPWR VPWR _48374_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40125_ _40123_/X _40124_/Y VGND VGND VPWR VPWR _40125_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_488_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75822_ _75944_/A _75819_/B VGND VGND VPWR VPWR _75822_/X sky130_fd_sc_hd__or2_2
+XPHY_22089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48770_ _78507_/Q _48765_/B VGND VGND VPWR VPWR _48770_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79590_ _79342_/CLK _41550_/Y VGND VGND VPWR VPWR _68264_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_254_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45982_ _42743_/B _45986_/B VGND VGND VPWR VPWR _45983_/C sky130_fd_sc_hd__nor2_2
+XFILLER_191_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57968_ _67830_/A _57656_/X VGND VGND VPWR VPWR _57968_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_629_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47721_ _47719_/Y _47720_/X VGND VGND VPWR VPWR _78775_/D sky130_fd_sc_hd__nand2_2
+XFILLER_627_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59707_ _59707_/A _64003_/B VGND VGND VPWR VPWR _59707_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_152_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78541_ _78525_/CLK _78541_/D VGND VGND VPWR VPWR _78541_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_488_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40056_ _40240_/A VGND VGND VPWR VPWR _40075_/A sky130_fd_sc_hd__buf_1
+XFILLER_310_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56919_ _65682_/A _57076_/B VGND VGND VPWR VPWR _56919_/Y sky130_fd_sc_hd__nor2_2
+X_44933_ _44933_/A VGND VGND VPWR VPWR _45223_/A sky130_fd_sc_hd__buf_1
+XPHY_9796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75753_ _75753_/A _75753_/B VGND VGND VPWR VPWR _80611_/D sky130_fd_sc_hd__nand2_2
+XPHY_21399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72965_ _72957_/A _72957_/B _81298_/Q VGND VGND VPWR VPWR _72965_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_644_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57899_ _57582_/A _57881_/Y _57899_/C VGND VGND VPWR VPWR _57899_/X sky130_fd_sc_hd__or3_2
+XFILLER_134_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_627_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_8_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_20687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74704_ _74701_/X _74703_/Y VGND VGND VPWR VPWR _80872_/D sky130_fd_sc_hd__nand2_2
+XPHY_10164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47652_ _63201_/A _47655_/B VGND VGND VPWR VPWR _47652_/Y sky130_fd_sc_hd__nand2_2
+X_59638_ _77088_/Q _59797_/B VGND VGND VPWR VPWR _59638_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_388_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71916_ _75556_/A VGND VGND VPWR VPWR _73236_/A sky130_fd_sc_hd__buf_1
+XFILLER_549_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78472_ _78474_/CLK _78472_/D VGND VGND VPWR VPWR _63390_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_117_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_120_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44864_ _75039_/A _44836_/X _44856_/Y _44863_/X VGND VGND VPWR VPWR _44865_/C sky130_fd_sc_hd__o22a_4
+XFILLER_425_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75684_ _75680_/A _75684_/B _80629_/Q VGND VGND VPWR VPWR _75684_/Y sky130_fd_sc_hd__nand3_2
+XPHY_10186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72896_ _72883_/A _72882_/X _81313_/Q VGND VGND VPWR VPWR _72896_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_93_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_640_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_253_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46603_ _46603_/A _46602_/Y VGND VGND VPWR VPWR _46604_/B sky130_fd_sc_hd__and2_2
+XFILLER_670_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_486_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77423_ _77422_/CLK _52897_/Y VGND VGND VPWR VPWR _77423_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_447_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43815_ _43815_/A _43814_/Y VGND VGND VPWR VPWR _43816_/B sky130_fd_sc_hd__nor2_2
+XFILLER_47_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74635_ _74632_/X _74634_/Y VGND VGND VPWR VPWR _80887_/D sky130_fd_sc_hd__nand2_2
+XFILLER_293_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_679_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47583_ _47641_/A _47582_/X VGND VGND VPWR VPWR _47583_/X sky130_fd_sc_hd__or2_2
+XFILLER_429_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59569_ _59897_/A _59569_/B VGND VGND VPWR VPWR _59611_/B sky130_fd_sc_hd__nor2_2
+X_71847_ _71840_/A _71846_/B VGND VGND VPWR VPWR _71848_/C sky130_fd_sc_hd__and2_2
+XFILLER_627_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44795_ _75003_/A _44767_/X _44787_/Y _44794_/X VGND VGND VPWR VPWR _44796_/C sky130_fd_sc_hd__o22a_4
+XFILLER_93_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_700_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61600_ _61600_/A VGND VGND VPWR VPWR _61918_/B sky130_fd_sc_hd__buf_1
+XFILLER_501_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49322_ _62903_/A _49349_/B VGND VGND VPWR VPWR _49323_/B sky130_fd_sc_hd__nand2_2
+XFILLER_245_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_605_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_542_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46534_ _41817_/B _46525_/X VGND VGND VPWR VPWR _46535_/B sky130_fd_sc_hd__or2_2
+XFILLER_18_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77354_ _77318_/CLK _77354_/D VGND VGND VPWR VPWR _53161_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_76_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_326_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43746_ _43876_/A VGND VGND VPWR VPWR _44220_/A sky130_fd_sc_hd__buf_1
+XFILLER_640_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74566_ _74546_/A VGND VGND VPWR VPWR _74567_/A sky130_fd_sc_hd__buf_1
+XPHY_19140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62580_ _62112_/A _62580_/B _62579_/Y VGND VGND VPWR VPWR _62586_/B sky130_fd_sc_hd__nor3_2
+XFILLER_20_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_185_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40958_ _40958_/A _40957_/Y VGND VGND VPWR VPWR _79739_/D sky130_fd_sc_hd__nand2_2
+XFILLER_703_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71778_ _76799_/Q VGND VGND VPWR VPWR _71779_/A sky130_fd_sc_hd__inv_8
+XFILLER_499_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_542_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_284_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_612_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_76305_ _38307_/A _76294_/B VGND VGND VPWR VPWR _76305_/X sky130_fd_sc_hd__or2_2
+XPHY_29696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49253_ _49253_/A _49252_/X VGND VGND VPWR VPWR _49253_/Y sky130_fd_sc_hd__nand2_2
+X_61531_ _61531_/A _61071_/X VGND VGND VPWR VPWR _61531_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_59_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73517_ _73517_/A VGND VGND VPWR VPWR _73531_/A sky130_fd_sc_hd__buf_1
+XPHY_28962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46465_ _46465_/A _46333_/X _46465_/C _46465_/D VGND VGND VPWR VPWR _46466_/C sky130_fd_sc_hd__nor4_2
+XFILLER_523_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_354_0_u_core.clock clkbuf_9_355_0_u_core.clock/A VGND VGND VPWR VPWR _76876_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_70729_ _70720_/A _70728_/Y VGND VGND VPWR VPWR _70729_/X sky130_fd_sc_hd__xor2_2
+XFILLER_241_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77285_ _77278_/CLK _77285_/D VGND VGND VPWR VPWR _53432_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43677_ _43876_/A VGND VGND VPWR VPWR _43948_/A sky130_fd_sc_hd__buf_1
+XPHY_4070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74497_ _66102_/A VGND VGND VPWR VPWR _74497_/Y sky130_fd_sc_hd__inv_8
+XPHY_19195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40889_ _40889_/A _40889_/B _58774_/A VGND VGND VPWR VPWR _40889_/Y sky130_fd_sc_hd__nand3_2
+XPHY_28984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_403_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_343_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48204_ _48137_/X _48225_/B VGND VGND VPWR VPWR _48205_/B sky130_fd_sc_hd__or2_2
+XPHY_4092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79024_ _79501_/CLK _46289_/Y VGND VGND VPWR VPWR _79024_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_178_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45416_ _45416_/A _45059_/A VGND VGND VPWR VPWR _45416_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_657_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_600_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64250_ _64250_/A VGND VGND VPWR VPWR _64851_/A sky130_fd_sc_hd__buf_1
+XFILLER_367_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76236_ _76234_/X _76236_/B VGND VGND VPWR VPWR _76236_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_425_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42628_ _42628_/A VGND VGND VPWR VPWR _42628_/X sky130_fd_sc_hd__buf_1
+X_61462_ _60994_/A _61462_/B _61461_/Y VGND VGND VPWR VPWR _61462_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_226_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73448_ _73441_/A _73444_/X _81182_/Q VGND VGND VPWR VPWR _73448_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_163_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49184_ _49184_/A _49169_/X VGND VGND VPWR VPWR _49186_/A sky130_fd_sc_hd__nand2_2
+XFILLER_406_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_102_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46396_ _46142_/A _46402_/A _43116_/Y _78892_/Q VGND VGND VPWR VPWR _46396_/X sky130_fd_sc_hd__o22a_4
+XFILLER_656_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_565_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_349_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63201_ _63201_/A _62579_/B VGND VGND VPWR VPWR _63202_/C sky130_fd_sc_hd__nor2_2
+XFILLER_692_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_597_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60413_ _60098_/A _60405_/Y _60413_/C VGND VGND VPWR VPWR _60413_/Y sky130_fd_sc_hd__nor3_2
+X_48135_ _48134_/X VGND VGND VPWR VPWR _48135_/X sky130_fd_sc_hd__buf_1
+XPHY_17782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_692_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_50_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45347_ _45347_/A _45059_/A VGND VGND VPWR VPWR _45347_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64181_ _64208_/A VGND VGND VPWR VPWR _64182_/A sky130_fd_sc_hd__buf_1
+X_76167_ _76165_/X _76167_/B VGND VGND VPWR VPWR _80507_/D sky130_fd_sc_hd__nand2_2
+XFILLER_493_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42559_ _42580_/A _42559_/B VGND VGND VPWR VPWR _42559_/X sky130_fd_sc_hd__and2_2
+XFILLER_395_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61393_ _61539_/A _61393_/B _61393_/C VGND VGND VPWR VPWR _61393_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_690_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73379_ _72329_/A _73382_/B VGND VGND VPWR VPWR _73379_/X sky130_fd_sc_hd__or2_2
+XFILLER_575_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_258_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_141_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_438_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_321_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_358_64 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_726_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_336_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_174_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63132_ _62986_/A _63132_/B _63132_/C VGND VGND VPWR VPWR _63140_/B sky130_fd_sc_hd__nor3_2
+XFILLER_673_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75118_ _75116_/X _75118_/B VGND VGND VPWR VPWR _75118_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_564_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_395_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_31_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48066_ _48037_/A _48063_/B VGND VGND VPWR VPWR _48066_/X sky130_fd_sc_hd__or2_2
+X_60344_ _53652_/A _60187_/B VGND VGND VPWR VPWR _60346_/B sky130_fd_sc_hd__nor2_2
+XFILLER_391_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_15_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45278_ _69677_/A _45278_/B VGND VGND VPWR VPWR _45278_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76098_ _76094_/X _76097_/Y VGND VGND VPWR VPWR _80521_/D sky130_fd_sc_hd__nand2_2
+XFILLER_278_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_278_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47017_ _70594_/X _47009_/B VGND VGND VPWR VPWR _47018_/C sky130_fd_sc_hd__nor2_2
+XFILLER_157_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44229_ _44229_/A _44234_/A VGND VGND VPWR VPWR _44229_/X sky130_fd_sc_hd__and2_2
+XFILLER_335_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67940_ _66051_/A VGND VGND VPWR VPWR _67940_/X sky130_fd_sc_hd__buf_1
+X_63063_ _47563_/A _62443_/B VGND VGND VPWR VPWR _63063_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_552_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75049_ _75049_/A _75058_/B VGND VGND VPWR VPWR _75049_/X sky130_fd_sc_hd__or2_2
+X_79926_ _80027_/CLK _79926_/D VGND VGND VPWR VPWR _40220_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_391_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_356_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60275_ _59636_/A _60275_/B _60275_/C VGND VGND VPWR VPWR _60276_/C sky130_fd_sc_hd__nor3_2
+XFILLER_711_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_350_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_714_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62014_ _62014_/A _62014_/B VGND VGND VPWR VPWR _62014_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_533_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67871_ _67871_/A _67871_/B _67871_/C VGND VGND VPWR VPWR _67875_/B sky130_fd_sc_hd__nor3_2
+XFILLER_520_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79857_ _79751_/CLK _40508_/Y VGND VGND VPWR VPWR _64828_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_588_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_48_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69610_ _69610_/A VGND VGND VPWR VPWR _70466_/B sky130_fd_sc_hd__buf_1
+XFILLER_413_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66822_ _79997_/Q _66649_/X VGND VGND VPWR VPWR _66824_/B sky130_fd_sc_hd__nor2_2
+X_78808_ _78800_/CLK _78808_/D VGND VGND VPWR VPWR _63514_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_686_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39770_ _39769_/X _39764_/X _39770_/C VGND VGND VPWR VPWR _39771_/B sky130_fd_sc_hd__nand3_2
+XFILLER_44_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48968_ _48968_/A _48967_/X VGND VGND VPWR VPWR _48968_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_272_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79788_ _79788_/CLK _79788_/D VGND VGND VPWR VPWR _58659_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_524_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_610_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38721_ _38590_/X _38709_/X VGND VGND VPWR VPWR _38721_/X sky130_fd_sc_hd__or2_2
+XFILLER_289_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69541_ _58799_/A _64839_/B VGND VGND VPWR VPWR _69543_/B sky130_fd_sc_hd__nor2_2
+XFILLER_331_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_512_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_649_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_547_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47919_ _47926_/A VGND VGND VPWR VPWR _47935_/B sky130_fd_sc_hd__buf_1
+XFILLER_285_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78739_ _78739_/CLK _78739_/D VGND VGND VPWR VPWR _78739_/Q sky130_fd_sc_hd__dfxtp_4
+X_66753_ _67256_/A _66753_/B _66752_/Y VGND VGND VPWR VPWR _66754_/C sky130_fd_sc_hd__nor3_2
+XFILLER_458_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63965_ _63949_/Y _63964_/Y VGND VGND VPWR VPWR _63965_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_116_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48899_ _48890_/A VGND VGND VPWR VPWR _48900_/B sky130_fd_sc_hd__buf_1
+XFILLER_681_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65704_ _65866_/A _65704_/B VGND VGND VPWR VPWR _65705_/C sky130_fd_sc_hd__nor2_2
+XFILLER_387_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38652_ _38656_/A _38660_/B _80327_/Q VGND VGND VPWR VPWR _38652_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_485_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50930_ _61835_/A _50933_/B VGND VGND VPWR VPWR _50932_/A sky130_fd_sc_hd__nand2_2
+X_62916_ _62916_/A _62915_/Y VGND VGND VPWR VPWR _62917_/B sky130_fd_sc_hd__nor2_2
+XFILLER_0_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69472_ _68887_/X _69464_/Y _69472_/C VGND VGND VPWR VPWR _69488_/A sky130_fd_sc_hd__nor3_2
+XFILLER_709_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66684_ _80220_/Q _66684_/B VGND VGND VPWR VPWR _66685_/C sky130_fd_sc_hd__nor2_2
+XFILLER_229_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63896_ _63634_/A _63896_/B _63895_/Y VGND VGND VPWR VPWR _63896_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_627_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80701_ _80734_/CLK _75371_/Y VGND VGND VPWR VPWR _66705_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68423_ _68423_/A _68423_/B VGND VGND VPWR VPWR _68424_/B sky130_fd_sc_hd__nor2_2
+XFILLER_326_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65635_ _56970_/A _64944_/B VGND VGND VPWR VPWR _65635_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_563_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38583_ _38579_/A _38579_/B _65583_/A VGND VGND VPWR VPWR _38584_/B sky130_fd_sc_hd__nand3_2
+XFILLER_543_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62847_ _62533_/A _62847_/B _62846_/Y VGND VGND VPWR VPWR _62847_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_285_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50861_ _50861_/A VGND VGND VPWR VPWR _50922_/A sky130_fd_sc_hd__buf_1
+XFILLER_77_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_148_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_525_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_55_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_603_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52600_ _60619_/A _52603_/B VGND VGND VPWR VPWR _52602_/A sky130_fd_sc_hd__nand2_2
+XFILLER_588_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80632_ _80641_/CLK _80632_/D VGND VGND VPWR VPWR _80632_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_623_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68354_ _67705_/A _68352_/Y _68354_/C VGND VGND VPWR VPWR _68354_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_365_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_541_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53580_ _53524_/A _53571_/B VGND VGND VPWR VPWR _53580_/X sky130_fd_sc_hd__or2_2
+X_65566_ _66221_/A _65561_/Y _65566_/C VGND VGND VPWR VPWR _65574_/B sky130_fd_sc_hd__nor3_2
+XFILLER_414_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50792_ _50789_/Y _50791_/X VGND VGND VPWR VPWR _50792_/Y sky130_fd_sc_hd__nand2_2
+X_62778_ _59338_/A VGND VGND VPWR VPWR _63084_/B sky130_fd_sc_hd__buf_1
+XFILLER_228_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_599_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67305_ _57760_/A _67304_/X VGND VGND VPWR VPWR _67305_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52531_ _52547_/B VGND VGND VPWR VPWR _52544_/B sky130_fd_sc_hd__buf_1
+XFILLER_441_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64517_ _64517_/A VGND VGND VPWR VPWR _65916_/A sky130_fd_sc_hd__buf_1
+XFILLER_380_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61729_ _77869_/Q _61563_/X VGND VGND VPWR VPWR _61729_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_107_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80563_ _80535_/CLK _75933_/Y VGND VGND VPWR VPWR _65053_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_401_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_399_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68285_ _68285_/A _68285_/B VGND VGND VPWR VPWR _68285_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_380_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_396_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_602_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65497_ _65497_/A VGND VGND VPWR VPWR _65497_/X sky130_fd_sc_hd__buf_1
+XFILLER_59_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_476_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_441_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39204_ _39181_/A VGND VGND VPWR VPWR _39205_/A sky130_fd_sc_hd__buf_1
+XFILLER_205_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_146_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_547_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55250_ _43535_/X VGND VGND VPWR VPWR _55250_/X sky130_fd_sc_hd__buf_1
+XFILLER_695_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67236_ _67236_/A _67236_/B VGND VGND VPWR VPWR _67237_/B sky130_fd_sc_hd__nor2_2
+XFILLER_224_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_240_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_443_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64448_ _64448_/A VGND VGND VPWR VPWR _64449_/A sky130_fd_sc_hd__buf_1
+XFILLER_40_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52462_ _52553_/A _52485_/B VGND VGND VPWR VPWR _52463_/B sky130_fd_sc_hd__or2_2
+XFILLER_304_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_656_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80494_ _80370_/CLK _80494_/D VGND VGND VPWR VPWR _69444_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_339_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_478_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_691_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_279_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_367_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_361_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54201_ _54201_/A VGND VGND VPWR VPWR _54205_/B sky130_fd_sc_hd__buf_1
+XFILLER_519_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39135_ _39148_/A _39148_/B _58372_/A VGND VGND VPWR VPWR _39136_/B sky130_fd_sc_hd__nand3_2
+X_51413_ _51479_/A _51412_/X VGND VGND VPWR VPWR _51414_/B sky130_fd_sc_hd__or2_2
+XFILLER_36_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_2052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55181_ _70484_/A _55176_/A VGND VGND VPWR VPWR _55181_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_339_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67167_ _57641_/A _67327_/B VGND VGND VPWR VPWR _67167_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_90_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_21_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_20 io_in[30] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_181_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52393_ _52393_/A _52393_/B VGND VGND VPWR VPWR _52393_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_491_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64379_ _64306_/A VGND VGND VPWR VPWR _66838_/A sky130_fd_sc_hd__buf_1
+XFILLER_32_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_31 io_out[23] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_181_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_42 wbs_adr_i[2] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_517_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54132_ _54130_/Y _54131_/X VGND VGND VPWR VPWR _77101_/D sky130_fd_sc_hd__nand2_2
+XFILLER_123_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66118_ _66118_/A _66118_/B _66117_/Y VGND VGND VPWR VPWR _66122_/B sky130_fd_sc_hd__nor3_2
+XFILLER_327_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_53 wbs_adr_i[7] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_177_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39066_ _38573_/A _39056_/B VGND VGND VPWR VPWR _39068_/A sky130_fd_sc_hd__or2_2
+X_51344_ _61386_/A _51339_/X VGND VGND VPWR VPWR _51346_/A sky130_fd_sc_hd__nand2_2
+XFILLER_198_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_166_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_64 _57707_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_472_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67098_ _65251_/A VGND VGND VPWR VPWR _67585_/B sky130_fd_sc_hd__buf_1
+XFILLER_53_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_75 _57066_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_300_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_88_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_86 _60417_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_710_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_97 _61509_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_536_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81115_ _81112_/CLK _73703_/Y VGND VGND VPWR VPWR _66419_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_437_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54063_ _60361_/A _54080_/B VGND VGND VPWR VPWR _54068_/A sky130_fd_sc_hd__nand2_2
+X_58940_ _60585_/A VGND VGND VPWR VPWR _59307_/B sky130_fd_sc_hd__buf_1
+X_66049_ _66049_/A _66049_/B _66048_/Y VGND VGND VPWR VPWR _66206_/C sky130_fd_sc_hd__or3_2
+XFILLER_296_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_84_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51275_ _51273_/X _51274_/Y VGND VGND VPWR VPWR _51275_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_118_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_4_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_134_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_415_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_450_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53014_ _53014_/A _53014_/B VGND VGND VPWR VPWR _53014_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_107_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50226_ _50224_/Y _50226_/B VGND VGND VPWR VPWR _50226_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_101_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81046_ _81166_/CLK _73964_/Y VGND VGND VPWR VPWR _81046_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_450_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_239_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_372_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58871_ _78982_/Q _58872_/B VGND VGND VPWR VPWR _58871_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_513_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_27_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_6_19_0_u_core.clock clkbuf_5_9_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_39_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_133_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_97_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_279_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_658_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57822_ _56617_/A VGND VGND VPWR VPWR _57904_/A sky130_fd_sc_hd__buf_1
+XPHY_30429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69808_ _69806_/X _69807_/Y VGND VGND VPWR VPWR _69808_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_685_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50157_ _50180_/B VGND VGND VPWR VPWR _50173_/B sky130_fd_sc_hd__buf_1
+XFILLER_333_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39968_ _39964_/A _39968_/B _79994_/Q VGND VGND VPWR VPWR _39968_/Y sky130_fd_sc_hd__nand3_2
+XPHY_9059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_483_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38919_ _38919_/A _38918_/Y VGND VGND VPWR VPWR _80256_/D sky130_fd_sc_hd__nand2_2
+X_57753_ _67296_/A _57430_/B VGND VGND VPWR VPWR _57754_/C sky130_fd_sc_hd__nor2_2
+XPHY_7613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69739_ _42430_/A VGND VGND VPWR VPWR _55922_/A sky130_fd_sc_hd__inv_8
+XFILLER_565_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_409_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50088_ _50003_/A _50072_/A VGND VGND VPWR VPWR _50088_/X sky130_fd_sc_hd__or2_2
+X_54965_ _76876_/Q _54976_/B VGND VGND VPWR VPWR _54968_/A sky130_fd_sc_hd__nand2_2
+XFILLER_9_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39899_ _39885_/A _39904_/B _39899_/C VGND VGND VPWR VPWR _39900_/B sky130_fd_sc_hd__nand3_2
+XPHY_7624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_667_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_276_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56704_ _56469_/A _56704_/B _56703_/Y VGND VGND VPWR VPWR _56715_/A sky130_fd_sc_hd__nor3_2
+XFILLER_413_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41930_ _41929_/X VGND VGND VPWR VPWR _41930_/X sky130_fd_sc_hd__buf_1
+XFILLER_663_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53916_ _53913_/A VGND VGND VPWR VPWR _53940_/B sky130_fd_sc_hd__buf_1
+XFILLER_21_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72750_ _72217_/A _72744_/B VGND VGND VPWR VPWR _72750_/X sky130_fd_sc_hd__or2_2
+XPHY_7657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_483_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57684_ _67146_/A _57516_/B VGND VGND VPWR VPWR _57684_/Y sky130_fd_sc_hd__nor2_2
+XPHY_39405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54896_ _54952_/A _54893_/B VGND VGND VPWR VPWR _54896_/X sky130_fd_sc_hd__or2_2
+XFILLER_188_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_648_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_628_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_652_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_424_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71701_ _71699_/X _71700_/Y VGND VGND VPWR VPWR _71701_/Y sky130_fd_sc_hd__nand2_2
+X_59423_ _59423_/A VGND VGND VPWR VPWR _60068_/B sky130_fd_sc_hd__buf_1
+XFILLER_492_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_366_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56635_ _56476_/A _56635_/B _56634_/Y VGND VGND VPWR VPWR _56636_/B sky130_fd_sc_hd__nor3_2
+XPHY_6956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41861_ _41861_/A VGND VGND VPWR VPWR _46685_/A sky130_fd_sc_hd__buf_1
+XPHY_39449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53847_ _53819_/A _53841_/B VGND VGND VPWR VPWR _53848_/B sky130_fd_sc_hd__or2_2
+X_72681_ _72803_/A _72688_/B VGND VGND VPWR VPWR _72681_/X sky130_fd_sc_hd__or2_2
+XFILLER_327_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_169_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_645_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_95_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43600_ _42636_/B _43593_/B VGND VGND VPWR VPWR _43601_/C sky130_fd_sc_hd__nor2_2
+XFILLER_186_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_704_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74420_ _74176_/A _74412_/B VGND VGND VPWR VPWR _74420_/X sky130_fd_sc_hd__or2_2
+XPHY_38737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40812_ _40815_/A _40815_/B _67484_/A VGND VGND VPWR VPWR _40812_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_56_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59354_ _59516_/A _59354_/B _59354_/C VGND VGND VPWR VPWR _59354_/Y sky130_fd_sc_hd__nor3_2
+X_71632_ _71787_/A _71600_/B _71632_/C VGND VGND VPWR VPWR _71632_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_186_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44580_ _79214_/Q _44564_/B VGND VGND VPWR VPWR _44580_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_578_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56566_ _56566_/A _56402_/B VGND VGND VPWR VPWR _56566_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_281_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_290_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41792_ _41725_/A _41792_/B _41791_/Y VGND VGND VPWR VPWR _41792_/X sky130_fd_sc_hd__or3_2
+XFILLER_598_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53778_ _53774_/Y _53777_/X VGND VGND VPWR VPWR _53778_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_426_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_2_2_1_u_core.clock clkbuf_2_2_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_2_2_2_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_623_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_7_52_0_u_core.clock clkbuf_7_53_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_52_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_621_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58305_ _68446_/A _58227_/X VGND VGND VPWR VPWR _58306_/C sky130_fd_sc_hd__nor2_2
+XFILLER_696_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43531_ _43527_/A _55291_/A VGND VGND VPWR VPWR _43531_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_182_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55517_ _55517_/A VGND VGND VPWR VPWR _55517_/X sky130_fd_sc_hd__buf_1
+X_74351_ _74349_/X _74350_/Y VGND VGND VPWR VPWR _80956_/D sky130_fd_sc_hd__nand2_2
+XFILLER_422_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_579_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40743_ _40761_/A VGND VGND VPWR VPWR _40756_/A sky130_fd_sc_hd__buf_1
+XPHY_27524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52729_ _52729_/A _52728_/X VGND VGND VPWR VPWR _52732_/A sky130_fd_sc_hd__nand2_2
+XFILLER_284_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59285_ _54631_/A _63884_/B VGND VGND VPWR VPWR _59287_/B sky130_fd_sc_hd__nor2_2
+XFILLER_15_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71563_ _71539_/X _71547_/X _71548_/X _42646_/B VGND VGND VPWR VPWR _71564_/A sky130_fd_sc_hd__o22a_4
+XFILLER_678_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_566_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56497_ _64821_/A _56219_/X VGND VGND VPWR VPWR _56497_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_674_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_240_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73302_ _73295_/A _73295_/B _68085_/A VGND VGND VPWR VPWR _73304_/A sky130_fd_sc_hd__nand3_2
+XFILLER_309_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46250_ _46250_/A _46238_/B VGND VGND VPWR VPWR _46252_/A sky130_fd_sc_hd__nor2_2
+X_70514_ _70537_/A _70514_/B VGND VGND VPWR VPWR _70515_/B sky130_fd_sc_hd__nor2_2
+XFILLER_600_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58236_ _57039_/A VGND VGND VPWR VPWR _58236_/X sky130_fd_sc_hd__buf_1
+X_77070_ _77410_/CLK _54250_/Y VGND VGND VPWR VPWR _77070_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_322_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_70_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43462_ _71918_/B _43465_/B VGND VGND VPWR VPWR _43464_/A sky130_fd_sc_hd__or2_2
+XFILLER_31_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_420_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55448_ _55436_/A _55447_/Y VGND VGND VPWR VPWR _55448_/X sky130_fd_sc_hd__or2_2
+X_74282_ _74285_/A _74285_/B _80974_/Q VGND VGND VPWR VPWR _74282_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_340_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_305_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40674_ _40408_/X _40677_/B VGND VGND VPWR VPWR _40676_/A sky130_fd_sc_hd__or2_2
+XFILLER_102_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71494_ _71793_/A VGND VGND VPWR VPWR _71693_/A sky130_fd_sc_hd__buf_1
+XFILLER_164_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_203_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_180_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_420_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45201_ io_in[29] _45233_/B VGND VGND VPWR VPWR _45202_/B sky130_fd_sc_hd__or2_2
+XPHY_26856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_693_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76021_ _76018_/X _76020_/Y VGND VGND VPWR VPWR _80540_/D sky130_fd_sc_hd__nand2_2
+XPHY_16333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42413_ _41917_/A _55209_/A _41919_/D _41675_/X VGND VGND VPWR VPWR _42413_/X sky130_fd_sc_hd__or4_2
+XFILLER_717_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73233_ _75039_/A _73237_/B VGND VGND VPWR VPWR _73233_/X sky130_fd_sc_hd__or2_2
+XFILLER_205_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46181_ _43184_/Y _43185_/A _46174_/Y _46180_/Y VGND VGND VPWR VPWR _46181_/X sky130_fd_sc_hd__o22a_4
+XFILLER_38_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58167_ _43402_/C _58249_/B VGND VGND VPWR VPWR _58169_/B sky130_fd_sc_hd__nor2_2
+X_70445_ _70445_/A _70445_/B VGND VGND VPWR VPWR _70445_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_490_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_199_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43393_ _71056_/A VGND VGND VPWR VPWR _43466_/A sky130_fd_sc_hd__buf_1
+XFILLER_262_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_358_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55379_ _55440_/A _45239_/B VGND VGND VPWR VPWR _55385_/B sky130_fd_sc_hd__nor2_2
+XFILLER_592_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_553_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_572_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_455_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45132_ _45025_/X _55538_/B VGND VGND VPWR VPWR _45140_/B sky130_fd_sc_hd__nor2_2
+XPHY_33000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57118_ _65986_/A _57118_/B VGND VGND VPWR VPWR _57118_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_12_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_392_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42344_ _42303_/A VGND VGND VPWR VPWR _42399_/A sky130_fd_sc_hd__buf_1
+XFILLER_123_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73164_ _74985_/A _73154_/X VGND VGND VPWR VPWR _73165_/B sky130_fd_sc_hd__or2_2
+XFILLER_199_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70376_ _70367_/Y _70375_/Y VGND VGND VPWR VPWR _70376_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_535_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58098_ _58255_/A _58096_/Y _58097_/Y VGND VGND VPWR VPWR _58098_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_158_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_494_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_617_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_475_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_201_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72115_ _72115_/A VGND VGND VPWR VPWR _72116_/A sky130_fd_sc_hd__inv_8
+XPHY_14942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_328_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49940_ _49940_/A _49939_/X VGND VGND VPWR VPWR _49940_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_475_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45063_ _45182_/A _45063_/B VGND VGND VPWR VPWR _45063_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57049_ _41603_/C _57048_/X VGND VGND VPWR VPWR _57050_/C sky130_fd_sc_hd__nor2_2
+XFILLER_561_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42275_ _47242_/B VGND VGND VPWR VPWR _42276_/B sky130_fd_sc_hd__inv_8
+XFILLER_531_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73095_ _73078_/A VGND VGND VPWR VPWR _73102_/A sky130_fd_sc_hd__buf_1
+X_77972_ _78477_/CLK _50821_/Y VGND VGND VPWR VPWR _62765_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_33066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_84_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_652_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44014_ _44014_/A VGND VGND VPWR VPWR _67694_/A sky130_fd_sc_hd__inv_8
+XPHY_14986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79711_ _79711_/CLK _41078_/Y VGND VGND VPWR VPWR _57701_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_722_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_193_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41226_ _41093_/X _41230_/B VGND VGND VPWR VPWR _41226_/X sky130_fd_sc_hd__or2_2
+XPHY_32354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60060_ _53510_/A _59573_/B VGND VGND VPWR VPWR _60061_/C sky130_fd_sc_hd__nor2_2
+XFILLER_652_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76923_ _76921_/CLK _76923_/D VGND VGND VPWR VPWR _54793_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_10_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72046_ _72046_/A _42475_/A VGND VGND VPWR VPWR _72053_/B sky130_fd_sc_hd__and2_2
+XPHY_14997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49871_ _49871_/A _49871_/B VGND VGND VPWR VPWR _49871_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_360_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_10_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_178_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_238_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_1617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_144_0_u_core.clock clkbuf_8_72_0_u_core.clock/X VGND VGND VPWR VPWR _78276_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_154_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48822_ _48822_/A _48804_/B VGND VGND VPWR VPWR _48824_/A sky130_fd_sc_hd__nand2_2
+XPHY_32398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79642_ _79711_/CLK _79642_/D VGND VGND VPWR VPWR _57299_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_685_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41157_ _41266_/B VGND VGND VPWR VPWR _41158_/B sky130_fd_sc_hd__buf_1
+XPHY_31664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76854_ _78384_/CLK _55043_/Y VGND VGND VPWR VPWR _76854_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_312_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_3_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_212_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_32_0_u_core.clock clkbuf_7_16_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_65_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_6_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40108_ _40094_/A _40104_/X _65337_/A VGND VGND VPWR VPWR _40109_/B sky130_fd_sc_hd__nand3_2
+X_75805_ _75928_/A _75808_/B VGND VGND VPWR VPWR _75807_/A sky130_fd_sc_hd__or2_2
+XFILLER_512_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_486_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48753_ _48724_/A _48744_/B VGND VGND VPWR VPWR _48754_/B sky130_fd_sc_hd__or2_2
+XPHY_9582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79573_ _79653_/CLK _41611_/Y VGND VGND VPWR VPWR _65473_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_646_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45965_ _42469_/A VGND VGND VPWR VPWR _45965_/X sky130_fd_sc_hd__buf_1
+X_41088_ _73199_/A VGND VGND VPWR VPWR _41090_/A sky130_fd_sc_hd__buf_1
+Xclkbuf_8_253_0_u_core.clock clkbuf_8_253_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_507_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_30974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76785_ _78660_/CLK _55757_/Y VGND VGND VPWR VPWR _76785_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_267_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73997_ _74672_/A VGND VGND VPWR VPWR _74164_/A sky130_fd_sc_hd__buf_1
+XFILLER_171_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47704_ _47704_/A _47725_/B VGND VGND VPWR VPWR _47704_/X sky130_fd_sc_hd__or2_2
+XFILLER_289_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_427_222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78524_ _78102_/CLK _48709_/Y VGND VGND VPWR VPWR _63954_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_467_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40039_ _40038_/X _40031_/X _79975_/Q VGND VGND VPWR VPWR _40039_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_332_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44916_ _44916_/A _44916_/B _45004_/B VGND VGND VPWR VPWR _44916_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_239_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63750_ _63326_/A _63750_/B _63749_/Y VGND VGND VPWR VPWR _63751_/C sky130_fd_sc_hd__nor3_2
+XPHY_20484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75736_ _75615_/A _75725_/B VGND VGND VPWR VPWR _75739_/A sky130_fd_sc_hd__or2_2
+XFILLER_386_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_581_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60962_ _60650_/A _60958_/Y _60961_/Y VGND VGND VPWR VPWR _60962_/Y sky130_fd_sc_hd__nor3_2
+X_72948_ _72957_/A _72948_/B _81302_/Q VGND VGND VPWR VPWR _72948_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_332_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48684_ _63712_/A _48681_/B VGND VGND VPWR VPWR _48687_/A sky130_fd_sc_hd__nand2_2
+XFILLER_3_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_212_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_43_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45896_ _41825_/Y _45891_/X _45745_/Y _45888_/X VGND VGND VPWR VPWR _45897_/B sky130_fd_sc_hd__o22a_4
+XFILLER_120_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_310_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_78_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_663_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_3098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62701_ _62078_/A _62697_/Y _62701_/C VGND VGND VPWR VPWR _62702_/C sky130_fd_sc_hd__nor3_2
+XFILLER_360_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47635_ _47635_/A _47634_/X VGND VGND VPWR VPWR _47635_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_415_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78455_ _77506_/CLK _48977_/Y VGND VGND VPWR VPWR _63243_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_542_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44847_ _65870_/A _44843_/Y _44814_/X _44846_/X VGND VGND VPWR VPWR _44848_/B sky130_fd_sc_hd__o22a_4
+XFILLER_672_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63681_ _63681_/A _59308_/B VGND VGND VPWR VPWR _63681_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_169_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75667_ _75664_/X _75666_/Y VGND VGND VPWR VPWR _80634_/D sky130_fd_sc_hd__nand2_2
+XFILLER_362_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_212_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_646_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_228_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_427_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60893_ _60893_/A _60421_/X VGND VGND VPWR VPWR _60893_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_286_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72879_ _72876_/X _72879_/B VGND VGND VPWR VPWR _81317_/D sky130_fd_sc_hd__nand2_2
+XFILLER_427_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_281_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_2962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65420_ _65420_/A _65420_/B VGND VGND VPWR VPWR _65421_/B sky130_fd_sc_hd__nor2_2
+XFILLER_507_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77406_ _77060_/CLK _77406_/D VGND VGND VPWR VPWR _60609_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_362_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62632_ _77939_/Q _62631_/X VGND VGND VPWR VPWR _62632_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_253_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74618_ _74231_/A _74613_/X VGND VGND VPWR VPWR _74620_/A sky130_fd_sc_hd__or2_2
+XFILLER_482_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47566_ _47455_/A VGND VGND VPWR VPWR _59535_/A sky130_fd_sc_hd__buf_1
+X_78386_ _78386_/CLK _78386_/D VGND VGND VPWR VPWR _63764_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_360_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44778_ _44776_/X _44778_/B VGND VGND VPWR VPWR _44778_/X sky130_fd_sc_hd__and2_2
+XFILLER_245_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75598_ _75598_/A VGND VGND VPWR VPWR _75656_/A sky130_fd_sc_hd__buf_1
+XFILLER_409_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_460_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49305_ _49303_/Y _49305_/B VGND VGND VPWR VPWR _78367_/D sky130_fd_sc_hd__nand2_2
+XFILLER_98_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46517_ _46517_/A _44941_/A VGND VGND VPWR VPWR _46520_/A sky130_fd_sc_hd__nor2_2
+X_65351_ _65351_/A _65339_/Y _65350_/Y VGND VGND VPWR VPWR _65373_/A sky130_fd_sc_hd__nor3_2
+XFILLER_507_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_503_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77337_ _77251_/CLK _53236_/Y VGND VGND VPWR VPWR _59913_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_207_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43729_ _44037_/A _43729_/B VGND VGND VPWR VPWR _43732_/B sky130_fd_sc_hd__nor2_2
+X_62563_ _62251_/A _62563_/B _62562_/Y VGND VGND VPWR VPWR _62564_/C sky130_fd_sc_hd__nor3_2
+X_74549_ _74549_/A _74549_/B VGND VGND VPWR VPWR _74549_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_499_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_542_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47497_ _47492_/X VGND VGND VPWR VPWR _47498_/B sky130_fd_sc_hd__buf_1
+XFILLER_411_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_596_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_423_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_62_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_95_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_703_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_499_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_91_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64302_ _64488_/A VGND VGND VPWR VPWR _68731_/A sky130_fd_sc_hd__buf_1
+XPHY_28781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49236_ _49252_/B VGND VGND VPWR VPWR _49236_/X sky130_fd_sc_hd__buf_1
+X_61514_ _61514_/A _61514_/B _61513_/Y VGND VGND VPWR VPWR _61514_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_59_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68070_ _68070_/A _68725_/B VGND VGND VPWR VPWR _68070_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_143_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_327_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46448_ _43190_/Y _46448_/B VGND VGND VPWR VPWR _46448_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_503_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65282_ _65282_/A _65103_/B VGND VGND VPWR VPWR _65282_/Y sky130_fd_sc_hd__nor2_2
+X_77268_ _77265_/CLK _77268_/D VGND VGND VPWR VPWR _53503_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_403_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62494_ _62180_/X _62491_/Y _62494_/C VGND VGND VPWR VPWR _62494_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_305_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_340_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_226_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_108_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67021_ _66853_/A _67018_/Y _67021_/C VGND VGND VPWR VPWR _67022_/C sky130_fd_sc_hd__nor3_2
+XFILLER_301_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_538_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79007_ _79007_/CLK _79007_/D _46532_/X VGND VGND VPWR VPWR _79007_/Q sky130_fd_sc_hd__dfrtp_4
+XFILLER_410_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64233_ _64600_/A VGND VGND VPWR VPWR _68753_/A sky130_fd_sc_hd__buf_1
+XFILLER_15_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76219_ _76316_/A VGND VGND VPWR VPWR _76280_/A sky130_fd_sc_hd__buf_1
+XFILLER_369_96 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61445_ _61445_/A VGND VGND VPWR VPWR _61760_/B sky130_fd_sc_hd__buf_1
+XFILLER_395_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49167_ _49167_/A _47699_/B VGND VGND VPWR VPWR _54907_/A sky130_fd_sc_hd__or2_2
+XFILLER_203_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_403_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_304_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46379_ _43097_/A _46379_/B VGND VGND VPWR VPWR _46379_/X sky130_fd_sc_hd__or2_2
+XFILLER_499_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77199_ _77214_/CLK _77199_/D VGND VGND VPWR VPWR _53754_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_348_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_160_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_12_0_u_core.clock clkbuf_8_6_0_u_core.clock/X VGND VGND VPWR VPWR _78517_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_102_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_202_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_618_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_204_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48118_ _48028_/A _48121_/B VGND VGND VPWR VPWR _48119_/B sky130_fd_sc_hd__or2_2
+XFILLER_159_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64164_ _64164_/A _64164_/B _64164_/C VGND VGND VPWR VPWR _64169_/B sky130_fd_sc_hd__nor3_2
+XFILLER_356_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61376_ _61376_/A _61376_/B _61376_/C VGND VGND VPWR VPWR _61396_/A sky130_fd_sc_hd__nor3_2
+X_49098_ _47522_/A VGND VGND VPWR VPWR _49099_/A sky130_fd_sc_hd__buf_1
+XFILLER_575_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_713_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_141_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_85_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_324_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63115_ _63115_/A _63582_/B VGND VGND VPWR VPWR _63115_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_238_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48049_ _78691_/Q _48044_/X VGND VGND VPWR VPWR _48049_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_293_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60327_ _59063_/X VGND VGND VPWR VPWR _60790_/B sky130_fd_sc_hd__buf_1
+XFILLER_416_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64095_ _64095_/A VGND VGND VPWR VPWR _64433_/A sky130_fd_sc_hd__buf_1
+X_68972_ _68972_/A _68968_/Y _68972_/C VGND VGND VPWR VPWR _68972_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_296_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_536_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_89_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_506_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_116_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_391_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_701_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51060_ _51083_/B VGND VGND VPWR VPWR _51082_/B sky130_fd_sc_hd__buf_1
+X_67923_ _67923_/A _67922_/X VGND VGND VPWR VPWR _67923_/Y sky130_fd_sc_hd__nor2_2
+X_63046_ _62890_/X _63046_/B _63045_/Y VGND VGND VPWR VPWR _63046_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_552_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79909_ _79907_/CLK _40287_/Y VGND VGND VPWR VPWR _58158_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_162_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_616_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60258_ _60100_/A _60258_/B VGND VGND VPWR VPWR _60259_/C sky130_fd_sc_hd__nor2_2
+XFILLER_274_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_569_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_176_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_530_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50011_ _50011_/A _50007_/B VGND VGND VPWR VPWR _50013_/A sky130_fd_sc_hd__nand2_2
+XFILLER_694_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39822_ _76159_/A VGND VGND VPWR VPWR _40317_/A sky130_fd_sc_hd__buf_1
+XFILLER_137_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_337_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_320_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67854_ _67854_/A _67687_/X VGND VGND VPWR VPWR _67854_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_682_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60189_ _53621_/A _60189_/B VGND VGND VPWR VPWR _60189_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_466_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_535_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_289_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_170_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_586_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_153_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_682_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_3044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66805_ _65145_/A VGND VGND VPWR VPWR _66971_/B sky130_fd_sc_hd__buf_1
+XFILLER_707_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_686_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39753_ _39800_/A VGND VGND VPWR VPWR _39753_/X sky130_fd_sc_hd__buf_1
+XFILLER_44_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_213_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67785_ _67286_/X _67783_/Y _67785_/C VGND VGND VPWR VPWR _67789_/B sky130_fd_sc_hd__nor3_2
+XFILLER_569_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_3107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64997_ _56583_/A _65523_/B VGND VGND VPWR VPWR _64997_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_705_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_230_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_385_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_565_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38704_ _38696_/X _38701_/B _80313_/Q VGND VGND VPWR VPWR _38704_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_287_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_484_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69524_ _39739_/C _64262_/X VGND VGND VPWR VPWR _69524_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54750_ _54807_/A _54753_/B VGND VGND VPWR VPWR _54751_/B sky130_fd_sc_hd__or2_2
+XFILLER_410_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66736_ _66736_/A _66736_/B _66736_/C VGND VGND VPWR VPWR _66736_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_112_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_113_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51962_ _51960_/Y _51962_/B VGND VGND VPWR VPWR _77672_/D sky130_fd_sc_hd__nand2_2
+X_39684_ _39190_/A _39688_/B VGND VGND VPWR VPWR _39684_/X sky130_fd_sc_hd__or2_2
+XFILLER_389_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63948_ _59304_/X _63948_/B _63948_/C VGND VGND VPWR VPWR _63949_/C sky130_fd_sc_hd__nor3_2
+XFILLER_84_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_257_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_233_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_681_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53701_ _53701_/A _53701_/B VGND VGND VPWR VPWR _53701_/X sky130_fd_sc_hd__or2_2
+XFILLER_284_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38635_ _38672_/A VGND VGND VPWR VPWR _38651_/B sky130_fd_sc_hd__buf_1
+X_50913_ _50913_/A _50913_/B VGND VGND VPWR VPWR _50913_/Y sky130_fd_sc_hd__nand2_2
+X_69455_ _69455_/A _69455_/B _69454_/Y VGND VGND VPWR VPWR _69455_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_57_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_681_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_582_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54681_ _54677_/Y _54680_/X VGND VGND VPWR VPWR _54681_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_113_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66667_ _66667_/A _67328_/B VGND VGND VPWR VPWR _66668_/C sky130_fd_sc_hd__nor2_2
+XFILLER_6_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51893_ _51890_/Y _51892_/X VGND VGND VPWR VPWR _77692_/D sky130_fd_sc_hd__nand2_2
+XFILLER_418_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63879_ _78691_/Q _63879_/B VGND VGND VPWR VPWR _63881_/B sky130_fd_sc_hd__nor2_2
+XPHY_19909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_662_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_42_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_3064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56420_ _64654_/A _56577_/B VGND VGND VPWR VPWR _56420_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_363_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68406_ _68406_/A _68406_/B VGND VGND VPWR VPWR _68406_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_22_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53632_ _53664_/A _53640_/B VGND VGND VPWR VPWR _53632_/X sky130_fd_sc_hd__or2_2
+XFILLER_445_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65618_ _64577_/X VGND VGND VPWR VPWR _65621_/A sky130_fd_sc_hd__buf_1
+XPHY_4817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38566_ _76173_/A VGND VGND VPWR VPWR _38567_/A sky130_fd_sc_hd__buf_1
+X_50844_ _54666_/A _50908_/B VGND VGND VPWR VPWR _50878_/B sky130_fd_sc_hd__or2_2
+XFILLER_560_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69386_ _79949_/Q _69108_/B VGND VGND VPWR VPWR _69386_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_260_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66598_ _66444_/A _66596_/Y _66597_/Y VGND VGND VPWR VPWR _66598_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_26_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_3059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_263_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80615_ _80597_/CLK _80615_/D VGND VGND VPWR VPWR _75738_/C sky130_fd_sc_hd__dfxtp_4
+X_68337_ _68337_/A _68337_/B _68337_/C VGND VGND VPWR VPWR _68338_/D sky130_fd_sc_hd__nor3_2
+X_56351_ _56251_/X VGND VGND VPWR VPWR _56352_/A sky130_fd_sc_hd__buf_1
+XFILLER_341_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53563_ _53563_/A _53570_/B VGND VGND VPWR VPWR _53565_/A sky130_fd_sc_hd__nand2_2
+X_65549_ _65375_/A _65507_/Y _65549_/C VGND VGND VPWR VPWR _65550_/C sky130_fd_sc_hd__nor3_2
+XFILLER_298_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38497_ _76099_/A VGND VGND VPWR VPWR _38498_/A sky130_fd_sc_hd__buf_1
+XFILLER_506_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50775_ _62144_/A _50765_/B VGND VGND VPWR VPWR _50777_/A sky130_fd_sc_hd__nand2_2
+XFILLER_246_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_183_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_414_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_387_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_38_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_207_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_359_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_16_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55302_ _55301_/X VGND VGND VPWR VPWR _55347_/A sky130_fd_sc_hd__buf_1
+XFILLER_224_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_341_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52514_ _52512_/Y _52514_/B VGND VGND VPWR VPWR _77527_/D sky130_fd_sc_hd__nand2_2
+XPHY_26119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59070_ _59363_/A _59070_/B _59070_/C VGND VGND VPWR VPWR _59070_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_90_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_41_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_107_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56282_ _56229_/A VGND VGND VPWR VPWR _56344_/A sky130_fd_sc_hd__buf_1
+XFILLER_12_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80546_ _80577_/CLK _75997_/Y VGND VGND VPWR VPWR _80546_/Q sky130_fd_sc_hd__dfxtp_4
+X_68268_ _58257_/A _68268_/B VGND VGND VPWR VPWR _68268_/Y sky130_fd_sc_hd__nor2_2
+X_53494_ _53643_/A VGND VGND VPWR VPWR _53524_/A sky130_fd_sc_hd__buf_1
+XPHY_35919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_322_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_718_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58021_ _58336_/A _58014_/Y _58021_/C VGND VGND VPWR VPWR _58022_/B sky130_fd_sc_hd__nor3_2
+XFILLER_146_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55233_ _55227_/B _55232_/Y _55123_/A VGND VGND VPWR VPWR _55233_/Y sky130_fd_sc_hd__nor3_2
+X_67219_ _67377_/A _67219_/B _67218_/Y VGND VGND VPWR VPWR _67236_/A sky130_fd_sc_hd__nor3_2
+XFILLER_617_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52445_ _52445_/A _52430_/X VGND VGND VPWR VPWR _52447_/A sky130_fd_sc_hd__nand2_2
+XFILLER_456_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_300_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80477_ _80477_/CLK _76292_/Y VGND VGND VPWR VPWR _80477_/Q sky130_fd_sc_hd__dfxtp_4
+X_68199_ _67716_/A _68199_/B _68198_/Y VGND VGND VPWR VPWR _68200_/C sky130_fd_sc_hd__nor3_2
+XFILLER_558_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_322_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_300_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_178_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_439_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_298_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39118_ _39142_/A VGND VGND VPWR VPWR _39138_/B sky130_fd_sc_hd__buf_1
+XFILLER_328_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70230_ _70325_/A _70007_/B _70319_/A _70008_/A VGND VGND VPWR VPWR _70231_/B sky130_fd_sc_hd__or4_2
+XFILLER_298_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55164_ _55164_/A _55164_/B VGND VGND VPWR VPWR _55165_/C sky130_fd_sc_hd__nor2_2
+XPHY_14205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_1467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40390_ _40390_/A _40405_/B VGND VGND VPWR VPWR _40390_/X sky130_fd_sc_hd__or2_2
+XFILLER_259_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52376_ _52311_/X _52394_/B VGND VGND VPWR VPWR _52377_/B sky130_fd_sc_hd__or2_2
+XPHY_24739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54115_ _54115_/A VGND VGND VPWR VPWR _54119_/B sky130_fd_sc_hd__buf_1
+XFILLER_193_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_148_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_370_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39049_ _39049_/A _39048_/Y VGND VGND VPWR VPWR _39049_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_516_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51327_ _51385_/A _51333_/B VGND VGND VPWR VPWR _51328_/B sky130_fd_sc_hd__or2_2
+XPHY_13504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70161_ _70161_/A VGND VGND VPWR VPWR _70161_/X sky130_fd_sc_hd__buf_1
+XPHY_14249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_472_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59972_ _54528_/A _59499_/B VGND VGND VPWR VPWR _59973_/C sky130_fd_sc_hd__nor2_2
+X_55095_ _70676_/A _55095_/B VGND VGND VPWR VPWR _55096_/B sky130_fd_sc_hd__nor2_2
+XPHY_13515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_352_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_140_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_218_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58923_ _59544_/A VGND VGND VPWR VPWR _59387_/A sky130_fd_sc_hd__buf_1
+X_54046_ _77121_/Q _54046_/B VGND VGND VPWR VPWR _54046_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_339_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42060_ _42469_/A VGND VGND VPWR VPWR _44448_/A sky130_fd_sc_hd__buf_1
+XPHY_13548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_4_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51258_ _41741_/Y _51256_/X _41742_/Y _51257_/X VGND VGND VPWR VPWR _51421_/A sky130_fd_sc_hd__o22a_4
+XPHY_12814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70092_ _70091_/X VGND VGND VPWR VPWR _70317_/A sky130_fd_sc_hd__buf_1
+XFILLER_323_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_106_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_316_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41011_ _41008_/X _41010_/Y VGND VGND VPWR VPWR _41011_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_270_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_194_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73920_ _73917_/A _73917_/B _67566_/A VGND VGND VPWR VPWR _73920_/Y sky130_fd_sc_hd__nand3_2
+X_50209_ _50209_/A _50208_/X VGND VGND VPWR VPWR _50209_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_323_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81029_ _80902_/CLK _81029_/D VGND VGND VPWR VPWR _68079_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_49_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58854_ _58859_/A _58853_/X VGND VGND VPWR VPWR _78976_/D sky130_fd_sc_hd__nor2_2
+XFILLER_513_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_669_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51189_ _51161_/A _51192_/B VGND VGND VPWR VPWR _51189_/X sky130_fd_sc_hd__or2_2
+XFILLER_7_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_27_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_5_2_0_u_core.clock clkbuf_4_1_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_5_2_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_350_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57805_ _67495_/A _57656_/X VGND VGND VPWR VPWR _57805_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_431_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73851_ _73851_/A _73851_/B VGND VGND VPWR VPWR _81076_/D sky130_fd_sc_hd__nand2_2
+XFILLER_368_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_647_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_385_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58785_ _39739_/C _56371_/B VGND VGND VPWR VPWR _58787_/B sky130_fd_sc_hd__nor2_2
+XFILLER_311_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_645_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55997_ _55982_/X _55996_/X io_out[25] _55980_/X VGND VGND VPWR VPWR wbs_dat_o[2]
++ sky130_fd_sc_hd__o22a_4
+XFILLER_153_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72802_ _72800_/X _72802_/B VGND VGND VPWR VPWR _81335_/D sky130_fd_sc_hd__nand2_2
+XFILLER_465_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_333_1497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57736_ _57807_/A _57736_/B _57735_/Y VGND VGND VPWR VPWR _57736_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_385_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45750_ _42226_/A _46009_/B _45731_/X _45749_/Y VGND VGND VPWR VPWR _45751_/B sky130_fd_sc_hd__o22a_4
+XFILLER_231_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_628_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_606_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76570_ VGND VGND VPWR VPWR _76570_/HI la_data_out[66] sky130_fd_sc_hd__conb_1
+XPHY_7443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42962_ _42962_/A _42955_/B VGND VGND VPWR VPWR _42962_/X sky130_fd_sc_hd__or2_2
+XFILLER_626_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54948_ _59902_/A _54948_/B VGND VGND VPWR VPWR _54950_/A sky130_fd_sc_hd__nand2_2
+XFILLER_663_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73782_ _73662_/A _73782_/B VGND VGND VPWR VPWR _73785_/A sky130_fd_sc_hd__or2_2
+XFILLER_381_3107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_276_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_79_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70994_ _70411_/Y _70420_/Y VGND VGND VPWR VPWR _70994_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_209_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_27_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_461_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44701_ _44701_/A _44487_/A _44699_/B VGND VGND VPWR VPWR _44701_/X sky130_fd_sc_hd__and3_2
+XPHY_7476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75521_ _76164_/A VGND VGND VPWR VPWR _75901_/A sky130_fd_sc_hd__buf_1
+XFILLER_463_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41913_ _41662_/A _41913_/B VGND VGND VPWR VPWR _41913_/X sky130_fd_sc_hd__or2_2
+XFILLER_212_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72733_ _72787_/A VGND VGND VPWR VPWR _72734_/B sky130_fd_sc_hd__buf_1
+XFILLER_283_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45681_ _45674_/X _45681_/B VGND VGND VPWR VPWR _45681_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_654_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57667_ _57667_/A _57667_/B _57667_/C VGND VGND VPWR VPWR _57668_/C sky130_fd_sc_hd__nor3_2
+XPHY_39235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42893_ _42906_/A _42898_/B _58692_/A VGND VGND VPWR VPWR _42893_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_268_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54879_ _54879_/A _54815_/B VGND VGND VPWR VPWR _54889_/A sky130_fd_sc_hd__or2_2
+XFILLER_614_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47420_ _47569_/B VGND VGND VPWR VPWR _48101_/B sky130_fd_sc_hd__inv_8
+XFILLER_35_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59406_ _53906_/A _59728_/B VGND VGND VPWR VPWR _59408_/B sky130_fd_sc_hd__nor2_2
+XFILLER_188_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78240_ _77699_/CLK _49815_/Y VGND VGND VPWR VPWR _62221_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_381_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44632_ _44321_/A _44631_/Y VGND VGND VPWR VPWR _44633_/B sky130_fd_sc_hd__or2_2
+XPHY_6786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56618_ _81298_/Q _56540_/B VGND VGND VPWR VPWR _56620_/B sky130_fd_sc_hd__nor2_2
+XFILLER_264_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75452_ _75607_/A _75442_/X VGND VGND VPWR VPWR _75452_/X sky130_fd_sc_hd__or2_2
+XFILLER_543_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41844_ _41844_/A _41843_/X VGND VGND VPWR VPWR _41844_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_723_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72664_ _72674_/A _72664_/B _81371_/Q VGND VGND VPWR VPWR _72664_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_328_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57598_ _57598_/A _57598_/B _57597_/Y VGND VGND VPWR VPWR _57599_/B sky130_fd_sc_hd__nor3_2
+XFILLER_29_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74403_ _75109_/A _74399_/A VGND VGND VPWR VPWR _74515_/A sky130_fd_sc_hd__nand2_2
+XFILLER_406_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_640_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71615_ _71610_/X _71615_/B VGND VGND VPWR VPWR _81502_/D sky130_fd_sc_hd__nand2_2
+X_47351_ _42256_/Y _47337_/X _42259_/Y _47338_/X VGND VGND VPWR VPWR _47532_/A sky130_fd_sc_hd__o22a_4
+XFILLER_166_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78171_ _78170_/CLK _78171_/D VGND VGND VPWR VPWR _50068_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_444_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59337_ _58992_/X _59334_/Y _59337_/C VGND VGND VPWR VPWR _59343_/B sky130_fd_sc_hd__nor3_2
+XFILLER_57_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_441_0_u_core.clock clkbuf_9_441_0_u_core.clock/A VGND VGND VPWR VPWR _80099_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_421_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44563_ _44563_/A VGND VGND VPWR VPWR _44572_/A sky130_fd_sc_hd__buf_1
+XPHY_28055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56549_ _56350_/A _56547_/Y _56549_/C VGND VGND VPWR VPWR _56553_/B sky130_fd_sc_hd__nor3_2
+XFILLER_73_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75383_ _75380_/X _75383_/B VGND VGND VPWR VPWR _75383_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_330_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_598_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_426_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41775_ _79082_/Q VGND VGND VPWR VPWR _41775_/Y sky130_fd_sc_hd__inv_8
+XPHY_37844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72595_ _72165_/A _72703_/B VGND VGND VPWR VPWR _72595_/X sky130_fd_sc_hd__or2_2
+XFILLER_309_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_578_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_379_3025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_378_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_203_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_377_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_164_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46302_ _46302_/A VGND VGND VPWR VPWR _46667_/A sky130_fd_sc_hd__buf_1
+XFILLER_73_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77122_ _77141_/CLK _77122_/D VGND VGND VPWR VPWR _77122_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_56_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43514_ _43514_/A VGND VGND VPWR VPWR _55555_/A sky130_fd_sc_hd__buf_1
+XFILLER_422_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74334_ _74332_/X _74334_/B VGND VGND VPWR VPWR _74334_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_389_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_37877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40726_ _40726_/A _40726_/B VGND VGND VPWR VPWR _79800_/D sky130_fd_sc_hd__nand2_2
+XFILLER_199_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_717_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47282_ _41705_/C _47276_/B VGND VGND VPWR VPWR _47283_/B sky130_fd_sc_hd__and2_2
+XFILLER_658_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59268_ _77309_/Q _59446_/B VGND VGND VPWR VPWR _59269_/C sky130_fd_sc_hd__nor2_2
+X_71546_ _71544_/X _71546_/B VGND VGND VPWR VPWR _71547_/D sky130_fd_sc_hd__nor2_2
+XFILLER_461_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44494_ _79225_/Q _44502_/A VGND VGND VPWR VPWR _44494_/Y sky130_fd_sc_hd__nor2_2
+XPHY_26620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49021_ _49050_/A _49039_/B VGND VGND VPWR VPWR _49022_/B sky130_fd_sc_hd__or2_2
+XFILLER_199_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_3071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46233_ _46233_/A VGND VGND VPWR VPWR _46241_/A sky130_fd_sc_hd__buf_1
+XFILLER_129_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_709_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58219_ _57022_/A VGND VGND VPWR VPWR _58339_/A sky130_fd_sc_hd__buf_1
+XFILLER_340_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77053_ _77408_/CLK _77053_/D VGND VGND VPWR VPWR _77053_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43445_ _43430_/X _43456_/B _79347_/Q VGND VGND VPWR VPWR _43445_/Y sky130_fd_sc_hd__nand3_2
+X_74265_ _74274_/A _74265_/B _74265_/C VGND VGND VPWR VPWR _74266_/B sky130_fd_sc_hd__nand3_2
+XFILLER_393_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40657_ _40655_/X _40656_/Y VGND VGND VPWR VPWR _79819_/D sky130_fd_sc_hd__nand2_2
+XFILLER_657_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71477_ _71434_/A _42930_/A VGND VGND VPWR VPWR _71477_/X sky130_fd_sc_hd__or2_2
+XFILLER_379_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59199_ _59199_/A VGND VGND VPWR VPWR _61600_/A sky130_fd_sc_hd__buf_1
+XPHY_16141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_403_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_40_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76004_ _75497_/A _76007_/B VGND VGND VPWR VPWR _76004_/X sky130_fd_sc_hd__or2_2
+XFILLER_615_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_724_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73216_ _73216_/A VGND VGND VPWR VPWR _73235_/A sky130_fd_sc_hd__buf_1
+X_61230_ _60449_/A VGND VGND VPWR VPWR _61231_/B sky130_fd_sc_hd__buf_1
+XPHY_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_613_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46164_ _46155_/A _46163_/X VGND VGND VPWR VPWR _46164_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_125_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_70428_ _70428_/A VGND VGND VPWR VPWR _56209_/A sky130_fd_sc_hd__inv_8
+XFILLER_717_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_353_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43376_ _43362_/X VGND VGND VPWR VPWR _43377_/A sky130_fd_sc_hd__buf_1
+XPHY_15440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74196_ _74189_/A _74185_/B _80997_/Q VGND VGND VPWR VPWR _74197_/B sky130_fd_sc_hd__nand3_2
+XPHY_16185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_490_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40588_ _40586_/X _40588_/B VGND VGND VPWR VPWR _40588_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_439_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_674_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_99 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_711_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45115_ _45176_/A _45114_/Y VGND VGND VPWR VPWR _45115_/X sky130_fd_sc_hd__or2_2
+XFILLER_670_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42327_ _42290_/X VGND VGND VPWR VPWR _42328_/B sky130_fd_sc_hd__buf_1
+XFILLER_12_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61161_ _77769_/Q _61161_/B VGND VGND VPWR VPWR _61162_/C sky130_fd_sc_hd__nor2_2
+XFILLER_129_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73147_ _73216_/A VGND VGND VPWR VPWR _73148_/A sky130_fd_sc_hd__buf_1
+XFILLER_396_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46095_ _45205_/A _43042_/Y VGND VGND VPWR VPWR _46097_/B sky130_fd_sc_hd__nor2_2
+XFILLER_537_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_67_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70359_ _42105_/A VGND VGND VPWR VPWR _56201_/A sky130_fd_sc_hd__inv_8
+XFILLER_590_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_255_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_494_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_361_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_275_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60112_ _60112_/A _59943_/B VGND VGND VPWR VPWR _60112_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_299_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49923_ _49914_/A VGND VGND VPWR VPWR _49933_/B sky130_fd_sc_hd__buf_1
+XFILLER_373_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45046_ _45086_/A VGND VGND VPWR VPWR _45064_/A sky130_fd_sc_hd__buf_1
+XFILLER_613_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42258_ _42256_/Y _46238_/B VGND VGND VPWR VPWR _42262_/A sky130_fd_sc_hd__nor2_2
+XFILLER_550_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73078_ _73078_/A VGND VGND VPWR VPWR _73089_/A sky130_fd_sc_hd__buf_1
+X_77955_ _77956_/CLK _77955_/D VGND VGND VPWR VPWR _62617_/A sky130_fd_sc_hd__dfxtp_4
+X_61092_ _59502_/X VGND VGND VPWR VPWR _61095_/A sky130_fd_sc_hd__buf_1
+XPHY_32151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_159_0_u_core.clock clkbuf_7_79_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_319_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_392_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_295_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_119_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_64_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_193_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_711_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41209_ _41149_/A VGND VGND VPWR VPWR _41209_/X sky130_fd_sc_hd__buf_1
+XFILLER_649_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60043_ _60043_/A _60043_/B VGND VGND VPWR VPWR _60046_/B sky130_fd_sc_hd__nor2_2
+X_64920_ _81202_/Q _64920_/B VGND VGND VPWR VPWR _64920_/Y sky130_fd_sc_hd__nor2_2
+X_76906_ _76911_/CLK _76906_/D VGND VGND VPWR VPWR _59960_/A sky130_fd_sc_hd__dfxtp_4
+X_72029_ _70807_/X _72029_/B VGND VGND VPWR VPWR _72029_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_80_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49854_ _49939_/A _49838_/A VGND VGND VPWR VPWR _49854_/X sky130_fd_sc_hd__or2_2
+XFILLER_193_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42189_ _79108_/Q VGND VGND VPWR VPWR _42189_/Y sky130_fd_sc_hd__inv_8
+Xclkbuf_5_10_0_u_core.clock clkbuf_4_5_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_5_10_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_488_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_302_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77886_ _77888_/CLK _77886_/D VGND VGND VPWR VPWR _61945_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_112_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_171_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_154_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48805_ _48805_/A _48804_/X VGND VGND VPWR VPWR _48805_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_664_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79625_ _79395_/CLK _79625_/D VGND VGND VPWR VPWR _68771_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_253_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64851_ _64851_/A _64816_/Y _64850_/Y VGND VGND VPWR VPWR _64852_/C sky130_fd_sc_hd__nor3_2
+XFILLER_136_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_76837_ _76841_/CLK _76837_/D VGND VGND VPWR VPWR _55173_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_113_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49785_ _49783_/Y _49784_/X VGND VGND VPWR VPWR _78247_/D sky130_fd_sc_hd__nand2_2
+XPHY_30760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46997_ _47023_/A _46997_/B VGND VGND VPWR VPWR _47002_/A sky130_fd_sc_hd__or2_2
+XFILLER_171_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_132_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_468_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63802_ _63144_/X _63802_/B _63802_/C VGND VGND VPWR VPWR _63802_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_661_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48736_ _48733_/X VGND VGND VPWR VPWR _48740_/B sky130_fd_sc_hd__buf_1
+X_67570_ _67570_/A VGND VGND VPWR VPWR _68229_/A sky130_fd_sc_hd__buf_1
+XFILLER_485_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79556_ _79100_/CLK _41828_/Y _41820_/X VGND VGND VPWR VPWR _46643_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_79_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45948_ _79061_/Q _45943_/B VGND VGND VPWR VPWR _45948_/Y sky130_fd_sc_hd__nor2_2
+X_64782_ _56556_/A _64782_/B VGND VGND VPWR VPWR _64782_/Y sky130_fd_sc_hd__nor2_2
+XPHY_20281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76768_ _76768_/CLK _55816_/Y VGND VGND VPWR VPWR _76768_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_642_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61994_ _61994_/A _61519_/B VGND VGND VPWR VPWR _61996_/B sky130_fd_sc_hd__nor2_2
+XFILLER_152_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_509_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_488_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_427_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_428_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66521_ _66521_/A _66683_/B VGND VGND VPWR VPWR _66521_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_471_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_483_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78507_ _78474_/CLK _78507_/D VGND VGND VPWR VPWR _78507_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_247_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_67_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63733_ _58979_/A _63729_/Y _63732_/Y VGND VGND VPWR VPWR _63733_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_706_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75719_ _75719_/A _75718_/Y VGND VGND VPWR VPWR _75719_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_455_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60945_ _60778_/A _60941_/Y _60944_/Y VGND VGND VPWR VPWR _60945_/Y sky130_fd_sc_hd__nor3_2
+X_48667_ _48665_/Y _48667_/B VGND VGND VPWR VPWR _78535_/D sky130_fd_sc_hd__nand2_2
+XFILLER_722_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79487_ _78988_/Q _79487_/D _42383_/X VGND VGND VPWR VPWR _42397_/A sky130_fd_sc_hd__dfstp_4
+XFILLER_23_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45879_ _79082_/Q _45912_/B VGND VGND VPWR VPWR _45879_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_113_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_548_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76699_ _79183_/CLK _76699_/D VGND VGND VPWR VPWR _70481_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_644_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_443_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_564_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_440_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38420_ _76165_/A _38420_/B VGND VGND VPWR VPWR _38422_/A sky130_fd_sc_hd__or2_2
+XFILLER_212_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69240_ _64561_/A _69238_/Y _69240_/C VGND VGND VPWR VPWR _69241_/C sky130_fd_sc_hd__nor3_2
+XFILLER_286_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47618_ _47647_/A _47611_/X VGND VGND VPWR VPWR _47618_/X sky130_fd_sc_hd__or2_2
+XFILLER_560_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66452_ _66122_/A _66448_/Y _66451_/Y VGND VGND VPWR VPWR _66452_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_364_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78438_ _77872_/CLK _78438_/D VGND VGND VPWR VPWR _63092_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_698_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63664_ _63664_/A _63223_/X VGND VGND VPWR VPWR _63664_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_409_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_286_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60876_ _77823_/Q _60876_/B VGND VGND VPWR VPWR _60876_/Y sky130_fd_sc_hd__nor2_2
+X_48598_ _78551_/Q _48583_/B VGND VGND VPWR VPWR _48598_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_599_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_254_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_561_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_522_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_93_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65403_ _65403_/A _65732_/B VGND VGND VPWR VPWR _65403_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_39_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38351_ _76075_/A _38460_/B VGND VGND VPWR VPWR _38351_/X sky130_fd_sc_hd__or2_2
+XFILLER_327_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62615_ _62453_/X _62615_/B _62614_/Y VGND VGND VPWR VPWR _62615_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_281_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69171_ _80396_/Q _68878_/B VGND VGND VPWR VPWR _69171_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_522_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47549_ _47641_/A _47548_/X VGND VGND VPWR VPWR _47550_/B sky130_fd_sc_hd__or2_2
+X_66383_ _66383_/A _66538_/B VGND VGND VPWR VPWR _66383_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_362_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_323_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_263_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78369_ _78514_/CLK _49299_/Y VGND VGND VPWR VPWR _49297_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_679_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63595_ _63445_/X _63591_/Y _63594_/Y VGND VGND VPWR VPWR _63595_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_35_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_91_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_397_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80400_ _80370_/CLK _38340_/Y VGND VGND VPWR VPWR _80400_/Q sky130_fd_sc_hd__dfxtp_4
+X_68122_ _67454_/X _68122_/B _68122_/C VGND VGND VPWR VPWR _68122_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_345_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65334_ _39864_/C _65673_/B VGND VGND VPWR VPWR _65335_/C sky130_fd_sc_hd__nor2_2
+XFILLER_395_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50560_ _50649_/A _50572_/B VGND VGND VPWR VPWR _50560_/X sky130_fd_sc_hd__or2_2
+X_38282_ _38279_/A _38279_/B _80416_/Q VGND VGND VPWR VPWR _38282_/Y sky130_fd_sc_hd__nand3_2
+X_62546_ _62078_/A _62542_/Y _62546_/C VGND VGND VPWR VPWR _62547_/C sky130_fd_sc_hd__nor3_2
+XFILLER_718_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81380_ _81346_/CLK _72633_/Y VGND VGND VPWR VPWR _81380_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_698_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_206_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_56_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_694_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_676_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_401_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_692_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49219_ _49219_/A _49201_/B VGND VGND VPWR VPWR _49221_/A sky130_fd_sc_hd__nand2_2
+X_68053_ _38385_/C _67888_/B VGND VGND VPWR VPWR _68054_/C sky130_fd_sc_hd__nor2_2
+XFILLER_577_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80331_ _80346_/CLK _80331_/D VGND VGND VPWR VPWR _38637_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_143_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_529_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_403_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_559_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_672_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65265_ _67746_/A VGND VGND VPWR VPWR _65439_/A sky130_fd_sc_hd__buf_1
+XFILLER_573_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_87_0_u_core.clock clkbuf_9_87_0_u_core.clock/A VGND VGND VPWR VPWR _76947_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_50491_ _62836_/A _50491_/B VGND VGND VPWR VPWR _50494_/A sky130_fd_sc_hd__nand2_2
+XFILLER_473_3024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62477_ _58912_/X VGND VGND VPWR VPWR _62477_/X sky130_fd_sc_hd__buf_1
+XFILLER_280_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_538_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_37_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_397_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67004_ _67004_/A _67004_/B _67003_/Y VGND VGND VPWR VPWR _67004_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_241_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52230_ _52230_/A _52230_/B VGND VGND VPWR VPWR _52230_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_15_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_124_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64216_ _69455_/A _64216_/B _64216_/C VGND VGND VPWR VPWR _64243_/A sky130_fd_sc_hd__nor3_2
+XFILLER_337_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_544_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61428_ _52406_/A _61428_/B VGND VGND VPWR VPWR _61428_/Y sky130_fd_sc_hd__nor2_2
+X_80262_ _80259_/CLK _80262_/D VGND VGND VPWR VPWR _80262_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_104_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_714_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65196_ _65177_/Y _65196_/B VGND VGND VPWR VPWR _65197_/B sky130_fd_sc_hd__nor2_2
+XFILLER_293_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_699_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_555_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52161_ _52161_/A _52184_/B VGND VGND VPWR VPWR _52161_/X sky130_fd_sc_hd__or2_2
+XFILLER_525_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64147_ _64433_/A VGND VGND VPWR VPWR _67551_/A sky130_fd_sc_hd__buf_1
+XFILLER_325_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_715_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61359_ _52762_/A _61201_/B VGND VGND VPWR VPWR _61359_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_631_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80193_ _80187_/CLK _80193_/D VGND VGND VPWR VPWR _57810_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_50_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_555_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_580_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_69_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51112_ _51112_/A _51112_/B VGND VGND VPWR VPWR _51112_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_85_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_352_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_293_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_490_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52092_ _52092_/A _52091_/X VGND VGND VPWR VPWR _52092_/Y sky130_fd_sc_hd__nand2_2
+X_68955_ _68130_/A VGND VGND VPWR VPWR _69236_/B sky130_fd_sc_hd__buf_1
+X_64078_ _64121_/A _64083_/A _65228_/A _64077_/Y VGND VGND VPWR VPWR _64078_/X sky130_fd_sc_hd__or4_2
+XFILLER_305_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_454_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_631_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_1437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51043_ _51011_/A _51049_/B VGND VGND VPWR VPWR _51043_/X sky130_fd_sc_hd__or2_2
+X_55920_ _42053_/X _55920_/B VGND VGND VPWR VPWR _55921_/B sky130_fd_sc_hd__nor2_2
+XFILLER_236_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67906_ _68729_/A VGND VGND VPWR VPWR _68395_/B sky130_fd_sc_hd__buf_1
+XFILLER_490_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63029_ _63029_/A _63494_/B VGND VGND VPWR VPWR _63029_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_415_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_11409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_679_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_701_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_330_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68886_ _68719_/A _68886_/B VGND VGND VPWR VPWR _68927_/B sky130_fd_sc_hd__nor2_2
+XFILLER_265_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_30 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_451_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_412_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_115_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_277_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_494_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39805_ _39804_/X _39819_/B VGND VGND VPWR VPWR _39805_/X sky130_fd_sc_hd__or2_2
+XFILLER_172_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55851_ _79174_/Q _55856_/C _44960_/A VGND VGND VPWR VPWR _55851_/X sky130_fd_sc_hd__and3_2
+X_67837_ _67837_/A VGND VGND VPWR VPWR _67846_/A sky130_fd_sc_hd__buf_1
+XPHY_10719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54802_ _54800_/Y _54801_/X VGND VGND VPWR VPWR _54802_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_98_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39736_ _39254_/A _39880_/B VGND VGND VPWR VPWR _39740_/A sky130_fd_sc_hd__or2_2
+XFILLER_217_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58570_ _68940_/A _58704_/B VGND VGND VPWR VPWR _58572_/B sky130_fd_sc_hd__nor2_2
+XFILLER_28_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_330_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55782_ _55780_/Y _55781_/X VGND VGND VPWR VPWR _76778_/D sky130_fd_sc_hd__nand2_2
+XFILLER_44_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67768_ _68253_/A _67764_/Y _67768_/C VGND VGND VPWR VPWR _67769_/C sky130_fd_sc_hd__nor3_2
+XFILLER_258_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_311_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_712_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52994_ _52994_/A _52994_/B VGND VGND VPWR VPWR _52994_/X sky130_fd_sc_hd__or2_2
+XFILLER_449_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_69_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_2_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_281_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57521_ _57689_/A _57521_/B VGND VGND VPWR VPWR _57521_/Y sky130_fd_sc_hd__nor2_2
+X_69507_ _69507_/A _64724_/B VGND VGND VPWR VPWR _69508_/C sky130_fd_sc_hd__nor2_2
+XFILLER_213_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54733_ _54756_/B VGND VGND VPWR VPWR _54752_/B sky130_fd_sc_hd__buf_1
+XFILLER_410_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_113_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66719_ _66719_/A VGND VGND VPWR VPWR _66894_/B sky130_fd_sc_hd__buf_1
+XFILLER_527_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_636_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39667_ _39667_/A VGND VGND VPWR VPWR _39678_/A sky130_fd_sc_hd__buf_1
+XFILLER_446_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51945_ _51954_/A VGND VGND VPWR VPWR _51950_/B sky130_fd_sc_hd__buf_1
+XPHY_5304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_547_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_484_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67699_ _80643_/Q _67524_/B VGND VGND VPWR VPWR _67701_/B sky130_fd_sc_hd__nor2_2
+XPHY_5315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38618_ _38618_/A _72343_/B _75825_/C VGND VGND VPWR VPWR _38619_/B sky130_fd_sc_hd__or3_2
+X_69438_ _69160_/A _69438_/B _69437_/Y VGND VGND VPWR VPWR _69438_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57452_ _57452_/A _57128_/X VGND VGND VPWR VPWR _57452_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_363_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54664_ _54664_/A _54639_/A VGND VGND VPWR VPWR _54664_/X sky130_fd_sc_hd__or2_2
+XFILLER_426_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_406_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51876_ _51876_/A _51884_/B VGND VGND VPWR VPWR _51879_/A sky130_fd_sc_hd__nand2_2
+X_39598_ _39592_/A _39592_/B _39598_/C VGND VGND VPWR VPWR _39599_/B sky130_fd_sc_hd__nand3_2
+XPHY_5359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_505 _65249_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_265_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_96_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_516 _64852_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_2_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_92_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56403_ _56275_/A VGND VGND VPWR VPWR _57547_/A sky130_fd_sc_hd__buf_1
+XFILLER_363_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_527 _66036_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_232_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53615_ _53618_/A VGND VGND VPWR VPWR _53630_/B sky130_fd_sc_hd__buf_1
+XFILLER_465_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38549_ _38688_/A _38539_/B VGND VGND VPWR VPWR _38549_/X sky130_fd_sc_hd__or2_2
+XFILLER_415_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50827_ _50827_/A _50826_/X VGND VGND VPWR VPWR _50827_/X sky130_fd_sc_hd__or2_2
+XFILLER_540_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57383_ _57144_/X _57349_/X _57382_/X _74488_/A _57227_/X VGND VGND VPWR VPWR _76656_/D
++ sky130_fd_sc_hd__a32oi_4
+XANTENNA_538 _68019_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_70_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69369_ _80973_/Q _69369_/B VGND VGND VPWR VPWR _69371_/B sky130_fd_sc_hd__nor2_2
+XPHY_3913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54595_ _54652_/A _54601_/B VGND VGND VPWR VPWR _54596_/B sky130_fd_sc_hd__or2_2
+XFILLER_57_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_595_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_549 _69278_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59122_ _59122_/A VGND VGND VPWR VPWR _59388_/B sky130_fd_sc_hd__buf_1
+XFILLER_521_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71400_ _70347_/X _71399_/Y VGND VGND VPWR VPWR _71400_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_224_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_697_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56334_ _56347_/A VGND VGND VPWR VPWR _56623_/A sky130_fd_sc_hd__buf_1
+XPHY_3946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53546_ _53546_/A _53549_/B VGND VGND VPWR VPWR _53547_/B sky130_fd_sc_hd__or2_2
+X_41560_ _41558_/X _41559_/Y VGND VGND VPWR VPWR _41560_/Y sky130_fd_sc_hd__nand2_2
+XPHY_36439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72380_ _72417_/A VGND VGND VPWR VPWR _72394_/B sky130_fd_sc_hd__buf_1
+XPHY_3957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50758_ _50756_/Y _50758_/B VGND VGND VPWR VPWR _50758_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_241_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_129_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_341_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40511_ _40503_/A _40369_/B _64665_/A VGND VGND VPWR VPWR _40512_/B sky130_fd_sc_hd__nand3_2
+XFILLER_536_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71331_ _70903_/Y _71330_/X VGND VGND VPWR VPWR _71332_/B sky130_fd_sc_hd__and2_2
+XFILLER_386_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59053_ _58974_/X VGND VGND VPWR VPWR _59357_/A sky130_fd_sc_hd__buf_1
+XFILLER_90_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80529_ _80584_/CLK _76059_/Y VGND VGND VPWR VPWR _64719_/A sky130_fd_sc_hd__dfxtp_4
+X_56265_ _56265_/A _56264_/Y VGND VGND VPWR VPWR _56265_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_359_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41491_ _41503_/A _41503_/B _41491_/C VGND VGND VPWR VPWR _41492_/B sky130_fd_sc_hd__nand3_2
+XFILLER_636_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53477_ _53626_/A VGND VGND VPWR VPWR _53512_/A sky130_fd_sc_hd__buf_1
+XFILLER_52_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50689_ _61866_/A _50692_/B VGND VGND VPWR VPWR _50691_/A sky130_fd_sc_hd__nand2_2
+XFILLER_671_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_502_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_595_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_322_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58004_ _58322_/A _58002_/Y _58003_/Y VGND VGND VPWR VPWR _58008_/B sky130_fd_sc_hd__nor3_2
+XFILLER_259_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43230_ _43227_/X _43230_/B _43229_/Y VGND VGND VPWR VPWR _43230_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_706_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55216_ _76827_/Q _55092_/A VGND VGND VPWR VPWR _55216_/X sky130_fd_sc_hd__and2_2
+Xclkbuf_4_5_1_u_core.clock clkbuf_4_5_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_4_5_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_74050_ _74723_/A VGND VGND VPWR VPWR _74202_/A sky130_fd_sc_hd__buf_1
+XFILLER_55_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40442_ _40396_/A VGND VGND VPWR VPWR _40443_/B sky130_fd_sc_hd__buf_1
+XPHY_24514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52428_ _52278_/X VGND VGND VPWR VPWR _52428_/X sky130_fd_sc_hd__buf_1
+XFILLER_40_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71262_ _71346_/A _71262_/B VGND VGND VPWR VPWR _71262_/X sky130_fd_sc_hd__or2_2
+XFILLER_716_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56196_ _55984_/A _56196_/B _56196_/C VGND VGND VPWR VPWR _56197_/B sky130_fd_sc_hd__or3_2
+XPHY_24525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_517_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73001_ _73075_/A VGND VGND VPWR VPWR _73002_/B sky130_fd_sc_hd__buf_1
+XFILLER_68_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70213_ _70213_/A VGND VGND VPWR VPWR _70213_/Y sky130_fd_sc_hd__inv_8
+XFILLER_383_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43161_ _43146_/Y _43161_/B VGND VGND VPWR VPWR _43162_/B sky130_fd_sc_hd__nand2_2
+X_55147_ _70383_/A _55106_/B VGND VGND VPWR VPWR _55148_/B sky130_fd_sc_hd__or2_2
+XFILLER_656_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_532_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40373_ _40369_/X _40372_/Y VGND VGND VPWR VPWR _79886_/D sky130_fd_sc_hd__nand2_2
+XFILLER_276_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71193_ _55362_/A _71305_/B VGND VGND VPWR VPWR _71193_/Y sky130_fd_sc_hd__nor2_2
+X_52359_ _52359_/A _52359_/B VGND VGND VPWR VPWR _77569_/D sky130_fd_sc_hd__nand2_2
+XFILLER_572_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_194_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_4_8_0_u_core.clock clkbuf_3_4_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_4_8_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_393_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42112_ _42112_/A VGND VGND VPWR VPWR _56615_/A sky130_fd_sc_hd__buf_1
+XFILLER_5_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_677_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70144_ _70143_/X VGND VGND VPWR VPWR _70875_/B sky130_fd_sc_hd__inv_8
+XFILLER_153_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_218_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43092_ _43084_/Y _43085_/X _43087_/Y _43091_/Y VGND VGND VPWR VPWR _43092_/X sky130_fd_sc_hd__a211o_4
+XFILLER_456_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59955_ _59643_/A _59955_/B _59954_/Y VGND VGND VPWR VPWR _59955_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_299_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55078_ _46828_/Y _55078_/B _55078_/C VGND VGND VPWR VPWR _55079_/B sky130_fd_sc_hd__or3_2
+XPHY_12600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_276_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_433_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_194_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_231_0_u_core.clock clkbuf_9_231_0_u_core.clock/A VGND VGND VPWR VPWR _79716_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_190_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_606_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42043_ _42042_/Y _42034_/B VGND VGND VPWR VPWR _42043_/Y sky130_fd_sc_hd__nor2_2
+X_46920_ _46836_/A VGND VGND VPWR VPWR _46920_/X sky130_fd_sc_hd__buf_1
+XPHY_12633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54029_ _77125_/Q _54008_/B VGND VGND VPWR VPWR _54031_/A sky130_fd_sc_hd__nand2_2
+X_58906_ _60033_/A VGND VGND VPWR VPWR _59621_/A sky130_fd_sc_hd__buf_1
+XFILLER_317_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77740_ _77211_/CLK _77740_/D VGND VGND VPWR VPWR _51705_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_276_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74952_ _74965_/A _74952_/B _69159_/A VGND VGND VPWR VPWR _74954_/A sky130_fd_sc_hd__nand3_2
+XFILLER_352_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70075_ _70075_/A _70074_/X VGND VGND VPWR VPWR _71819_/A sky130_fd_sc_hd__or2_2
+XPHY_13389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_181_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59886_ _53961_/A _59403_/B VGND VGND VPWR VPWR _59888_/B sky130_fd_sc_hd__nor2_2
+XFILLER_450_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_4_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_429_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73903_ _73883_/X VGND VGND VPWR VPWR _73904_/B sky130_fd_sc_hd__buf_1
+XFILLER_81_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_313_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46851_ _46851_/A _46851_/B _46851_/C VGND VGND VPWR VPWR _46852_/B sky130_fd_sc_hd__or3_2
+XPHY_12688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77671_ _77613_/CLK _77671_/D VGND VGND VPWR VPWR _77671_/Q sky130_fd_sc_hd__dfxtp_4
+X_58837_ _58837_/A _58833_/Y _58836_/Y VGND VGND VPWR VPWR _58837_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_311_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74883_ _74880_/X _74882_/Y VGND VGND VPWR VPWR _74883_/Y sky130_fd_sc_hd__nand2_2
+XPHY_12699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_1_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_548_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79410_ _79411_/CLK _43007_/Y VGND VGND VPWR VPWR _79410_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_11976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45802_ _70281_/X VGND VGND VPWR VPWR _45803_/A sky130_fd_sc_hd__inv_8
+XFILLER_231_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_608_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_450_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76622_ VGND VGND VPWR VPWR _76622_/HI la_data_out[118] sky130_fd_sc_hd__conb_1
+XPHY_11987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49570_ _62424_/A _49573_/B VGND VGND VPWR VPWR _49572_/A sky130_fd_sc_hd__nand2_2
+XFILLER_95_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73834_ _73955_/A _73817_/X VGND VGND VPWR VPWR _73834_/X sky130_fd_sc_hd__or2_2
+XFILLER_385_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46782_ _46712_/B VGND VGND VPWR VPWR _46783_/B sky130_fd_sc_hd__inv_8
+XPHY_11998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58768_ _58768_/A _58835_/B VGND VGND VPWR VPWR _58768_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_311_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43994_ _43988_/B _43993_/Y VGND VGND VPWR VPWR _43995_/C sky130_fd_sc_hd__nor2_2
+XFILLER_0_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_608_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_368_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_310_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48521_ _63993_/A _48521_/B VGND VGND VPWR VPWR _48524_/A sky130_fd_sc_hd__nand2_2
+XFILLER_580_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_76_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79341_ _81285_/CLK _43468_/Y VGND VGND VPWR VPWR _65114_/A sky130_fd_sc_hd__dfxtp_4
+X_45733_ _45733_/A _45754_/B VGND VGND VPWR VPWR _45738_/A sky130_fd_sc_hd__nor2_2
+XFILLER_236_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57719_ _58517_/A VGND VGND VPWR VPWR _57794_/B sky130_fd_sc_hd__buf_1
+XFILLER_276_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_248_272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_347_0_u_core.clock clkbuf_9_347_0_u_core.clock/A VGND VGND VPWR VPWR _78173_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_76553_ VGND VGND VPWR VPWR _76553_/HI la_data_out[49] sky130_fd_sc_hd__conb_1
+XPHY_7273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42945_ _42945_/A VGND VGND VPWR VPWR _42949_/A sky130_fd_sc_hd__buf_1
+X_73765_ _73776_/A _73756_/B _73765_/C VGND VGND VPWR VPWR _73766_/B sky130_fd_sc_hd__nand3_2
+XPHY_39021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70977_ _70940_/X _71579_/B VGND VGND VPWR VPWR _70977_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_706_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58699_ _58699_/A _58697_/Y _58699_/C VGND VGND VPWR VPWR _58699_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_79_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75504_ _75504_/A _75503_/Y VGND VGND VPWR VPWR _75504_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_480_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_424_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72716_ _72165_/A _72824_/B VGND VGND VPWR VPWR _72719_/A sky130_fd_sc_hd__or2_2
+X_48452_ _47945_/A _48335_/B VGND VGND VPWR VPWR _48452_/X sky130_fd_sc_hd__or2_2
+X_60730_ _59258_/X VGND VGND VPWR VPWR _60733_/A sky130_fd_sc_hd__buf_1
+XFILLER_1_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_76_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_463_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79272_ _79285_/CLK _43990_/Y VGND VGND VPWR VPWR _43710_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_149_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45664_ _55244_/B VGND VGND VPWR VPWR _45664_/Y sky130_fd_sc_hd__inv_8
+XFILLER_166_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76484_ VGND VGND VPWR VPWR _76484_/HI io_out[6] sky130_fd_sc_hd__conb_1
+XFILLER_291_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42876_ _42876_/A _41950_/Y _41961_/X VGND VGND VPWR VPWR _42876_/X sky130_fd_sc_hd__or3_2
+XFILLER_480_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73696_ _73694_/X _73695_/Y VGND VGND VPWR VPWR _81117_/D sky130_fd_sc_hd__nand2_2
+XPHY_39076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_131_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_614_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_543_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47403_ _47440_/A _47399_/X VGND VGND VPWR VPWR _47403_/X sky130_fd_sc_hd__or2_2
+XFILLER_217_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78223_ _77699_/CLK _49877_/Y VGND VGND VPWR VPWR _62065_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_5871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44615_ _44586_/A _44613_/Y _44615_/C VGND VGND VPWR VPWR _44616_/C sky130_fd_sc_hd__nor3_2
+X_75435_ _74679_/A VGND VGND VPWR VPWR _75838_/A sky130_fd_sc_hd__buf_1
+XFILLER_422_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_246_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41827_ _41827_/A _41827_/B VGND VGND VPWR VPWR _41827_/X sky130_fd_sc_hd__or2_2
+XPHY_38364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60661_ _60347_/A _60661_/B _60661_/C VGND VGND VPWR VPWR _60661_/Y sky130_fd_sc_hd__nor3_2
+XPHY_5882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48383_ _48383_/A _48383_/B VGND VGND VPWR VPWR _48383_/Y sky130_fd_sc_hd__nand2_2
+X_72647_ _72647_/A _72646_/Y VGND VGND VPWR VPWR _81376_/D sky130_fd_sc_hd__nand2_2
+XFILLER_92_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45595_ _45538_/Y VGND VGND VPWR VPWR _45596_/B sky130_fd_sc_hd__buf_1
+XPHY_37630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_2845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62400_ _62400_/A _62400_/B _62399_/Y VGND VGND VPWR VPWR _62400_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_232_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_75_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47334_ _47348_/A _47443_/A VGND VGND VPWR VPWR _47336_/A sky130_fd_sc_hd__or2_2
+XFILLER_210_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_186_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_567_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78154_ _78149_/CLK _78154_/D VGND VGND VPWR VPWR _50135_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_204_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44546_ _44546_/A VGND VGND VPWR VPWR _44564_/B sky130_fd_sc_hd__buf_1
+X_75366_ _75366_/A _75366_/B VGND VGND VPWR VPWR _75366_/X sky130_fd_sc_hd__or2_2
+XFILLER_504_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_75_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63380_ _63380_/A _63223_/X VGND VGND VPWR VPWR _63380_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_260_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41758_ _41758_/A _41757_/X VGND VGND VPWR VPWR _41758_/X sky130_fd_sc_hd__or2_2
+XPHY_37674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72578_ _72576_/X _72577_/Y VGND VGND VPWR VPWR _81393_/D sky130_fd_sc_hd__nand2_2
+X_60592_ _76775_/Q _60592_/B VGND VGND VPWR VPWR _60593_/C sky130_fd_sc_hd__nor2_2
+XFILLER_324_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_162_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_610_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77105_ _76911_/CLK _54120_/Y VGND VGND VPWR VPWR _77105_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_27173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_56_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74317_ _74045_/A _74311_/B VGND VGND VPWR VPWR _74321_/A sky130_fd_sc_hd__or2_2
+XFILLER_177_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62331_ _62967_/A _62329_/Y _62330_/Y VGND VGND VPWR VPWR _62332_/C sky130_fd_sc_hd__nor3_2
+XPHY_36962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40709_ _40653_/X VGND VGND VPWR VPWR _40709_/X sky130_fd_sc_hd__buf_1
+XPHY_27184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47265_ _47489_/A VGND VGND VPWR VPWR _58901_/A sky130_fd_sc_hd__inv_8
+X_71529_ _71661_/A _71465_/B _71528_/X VGND VGND VPWR VPWR _71529_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_160_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78085_ _77061_/CLK _50396_/Y VGND VGND VPWR VPWR _78085_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_18_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44477_ _73265_/B VGND VGND VPWR VPWR _44477_/X sky130_fd_sc_hd__buf_1
+XFILLER_20_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75297_ _75296_/X _75192_/B _75297_/C VGND VGND VPWR VPWR _75298_/B sky130_fd_sc_hd__nand3_2
+XPHY_27195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_559_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41689_ _41713_/C _41688_/X VGND VGND VPWR VPWR _41725_/A sky130_fd_sc_hd__or2_2
+XPHY_36984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_176_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_654_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49004_ _49004_/A _48989_/B VGND VGND VPWR VPWR _49006_/A sky130_fd_sc_hd__nand2_2
+XPHY_26472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46216_ _46216_/A _46216_/B VGND VGND VPWR VPWR _46217_/C sky130_fd_sc_hd__nor2_2
+XFILLER_129_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65050_ _66719_/A VGND VGND VPWR VPWR _65732_/B sky130_fd_sc_hd__buf_1
+XPHY_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_526_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77036_ _77028_/CLK _77036_/D VGND VGND VPWR VPWR _60313_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_26483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43428_ _43428_/A _43428_/B VGND VGND VPWR VPWR _79352_/D sky130_fd_sc_hd__nand2_2
+X_62262_ _61636_/A _62262_/B _62261_/Y VGND VGND VPWR VPWR _62270_/B sky130_fd_sc_hd__nor3_2
+XFILLER_121_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74248_ _74243_/X _74235_/X _80983_/Q VGND VGND VPWR VPWR _74248_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_340_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47196_ _47192_/B _47195_/Y _47184_/C VGND VGND VPWR VPWR _78888_/D sky130_fd_sc_hd__nor3_2
+XFILLER_277_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_301_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_9_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_693_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64001_ _64001_/A _63870_/B VGND VGND VPWR VPWR _64002_/C sky130_fd_sc_hd__nor2_2
+XFILLER_357_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61213_ _61213_/A _60738_/B VGND VGND VPWR VPWR _61215_/B sky130_fd_sc_hd__nor2_2
+XFILLER_319_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_550_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_294_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46147_ _43133_/A _46147_/B VGND VGND VPWR VPWR _46147_/X sky130_fd_sc_hd__or2_2
+XFILLER_185_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_671_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43359_ _72118_/B _43359_/B VGND VGND VPWR VPWR _43359_/X sky130_fd_sc_hd__or2_2
+XFILLER_118_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62193_ _61873_/X _62190_/Y _62192_/Y VGND VGND VPWR VPWR _62193_/Y sky130_fd_sc_hd__nor3_2
+X_74179_ _74179_/A _74173_/B VGND VGND VPWR VPWR _74179_/X sky130_fd_sc_hd__or2_2
+XFILLER_259_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_374_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_318_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_518_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_713_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_275_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_145_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61144_ _77617_/Q _61303_/B VGND VGND VPWR VPWR _61144_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_173_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46078_ _46085_/A _46085_/B _79035_/Q VGND VGND VPWR VPWR _46078_/X sky130_fd_sc_hd__or3_2
+XFILLER_537_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78987_ _79559_/CLK _78987_/D VGND VGND VPWR VPWR io_out[26] sky130_fd_sc_hd__dfxtp_4
+XFILLER_689_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_663_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49906_ _78214_/Q _49906_/B VGND VGND VPWR VPWR _49906_/Y sky130_fd_sc_hd__nand2_2
+X_45029_ _44938_/X VGND VGND VPWR VPWR _45029_/X sky130_fd_sc_hd__buf_1
+XFILLER_160_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68740_ _81193_/Q _68908_/B VGND VGND VPWR VPWR _68742_/B sky130_fd_sc_hd__nor2_2
+XFILLER_361_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_488_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65952_ _65952_/A _65941_/Y _65952_/C VGND VGND VPWR VPWR _65952_/Y sky130_fd_sc_hd__nor3_2
+X_61075_ _77753_/Q _61075_/B VGND VGND VPWR VPWR _61077_/B sky130_fd_sc_hd__nor2_2
+XFILLER_695_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77938_ _77937_/CLK _50948_/Y VGND VGND VPWR VPWR _62473_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_353_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_511_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_214_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60026_ _77186_/Q _60354_/B VGND VGND VPWR VPWR _60026_/Y sky130_fd_sc_hd__nor2_2
+X_64903_ _81074_/Q _65428_/B VGND VGND VPWR VPWR _64903_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_4_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49837_ _49837_/A _49844_/B VGND VGND VPWR VPWR _49837_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_138_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_152_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68671_ _68651_/Y _68671_/B VGND VGND VPWR VPWR _68672_/B sky130_fd_sc_hd__nor2_2
+XFILLER_341_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_271_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65883_ _75268_/C _66219_/B VGND VGND VPWR VPWR _65884_/C sky130_fd_sc_hd__nor2_2
+XFILLER_60_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77869_ _78451_/CLK _51196_/Y VGND VGND VPWR VPWR _77869_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_31291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_171_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_433_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_151_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_115_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_154_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67622_ _67622_/A _67621_/X VGND VGND VPWR VPWR _67622_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_253_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_687_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79608_ _79713_/CLK _79608_/D VGND VGND VPWR VPWR _65960_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_447_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64834_ _64387_/A _64834_/B _64834_/C VGND VGND VPWR VPWR _64838_/B sky130_fd_sc_hd__nor3_2
+XFILLER_312_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49768_ _49711_/A _49789_/B VGND VGND VPWR VPWR _49769_/B sky130_fd_sc_hd__or2_2
+XFILLER_566_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80880_ _80911_/CLK _80880_/D VGND VGND VPWR VPWR _74657_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_140_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_446_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_100_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_661_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_484_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39521_ _39133_/A _39524_/B VGND VGND VPWR VPWR _39521_/X sky130_fd_sc_hd__or2_2
+XFILLER_58_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48719_ _48719_/A _48718_/X VGND VGND VPWR VPWR _78521_/D sky130_fd_sc_hd__nand2_2
+X_67553_ _67553_/A _67064_/B VGND VGND VPWR VPWR _67553_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_462_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79539_ _78080_/CLK _42018_/Y VGND VGND VPWR VPWR _43084_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_661_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_645_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64765_ _64924_/A _64765_/B _64764_/Y VGND VGND VPWR VPWR _64765_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_446_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61977_ _61669_/A _61977_/B VGND VGND VPWR VPWR _61978_/C sky130_fd_sc_hd__nor2_2
+X_49699_ _49699_/A _49702_/B VGND VGND VPWR VPWR _49700_/B sky130_fd_sc_hd__or2_2
+XFILLER_66_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_490_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66504_ _66832_/A _66502_/Y _66503_/Y VGND VGND VPWR VPWR _66504_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_82_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51730_ _51730_/A _51729_/X VGND VGND VPWR VPWR _51730_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_449_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39452_ _39434_/A VGND VGND VPWR VPWR _39452_/X sky130_fd_sc_hd__buf_1
+XFILLER_97_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63716_ _78554_/Q _63716_/B VGND VGND VPWR VPWR _63716_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_94_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60928_ _59333_/A VGND VGND VPWR VPWR _61243_/B sky130_fd_sc_hd__buf_1
+XFILLER_660_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67484_ _67484_/A _67652_/B VGND VGND VPWR VPWR _67484_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_254_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64696_ _44621_/A VGND VGND VPWR VPWR _64696_/Y sky130_fd_sc_hd__inv_8
+XFILLER_255_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_93_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_399_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_443_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_23_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_97_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_227_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38403_ _38400_/A _38400_/B _38403_/C VGND VGND VPWR VPWR _38403_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_325_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_81501_ _81470_/CLK _81501_/D VGND VGND VPWR VPWR _66801_/A sky130_fd_sc_hd__dfxtp_4
+X_69223_ _69223_/A _64557_/B VGND VGND VPWR VPWR _69223_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_604_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66435_ _66754_/A _66431_/Y _66435_/C VGND VGND VPWR VPWR _66435_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_525_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51661_ _51659_/Y _51660_/X VGND VGND VPWR VPWR _51661_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_266_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39383_ _39381_/X _39382_/Y VGND VGND VPWR VPWR _80141_/D sky130_fd_sc_hd__nand2_2
+XFILLER_444_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63647_ _63647_/A _63359_/X VGND VGND VPWR VPWR _63647_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_58_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_60859_ _60550_/A _60859_/B _60858_/Y VGND VGND VPWR VPWR _60859_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_659_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_599_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_36_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_369_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53400_ _53400_/A _53399_/X VGND VGND VPWR VPWR _77295_/D sky130_fd_sc_hd__nand2_2
+XFILLER_110_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_694_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50612_ _62812_/A _50611_/X VGND VGND VPWR VPWR _50615_/A sky130_fd_sc_hd__nand2_2
+X_38334_ _76333_/A _38331_/B VGND VGND VPWR VPWR _38334_/X sky130_fd_sc_hd__or2_2
+X_69154_ _68860_/A _69150_/Y _69153_/Y VGND VGND VPWR VPWR _69162_/B sky130_fd_sc_hd__nor3_2
+XFILLER_558_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81432_ _81366_/CLK _81432_/D VGND VGND VPWR VPWR _81432_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_39_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54380_ _54076_/A VGND VGND VPWR VPWR _54471_/A sky130_fd_sc_hd__buf_1
+XFILLER_397_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66366_ _80218_/Q _66684_/B VGND VGND VPWR VPWR _66367_/C sky130_fd_sc_hd__nor2_2
+XFILLER_659_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51592_ _51592_/A _51591_/X VGND VGND VPWR VPWR _51592_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_58_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63578_ _78505_/Q _63709_/B VGND VGND VPWR VPWR _63579_/C sky130_fd_sc_hd__nor2_2
+XFILLER_369_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_558_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_17_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68105_ _68600_/A _68103_/Y _68105_/C VGND VGND VPWR VPWR _68105_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_397_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53331_ _59769_/A _53331_/B VGND VGND VPWR VPWR _53331_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_368_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_600_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65317_ _64802_/A _65313_/Y _65317_/C VGND VGND VPWR VPWR _65327_/B sky130_fd_sc_hd__nor3_2
+XPHY_1807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38265_ _38265_/A _38271_/B VGND VGND VPWR VPWR _38265_/X sky130_fd_sc_hd__or2_2
+X_50543_ _61724_/A _50543_/B VGND VGND VPWR VPWR _50543_/Y sky130_fd_sc_hd__nand2_2
+X_62529_ _62529_/A VGND VGND VPWR VPWR _62547_/A sky130_fd_sc_hd__buf_1
+XFILLER_479_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_672_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_81363_ _81330_/CLK _81363_/D VGND VGND VPWR VPWR _72692_/C sky130_fd_sc_hd__dfxtp_4
+X_69085_ _68777_/X _69083_/Y _69085_/C VGND VGND VPWR VPWR _69086_/C sky130_fd_sc_hd__nor3_2
+XPHY_1818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66297_ _66297_/A _65793_/B VGND VGND VPWR VPWR _66298_/C sky130_fd_sc_hd__nor2_2
+XFILLER_323_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_573_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_52_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56050_ _56004_/X _56049_/X io_in[29] _55980_/A VGND VGND VPWR VPWR wbs_dat_o[10]
++ sky130_fd_sc_hd__o22a_4
+X_68036_ _80837_/Q _67709_/B VGND VGND VPWR VPWR _68038_/B sky130_fd_sc_hd__nor2_2
+XFILLER_577_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80314_ _80315_/CLK _80314_/D VGND VGND VPWR VPWR _80314_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_440_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_594_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53262_ _53262_/A _53258_/B VGND VGND VPWR VPWR _53264_/A sky130_fd_sc_hd__nand2_2
+XFILLER_22_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65248_ _65248_/A VGND VGND VPWR VPWR _65911_/A sky130_fd_sc_hd__buf_1
+XFILLER_260_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_336_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_299_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50474_ _62356_/A _50462_/X VGND VGND VPWR VPWR _50476_/A sky130_fd_sc_hd__nand2_2
+XFILLER_200_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81294_ _81263_/CLK _72992_/Y VGND VGND VPWR VPWR _69477_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_653_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55001_ _55001_/A VGND VGND VPWR VPWR _55011_/B sky130_fd_sc_hd__buf_1
+XFILLER_109_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52213_ _77607_/Q _52197_/X VGND VGND VPWR VPWR _52213_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_418_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_703_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80245_ _80238_/CLK _80245_/D VGND VGND VPWR VPWR _80245_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_104_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53193_ _53193_/A _53221_/B VGND VGND VPWR VPWR _53203_/A sky130_fd_sc_hd__or2_2
+XPHY_32909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65179_ _39717_/C _65179_/B VGND VGND VPWR VPWR _65181_/B sky130_fd_sc_hd__nor2_2
+XFILLER_164_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_258_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_371_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_541_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_688_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52144_ _52144_/A _52125_/X VGND VGND VPWR VPWR _52144_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_276_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_525_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_2837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_352_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80176_ _80239_/CLK _80176_/D VGND VGND VPWR VPWR _64684_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_293_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_434_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_380_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_317_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69987_ _69987_/A VGND VGND VPWR VPWR _70589_/A sky130_fd_sc_hd__inv_8
+XFILLER_30_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_498_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59740_ _59740_/A VGND VGND VPWR VPWR _59741_/B sky130_fd_sc_hd__buf_1
+XPHY_21718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52075_ _52068_/A VGND VGND VPWR VPWR _52082_/B sky130_fd_sc_hd__buf_1
+X_56952_ _80950_/Q _56779_/B VGND VGND VPWR VPWR _56952_/Y sky130_fd_sc_hd__nor2_2
+X_68938_ _69500_/A _68936_/Y _68937_/Y VGND VGND VPWR VPWR _68942_/B sky130_fd_sc_hd__nor3_2
+XPHY_21729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_176_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_154_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51026_ _49525_/A VGND VGND VPWR VPWR _51055_/A sky130_fd_sc_hd__buf_1
+XFILLER_172_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55903_ _70041_/A _55894_/X _55903_/C VGND VGND VPWR VPWR _55904_/C sky130_fd_sc_hd__nor3_2
+XFILLER_584_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59671_ _59510_/A _59669_/Y _59671_/C VGND VGND VPWR VPWR _59671_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_412_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56883_ _80597_/Q _56707_/B VGND VGND VPWR VPWR _56885_/B sky130_fd_sc_hd__nor2_2
+X_68869_ _68869_/A _68367_/B VGND VGND VPWR VPWR _68869_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_24_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_493_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_133_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58622_ _80267_/Q _58311_/X VGND VGND VPWR VPWR _58623_/C sky130_fd_sc_hd__nor2_2
+X_70900_ _70120_/Y _70147_/Y VGND VGND VPWR VPWR _70900_/X sky130_fd_sc_hd__or2_2
+XFILLER_609_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55834_ _49237_/A _55843_/B VGND VGND VPWR VPWR _55834_/X sky130_fd_sc_hd__or2_2
+XPHY_10549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_527_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71880_ _71874_/B _71878_/Y _71759_/X _71879_/Y VGND VGND VPWR VPWR _71883_/B sky130_fd_sc_hd__o22a_4
+XFILLER_213_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_312_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_660_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_45_0_u_core.clock clkbuf_7_45_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_45_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_481_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39719_ _39235_/A _39617_/A VGND VGND VPWR VPWR _39719_/X sky130_fd_sc_hd__or2_2
+X_70831_ _70831_/A _70830_/X VGND VGND VPWR VPWR _72027_/B sky130_fd_sc_hd__or2_2
+XFILLER_135_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58553_ _58754_/A _58553_/B _58553_/C VGND VGND VPWR VPWR _58561_/A sky130_fd_sc_hd__nor3_2
+XFILLER_287_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_2_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_98_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55765_ _54989_/A _55740_/A VGND VGND VPWR VPWR _55766_/B sky130_fd_sc_hd__or2_2
+X_40991_ _40505_/X _40994_/B VGND VGND VPWR VPWR _40993_/A sky130_fd_sc_hd__or2_2
+XFILLER_723_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52977_ _61342_/A _52984_/B VGND VGND VPWR VPWR _52977_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_6_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57504_ _74346_/C _57584_/B VGND VGND VPWR VPWR _57504_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_7_5_0_u_core.clock clkbuf_7_5_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_5_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_365_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42730_ _45626_/A VGND VGND VPWR VPWR _65709_/A sky130_fd_sc_hd__inv_8
+X_54716_ _54801_/A _54722_/B VGND VGND VPWR VPWR _54716_/X sky130_fd_sc_hd__or2_2
+XFILLER_46_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73550_ _73539_/A _73550_/B _81156_/Q VGND VGND VPWR VPWR _73551_/B sky130_fd_sc_hd__nand3_2
+XFILLER_73_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51928_ _51928_/A _51927_/X VGND VGND VPWR VPWR _77682_/D sky130_fd_sc_hd__nand2_2
+XFILLER_429_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58484_ _58484_/A _58484_/B _58483_/Y VGND VGND VPWR VPWR _58484_/Y sky130_fd_sc_hd__nor3_2
+X_70762_ _70760_/Y _70762_/B _70725_/C VGND VGND VPWR VPWR _70763_/B sky130_fd_sc_hd__or3_2
+XFILLER_450_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_382_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55696_ _55678_/A _55696_/B VGND VGND VPWR VPWR _55696_/X sky130_fd_sc_hd__or2_2
+XFILLER_642_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_484_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_302 _47979_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_2_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72501_ _72206_/A _72500_/X VGND VGND VPWR VPWR _72501_/X sky130_fd_sc_hd__or2_2
+XFILLER_379_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_677_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_313 _53557_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_57435_ _57194_/A _57435_/B _57435_/C VGND VGND VPWR VPWR _57441_/B sky130_fd_sc_hd__nor3_2
+XFILLER_480_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_720_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_363_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54647_ _59936_/A _54654_/B VGND VGND VPWR VPWR _54650_/A sky130_fd_sc_hd__nand2_2
+XPHY_19558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42661_ _42626_/A _42661_/B _42660_/Y VGND VGND VPWR VPWR _42661_/Y sky130_fd_sc_hd__nor3_2
+XANTENNA_324 _49913_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_73481_ _73484_/A _73494_/B _81173_/Q VGND VGND VPWR VPWR _73483_/A sky130_fd_sc_hd__nand3_2
+XFILLER_265_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_549_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51859_ _51859_/A _51853_/X VGND VGND VPWR VPWR _51862_/A sky130_fd_sc_hd__nand2_2
+XPHY_19569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70693_ _76649_/Q _70771_/B VGND VGND VPWR VPWR _70695_/A sky130_fd_sc_hd__nand2_2
+XFILLER_311_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_335 _54758_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_18_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_346 _51158_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44400_ _44400_/A VGND VGND VPWR VPWR _44569_/A sky130_fd_sc_hd__buf_1
+XPHY_4466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75220_ _75341_/A _75231_/B VGND VGND VPWR VPWR _75222_/A sky130_fd_sc_hd__or2_2
+XFILLER_611_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_357 _49800_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_41612_ _41253_/A _41615_/B VGND VGND VPWR VPWR _41614_/A sky130_fd_sc_hd__or2_2
+XFILLER_260_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72432_ _72413_/X VGND VGND VPWR VPWR _72433_/A sky130_fd_sc_hd__buf_1
+XPHY_3732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45380_ _45328_/A _45355_/Y _45379_/Y VGND VGND VPWR VPWR _45380_/Y sky130_fd_sc_hd__nor3_2
+XANTENNA_368 _50877_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57366_ _57366_/A _57046_/X VGND VGND VPWR VPWR _57366_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_701_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_121 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42592_ _42580_/A _42592_/B VGND VGND VPWR VPWR _42592_/X sky130_fd_sc_hd__and2_2
+X_54578_ _54578_/A _54572_/B VGND VGND VPWR VPWR _54580_/A sky130_fd_sc_hd__nand2_2
+XANTENNA_379 _51720_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_438_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_302_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59105_ _59115_/A VGND VGND VPWR VPWR _59105_/X sky130_fd_sc_hd__buf_1
+XPHY_35513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44331_ _44433_/A VGND VGND VPWR VPWR _44419_/B sky130_fd_sc_hd__inv_8
+XPHY_3776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_130_0_u_core.clock clkbuf_7_65_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_260_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_56317_ _56695_/A _56265_/Y _56316_/Y VGND VGND VPWR VPWR _56317_/X sky130_fd_sc_hd__or3_2
+XFILLER_714_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75151_ _75115_/A VGND VGND VPWR VPWR _75151_/X sky130_fd_sc_hd__buf_1
+XFILLER_564_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41543_ _41038_/X _41527_/B VGND VGND VPWR VPWR _41543_/X sky130_fd_sc_hd__or2_2
+XFILLER_243_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53529_ _53529_/A _53437_/B VGND VGND VPWR VPWR _53539_/A sky130_fd_sc_hd__or2_2
+XFILLER_35_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72363_ _72358_/A _72350_/X _69063_/A VGND VGND VPWR VPWR _72363_/Y sky130_fd_sc_hd__nand3_2
+XPHY_3787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_204_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57297_ _57055_/A _57293_/Y _57297_/C VGND VGND VPWR VPWR _57297_/Y sky130_fd_sc_hd__nor3_2
+XPHY_35535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_190_0_u_core.clock clkbuf_8_95_0_u_core.clock/X VGND VGND VPWR VPWR _79470_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_359_176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_122_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74102_ _74625_/A _74085_/X VGND VGND VPWR VPWR _74104_/A sky130_fd_sc_hd__or2_2
+XPHY_35557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59036_ _60866_/A VGND VGND VPWR VPWR _59531_/A sky130_fd_sc_hd__buf_1
+X_71314_ _70986_/Y _71313_/Y VGND VGND VPWR VPWR _71314_/X sky130_fd_sc_hd__or2_2
+X_47050_ _70685_/X _46896_/X VGND VGND VPWR VPWR _47051_/C sky130_fd_sc_hd__nor2_2
+XFILLER_638_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_41_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44262_ _44208_/X _44259_/Y _65556_/A _44261_/X VGND VGND VPWR VPWR _44262_/X sky130_fd_sc_hd__o22a_4
+X_56248_ _56247_/X VGND VGND VPWR VPWR _58765_/B sky130_fd_sc_hd__buf_1
+X_75082_ _75203_/A _75090_/B VGND VGND VPWR VPWR _75082_/X sky130_fd_sc_hd__or2_2
+XPHY_25045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41474_ _41474_/A _41473_/Y VGND VGND VPWR VPWR _41474_/Y sky130_fd_sc_hd__nand2_2
+XPHY_35579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72294_ _74783_/A VGND VGND VPWR VPWR _72803_/A sky130_fd_sc_hd__buf_1
+XPHY_24311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_497_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46001_ _45965_/X VGND VGND VPWR VPWR _46064_/A sky130_fd_sc_hd__buf_1
+XFILLER_173_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_137_0_u_core.clock clkbuf_8_68_0_u_core.clock/X VGND VGND VPWR VPWR _79145_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_493_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43213_ _43213_/A VGND VGND VPWR VPWR _43217_/A sky130_fd_sc_hd__buf_1
+X_78910_ _79040_/CLK _78910_/D VGND VGND VPWR VPWR _72048_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_34867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74033_ _74709_/A VGND VGND VPWR VPWR _74192_/A sky130_fd_sc_hd__buf_1
+XFILLER_107_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40425_ _40429_/A _40429_/B _67825_/A VGND VGND VPWR VPWR _40425_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_237_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_2936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71245_ _70085_/X _71243_/X _71245_/C VGND VGND VPWR VPWR _71245_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_294_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44193_ _44023_/A _44258_/B _44192_/X VGND VGND VPWR VPWR _44194_/B sky130_fd_sc_hd__and3_2
+XFILLER_519_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56179_ _56179_/A _56179_/B VGND VGND VPWR VPWR _56180_/C sky130_fd_sc_hd__nor2_2
+XFILLER_471_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79890_ _79892_/CLK _79890_/D VGND VGND VPWR VPWR _64970_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_6_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_154_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_25_0_u_core.clock clkbuf_8_24_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_51_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_371_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_13_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_393_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_532_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43144_ _43140_/A VGND VGND VPWR VPWR _43145_/A sky130_fd_sc_hd__inv_8
+XPHY_13120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78841_ _78338_/CLK _47441_/Y VGND VGND VPWR VPWR _63565_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_614_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40356_ _40359_/A _40352_/B _64970_/A VGND VGND VPWR VPWR _40356_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_371_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_291_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71176_ _71764_/A _71176_/B _71176_/C VGND VGND VPWR VPWR _71176_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_159_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_246_0_u_core.clock clkbuf_8_246_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_493_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_182_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_3061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70127_ _69958_/A _70126_/X VGND VGND VPWR VPWR _70128_/B sky130_fd_sc_hd__nor2_2
+XPHY_13164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_5_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_677_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47952_ _63877_/A _47962_/B VGND VGND VPWR VPWR _47952_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_430_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43075_ _46109_/A _43075_/B VGND VGND VPWR VPWR _43076_/C sky130_fd_sc_hd__nor2_2
+X_59938_ _76970_/Q _60107_/B VGND VGND VPWR VPWR _59940_/B sky130_fd_sc_hd__nor2_2
+XFILLER_269_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_331_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78772_ _77387_/CLK _47735_/Y VGND VGND VPWR VPWR _64032_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_79_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40287_ _40285_/X _40287_/B VGND VGND VPWR VPWR _40287_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_669_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75984_ _75981_/X _75983_/Y VGND VGND VPWR VPWR _75984_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_434_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_81_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42026_ _41980_/A VGND VGND VPWR VPWR _42260_/A sky130_fd_sc_hd__buf_1
+X_46903_ _46877_/A _46903_/B VGND VGND VPWR VPWR _46907_/A sky130_fd_sc_hd__or2_2
+XFILLER_658_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77723_ _77714_/CLK _51775_/Y VGND VGND VPWR VPWR _61437_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_22997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_61_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74935_ _74931_/X _74831_/B _64454_/A VGND VGND VPWR VPWR _74936_/B sky130_fd_sc_hd__nand3_2
+XFILLER_489_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70058_ _69614_/B _70058_/B VGND VGND VPWR VPWR _70059_/A sky130_fd_sc_hd__or2_2
+XFILLER_272_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47883_ _47883_/A _47865_/B VGND VGND VPWR VPWR _47885_/A sky130_fd_sc_hd__nand2_2
+XFILLER_170_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59869_ _59547_/A _59866_/Y _59868_/Y VGND VGND VPWR VPWR _59869_/Y sky130_fd_sc_hd__nor3_2
+XPHY_11740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_335_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_649_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_312_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_46_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_457_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49622_ _49622_/A _49634_/B VGND VGND VPWR VPWR _49623_/B sky130_fd_sc_hd__or2_2
+X_61900_ _61278_/A _61896_/Y _61899_/Y VGND VGND VPWR VPWR _61900_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_42_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_485_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_429_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46834_ _46834_/A VGND VGND VPWR VPWR _46835_/A sky130_fd_sc_hd__inv_8
+XPHY_11773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77654_ _77716_/CLK _52032_/Y VGND VGND VPWR VPWR _77654_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_284_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62880_ _60201_/A VGND VGND VPWR VPWR _63039_/A sky130_fd_sc_hd__buf_1
+XFILLER_295_153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74866_ _75348_/A _74866_/B VGND VGND VPWR VPWR _74866_/X sky130_fd_sc_hd__or2_2
+XPHY_11784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_633_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_235_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_283_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_27_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_428_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_231_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_647_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76605_ VGND VGND VPWR VPWR _76605_/HI la_data_out[101] sky130_fd_sc_hd__conb_1
+XFILLER_541_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_444_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73817_ _73762_/A VGND VGND VPWR VPWR _73817_/X sky130_fd_sc_hd__buf_1
+X_61831_ _61831_/A _61206_/B VGND VGND VPWR VPWR _61831_/Y sky130_fd_sc_hd__nor2_2
+X_49553_ _49551_/Y _49552_/X VGND VGND VPWR VPWR _49553_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_114_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46765_ _46765_/A _46765_/B _46768_/C VGND VGND VPWR VPWR _78963_/D sky130_fd_sc_hd__nor3_2
+XFILLER_95_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77585_ _77584_/CLK _77585_/D VGND VGND VPWR VPWR _52293_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_661_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43977_ _43973_/A _43976_/Y VGND VGND VPWR VPWR _43978_/B sky130_fd_sc_hd__nor2_2
+XPHY_7070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_283_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74797_ _74797_/A _74796_/Y VGND VGND VPWR VPWR _80852_/D sky130_fd_sc_hd__nand2_2
+XFILLER_98_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_580_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_485_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48504_ _48470_/X _48500_/X VGND VGND VPWR VPWR _48505_/B sky130_fd_sc_hd__or2_2
+XFILLER_225_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79324_ _78931_/CLK _79324_/D VGND VGND VPWR VPWR _71378_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_428_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45716_ _70464_/X VGND VGND VPWR VPWR _45716_/Y sky130_fd_sc_hd__inv_8
+X_64550_ _68896_/A VGND VGND VPWR VPWR _64907_/B sky130_fd_sc_hd__buf_1
+XFILLER_548_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_283_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76536_ VGND VGND VPWR VPWR _76536_/HI la_data_out[28] sky130_fd_sc_hd__conb_1
+XFILLER_76_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42928_ _42938_/A _42938_/B _57995_/A VGND VGND VPWR VPWR _42929_/B sky130_fd_sc_hd__nand3_2
+XFILLER_0_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61762_ _61762_/A _62075_/B VGND VGND VPWR VPWR _61764_/B sky130_fd_sc_hd__nor2_2
+XFILLER_443_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_185_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49484_ _49482_/Y _49483_/X VGND VGND VPWR VPWR _49484_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_703_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73748_ _76066_/A _73626_/B VGND VGND VPWR VPWR _73748_/X sky130_fd_sc_hd__or2_2
+XFILLER_264_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_621_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46696_ _46696_/A _46195_/Y VGND VGND VPWR VPWR _46696_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_221_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_706_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_426_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63501_ _59138_/A VGND VGND VPWR VPWR _63901_/B sky130_fd_sc_hd__buf_1
+XFILLER_428_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_660_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_621_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60713_ _52990_/A _60403_/B VGND VGND VPWR VPWR _60714_/C sky130_fd_sc_hd__nor2_2
+XFILLER_598_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48435_ _48432_/Y _48434_/X VGND VGND VPWR VPWR _78594_/D sky130_fd_sc_hd__nand2_2
+XFILLER_248_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79255_ _79254_/CLK _79255_/D VGND VGND VPWR VPWR _44018_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_55_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_166_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45647_ _45647_/A _45637_/B VGND VGND VPWR VPWR _45649_/B sky130_fd_sc_hd__nor2_2
+X_64481_ _80560_/Q _64480_/X VGND VGND VPWR VPWR _64482_/C sky130_fd_sc_hd__nor2_2
+X_76467_ VGND VGND VPWR VPWR _76467_/HI io_oeb[27] sky130_fd_sc_hd__conb_1
+XFILLER_695_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_504_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42859_ _42830_/Y _42858_/X VGND VGND VPWR VPWR _42860_/C sky130_fd_sc_hd__and2_2
+XFILLER_53_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61693_ _61693_/A _61071_/X VGND VGND VPWR VPWR _61693_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_17_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73679_ _73642_/A VGND VGND VPWR VPWR _73680_/B sky130_fd_sc_hd__buf_1
+XFILLER_221_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_643_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_526_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_596_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_691_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66220_ _66707_/A _66220_/B _66219_/Y VGND VGND VPWR VPWR _66221_/C sky130_fd_sc_hd__nor3_2
+X_78206_ _78189_/CLK _78206_/D VGND VGND VPWR VPWR _61915_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75418_ _75418_/A _75418_/B VGND VGND VPWR VPWR _75418_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_440_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63432_ _48783_/A _63709_/B VGND VGND VPWR VPWR _63433_/C sky130_fd_sc_hd__nor2_2
+XFILLER_166_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_226_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48366_ _48365_/X VGND VGND VPWR VPWR _48367_/B sky130_fd_sc_hd__buf_1
+X_60644_ _60644_/A _60790_/B VGND VGND VPWR VPWR _60644_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_676_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79186_ _79185_/CLK _44833_/Y VGND VGND VPWR VPWR _44829_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_402_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45578_ _42584_/A _45589_/B VGND VGND VPWR VPWR _45581_/B sky130_fd_sc_hd__nor2_2
+XPHY_37460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76398_ _76396_/X _76397_/Y VGND VGND VPWR VPWR _76398_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_222_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_260_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_251_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47317_ _47317_/A _47317_/B VGND VGND VPWR VPWR _78867_/D sky130_fd_sc_hd__nand2_2
+X_66151_ _65658_/A _66147_/Y _66151_/C VGND VGND VPWR VPWR _66151_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_402_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78137_ _78728_/CLK _78137_/D VGND VGND VPWR VPWR _78137_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_37493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44529_ _44536_/A _44526_/Y _44529_/C VGND VGND VPWR VPWR _44529_/Y sky130_fd_sc_hd__nor3_2
+X_63363_ _63363_/A _63911_/B VGND VGND VPWR VPWR _63363_/Y sky130_fd_sc_hd__nor2_2
+X_75349_ _75352_/A _75360_/B _75349_/C VGND VGND VPWR VPWR _75350_/B sky130_fd_sc_hd__nand3_2
+XFILLER_207_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_567_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_457_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60575_ _60101_/A _60575_/B _60575_/C VGND VGND VPWR VPWR _60576_/B sky130_fd_sc_hd__nor3_2
+XFILLER_324_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_177_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48297_ _63887_/A _48297_/B VGND VGND VPWR VPWR _48301_/A sky130_fd_sc_hd__nand2_2
+XFILLER_225_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_393_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65102_ _65102_/A VGND VGND VPWR VPWR _65103_/B sky130_fd_sc_hd__buf_1
+XFILLER_324_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_673_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62314_ _61843_/X _62314_/B _62313_/Y VGND VGND VPWR VPWR _62322_/B sky130_fd_sc_hd__nor3_2
+XFILLER_277_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47248_ _41952_/Y _47247_/X VGND VGND VPWR VPWR _47248_/Y sky130_fd_sc_hd__nor2_2
+X_66082_ _66566_/A _66080_/Y _66081_/Y VGND VGND VPWR VPWR _66082_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_18_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78068_ _78080_/CLK _78068_/D VGND VGND VPWR VPWR _62838_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_517_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_199_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_179_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63294_ _63294_/A _63854_/B VGND VGND VPWR VPWR _63297_/B sky130_fd_sc_hd__nor2_2
+XFILLER_359_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_555_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_192_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_14_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_301_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_672_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_319_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65033_ _65033_/A _65560_/B VGND VGND VPWR VPWR _65034_/C sky130_fd_sc_hd__nor2_2
+XFILLER_474_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69910_ _69910_/A _69905_/Y VGND VGND VPWR VPWR _69911_/B sky130_fd_sc_hd__or2_2
+XFILLER_439_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77019_ _77029_/CLK _77019_/D VGND VGND VPWR VPWR _60151_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_30_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62245_ _78160_/Q _62089_/B VGND VGND VPWR VPWR _62246_/C sky130_fd_sc_hd__nor2_2
+XFILLER_496_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_372_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47179_ _46379_/B _47178_/Y VGND VGND VPWR VPWR _47179_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_140_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_146_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_515_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_435_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_138_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_157_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80030_ _80123_/CLK _80030_/D VGND VGND VPWR VPWR _39816_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_632_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_615_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_507_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69841_ _69841_/A _69841_/B VGND VGND VPWR VPWR _69842_/C sky130_fd_sc_hd__nor2_2
+XFILLER_192_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_118_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50190_ _50190_/A _50189_/X VGND VGND VPWR VPWR _78139_/D sky130_fd_sc_hd__nand2_2
+X_62176_ _62158_/X _62176_/B _62175_/Y VGND VGND VPWR VPWR _62176_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_511_3024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_435_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_220_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_470_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_66_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_179_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_711_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_696_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_195_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_216_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61127_ _61438_/A _61127_/B _61126_/Y VGND VGND VPWR VPWR _61127_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_455_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_353_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38952_ _38952_/A _38951_/Y VGND VGND VPWR VPWR _80247_/D sky130_fd_sc_hd__nand2_2
+XFILLER_550_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69772_ _69771_/Y VGND VGND VPWR VPWR _69773_/C sky130_fd_sc_hd__inv_8
+XFILLER_712_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66984_ _66984_/A _66984_/B VGND VGND VPWR VPWR _67026_/B sky130_fd_sc_hd__nor2_2
+XFILLER_290_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_86_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_585_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_9_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68723_ _68723_/A _68723_/B VGND VGND VPWR VPWR _68723_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_290_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61058_ _61058_/A _60592_/B VGND VGND VPWR VPWR _61059_/C sky130_fd_sc_hd__nor2_2
+X_65935_ _65935_/A _65770_/B VGND VGND VPWR VPWR _65935_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_114_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38883_ _38492_/A _38876_/X VGND VGND VPWR VPWR _38888_/A sky130_fd_sc_hd__or2_2
+XFILLER_271_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_475_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_112_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_190_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_648_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52900_ _52900_/A _52899_/X VGND VGND VPWR VPWR _52900_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_138_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60009_ _59094_/A VGND VGND VPWR VPWR _60010_/B sky130_fd_sc_hd__buf_1
+XFILLER_486_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_59_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80932_ _80902_/CLK _74451_/Y VGND VGND VPWR VPWR _67909_/A sky130_fd_sc_hd__dfxtp_4
+X_68654_ _58366_/A _67999_/B VGND VGND VPWR VPWR _68654_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_690_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53880_ _77165_/Q _53862_/B VGND VGND VPWR VPWR _53880_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_626_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65866_ _65866_/A _65866_/B VGND VGND VPWR VPWR _65866_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_262_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_173_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_543_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67605_ _41562_/C _67779_/B VGND VGND VPWR VPWR _67605_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_665_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52831_ _52828_/Y _52830_/X VGND VGND VPWR VPWR _77442_/D sky130_fd_sc_hd__nand2_2
+XFILLER_288_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64817_ _79985_/Q _64186_/B VGND VGND VPWR VPWR _64819_/B sky130_fd_sc_hd__nor2_2
+XFILLER_5_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80863_ _80863_/CLK _74745_/Y VGND VGND VPWR VPWR _80863_/Q sky130_fd_sc_hd__dfxtp_4
+X_68585_ _81416_/Q _68917_/B VGND VGND VPWR VPWR _68587_/B sky130_fd_sc_hd__nor2_2
+XFILLER_3_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65797_ _65301_/A _65797_/B _65796_/Y VGND VGND VPWR VPWR _65797_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_41_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_566_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39504_ _39113_/A _39501_/B VGND VGND VPWR VPWR _39504_/X sky130_fd_sc_hd__or2_2
+XFILLER_429_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_58_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55550_ _45164_/Y _55550_/B VGND VGND VPWR VPWR _55552_/B sky130_fd_sc_hd__nor2_2
+XFILLER_589_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67536_ _67536_/A _67873_/B VGND VGND VPWR VPWR _67536_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_55_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52762_ _52762_/A _52756_/X VGND VGND VPWR VPWR _52765_/A sky130_fd_sc_hd__nand2_2
+XFILLER_309_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64748_ _64748_/A _64748_/B _64748_/C VGND VGND VPWR VPWR _64749_/C sky130_fd_sc_hd__nor3_2
+XFILLER_28_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_347_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80794_ _80844_/CLK _75022_/Y VGND VGND VPWR VPWR _66227_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_505_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_481_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_131_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_145_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54501_ _54498_/Y _54500_/X VGND VGND VPWR VPWR _54501_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_344_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_186_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51713_ _51710_/Y _51712_/X VGND VGND VPWR VPWR _77739_/D sky130_fd_sc_hd__nand2_2
+X_39435_ _39431_/A _39438_/B _80127_/Q VGND VGND VPWR VPWR _39435_/Y sky130_fd_sc_hd__nand3_2
+XPHY_18109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55481_ _55470_/A _55481_/B VGND VGND VPWR VPWR _55481_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_724_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67467_ _66816_/A _67465_/Y _67467_/C VGND VGND VPWR VPWR _67468_/C sky130_fd_sc_hd__nor3_2
+X_52693_ _52750_/A _52693_/B VGND VGND VPWR VPWR _52693_/X sky130_fd_sc_hd__or2_2
+XFILLER_93_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64679_ _64838_/A _64679_/B _64678_/Y VGND VGND VPWR VPWR _64679_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_247_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_702_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_242_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_620_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57220_ _56404_/X VGND VGND VPWR VPWR _57220_/X sky130_fd_sc_hd__buf_1
+XFILLER_442_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69206_ _72599_/C _69344_/B VGND VGND VPWR VPWR _69207_/C sky130_fd_sc_hd__nor2_2
+XPHY_3028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_424_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54432_ _54432_/A _54340_/B VGND VGND VPWR VPWR _54433_/A sky130_fd_sc_hd__or2_2
+X_66418_ _64739_/A VGND VGND VPWR VPWR _66574_/B sky130_fd_sc_hd__buf_1
+XFILLER_266_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39366_ _39365_/X _39366_/B _56517_/A VGND VGND VPWR VPWR _39367_/B sky130_fd_sc_hd__nand3_2
+X_51644_ _51588_/A _51644_/B VGND VGND VPWR VPWR _51644_/X sky130_fd_sc_hd__or2_2
+XPHY_3039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_600_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_479_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_247_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67398_ _67398_/A _67740_/B VGND VGND VPWR VPWR _67399_/C sky130_fd_sc_hd__nor2_2
+XFILLER_423_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_244_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38317_ _38317_/A _38314_/B VGND VGND VPWR VPWR _38317_/X sky130_fd_sc_hd__or2_2
+XFILLER_546_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57151_ _58535_/A VGND VGND VPWR VPWR _57151_/X sky130_fd_sc_hd__buf_1
+XFILLER_282_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81415_ _81385_/CLK _81415_/D VGND VGND VPWR VPWR _72498_/C sky130_fd_sc_hd__dfxtp_4
+X_69137_ _69137_/A _69104_/Y _69137_/C VGND VGND VPWR VPWR _69138_/C sky130_fd_sc_hd__nor3_2
+XFILLER_240_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54363_ _54248_/X _54360_/B VGND VGND VPWR VPWR _54364_/B sky130_fd_sc_hd__or2_2
+XFILLER_299_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66349_ _66509_/A _66349_/B _66348_/Y VGND VGND VPWR VPWR _66370_/A sky130_fd_sc_hd__nor3_2
+XPHY_1604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39297_ _39294_/X _39296_/Y VGND VGND VPWR VPWR _39297_/Y sky130_fd_sc_hd__nand2_2
+X_51575_ _52022_/A VGND VGND VPWR VPWR _51663_/A sky130_fd_sc_hd__buf_1
+XPHY_2349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_369_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_655_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56102_ _56088_/A _56102_/B VGND VGND VPWR VPWR _56103_/B sky130_fd_sc_hd__nor2_2
+XFILLER_23_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_384_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53314_ _53314_/A _53314_/B VGND VGND VPWR VPWR _77316_/D sky130_fd_sc_hd__nand2_2
+XFILLER_71_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_637_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38248_ _38238_/A VGND VGND VPWR VPWR _38304_/A sky130_fd_sc_hd__buf_1
+X_50526_ _50524_/Y _50525_/X VGND VGND VPWR VPWR _78051_/D sky130_fd_sc_hd__nand2_2
+XFILLER_718_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81346_ _81346_/CLK _81346_/D VGND VGND VPWR VPWR _81346_/Q sky130_fd_sc_hd__dfxtp_4
+X_57082_ _57082_/A _57081_/Y VGND VGND VPWR VPWR _57082_/Y sky130_fd_sc_hd__nor2_2
+X_69068_ _68758_/A _69068_/B _69068_/C VGND VGND VPWR VPWR _69068_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_500_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_1446 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54294_ _54231_/X _54300_/B VGND VGND VPWR VPWR _54295_/B sky130_fd_sc_hd__or2_2
+XFILLER_479_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_149_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_301_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_197_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56033_ _55992_/A _45252_/B VGND VGND VPWR VPWR _56037_/A sky130_fd_sc_hd__or2_2
+XFILLER_516_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68019_ _68337_/A _68019_/B _68018_/Y VGND VGND VPWR VPWR _68019_/Y sky130_fd_sc_hd__nor3_2
+X_53245_ _53245_/A _53244_/X VGND VGND VPWR VPWR _53245_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_344_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_32_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_143_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50457_ _50455_/Y _50456_/X VGND VGND VPWR VPWR _78070_/D sky130_fd_sc_hd__nand2_2
+XFILLER_514_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_195_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_397_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81277_ _79368_/CLK _81277_/D VGND VGND VPWR VPWR _66762_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_30_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_136_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40210_ _40207_/A _40207_/B _79929_/Q VGND VGND VPWR VPWR _40210_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_336_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71030_ _70896_/X _71030_/B _71029_/Y VGND VGND VPWR VPWR _71030_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_101_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_703_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80228_ _80226_/CLK _80228_/D VGND VGND VPWR VPWR _39024_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41190_ _41047_/X _41187_/B VGND VGND VPWR VPWR _41193_/A sky130_fd_sc_hd__or2_2
+XFILLER_65_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53176_ _53337_/A VGND VGND VPWR VPWR _54983_/A sky130_fd_sc_hd__buf_1
+XFILLER_371_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50388_ _78087_/Q _50372_/X VGND VGND VPWR VPWR _50388_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_124_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_124_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40141_ _40197_/A VGND VGND VPWR VPWR _40142_/B sky130_fd_sc_hd__buf_1
+XFILLER_164_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52127_ _52124_/Y _52127_/B VGND VGND VPWR VPWR _77628_/D sky130_fd_sc_hd__nand2_2
+XPHY_21504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_713_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80159_ _80157_/CLK _39315_/Y VGND VGND VPWR VPWR _39314_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_21515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57984_ _57904_/A _57984_/B _57984_/C VGND VGND VPWR VPWR _57988_/B sky130_fd_sc_hd__nor3_2
+XFILLER_117_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_649_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59723_ _59393_/X _59723_/B _59722_/Y VGND VGND VPWR VPWR _59732_/B sky130_fd_sc_hd__nor3_2
+XFILLER_251_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52058_ _52056_/Y _52057_/X VGND VGND VPWR VPWR _52058_/Y sky130_fd_sc_hd__nand2_2
+X_56935_ _80086_/Q _57006_/B VGND VGND VPWR VPWR _56937_/B sky130_fd_sc_hd__nor2_2
+X_40072_ _40069_/A _40069_/B _40072_/C VGND VGND VPWR VPWR _40072_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_336_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_254_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_289_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_156_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72981_ _75109_/A VGND VGND VPWR VPWR _73023_/A sky130_fd_sc_hd__buf_1
+XPHY_9967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_685_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_666_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_277_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_134_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_466_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_369_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43900_ _43860_/X _43897_/Y _43900_/C VGND VGND VPWR VPWR _43900_/Y sky130_fd_sc_hd__nor3_2
+X_51009_ _62319_/A _50994_/X VGND VGND VPWR VPWR _51012_/A sky130_fd_sc_hd__nand2_2
+XFILLER_232_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74720_ _74720_/A VGND VGND VPWR VPWR _74720_/X sky130_fd_sc_hd__buf_1
+XFILLER_510_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_486_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_8_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71932_ _70838_/C _70838_/B VGND VGND VPWR VPWR _71932_/X sky130_fd_sc_hd__and2_2
+X_59654_ _59492_/A _59654_/B _59654_/C VGND VGND VPWR VPWR _59654_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_82_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44880_ _44888_/A _44879_/Y VGND VGND VPWR VPWR _44880_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_4_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56866_ _57665_/A VGND VGND VPWR VPWR _56945_/B sky130_fd_sc_hd__buf_1
+XFILLER_131_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_453_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_439_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58605_ _69130_/A _58538_/B VGND VGND VPWR VPWR _58606_/C sky130_fd_sc_hd__nor2_2
+XFILLER_115_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43831_ _43696_/A _43848_/B VGND VGND VPWR VPWR _43831_/Y sky130_fd_sc_hd__nor2_2
+X_55817_ _76767_/Q _55817_/B VGND VGND VPWR VPWR _55819_/A sky130_fd_sc_hd__nand2_2
+X_74651_ _74670_/A _74654_/B _74651_/C VGND VGND VPWR VPWR _74651_/Y sky130_fd_sc_hd__nand3_2
+Xclkbuf_9_434_0_u_core.clock clkbuf_9_435_0_u_core.clock/A VGND VGND VPWR VPWR _80073_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_111_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_670_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_527_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59585_ _59754_/A _59585_/B _59584_/Y VGND VGND VPWR VPWR _59586_/C sky130_fd_sc_hd__nor3_2
+X_71863_ _73227_/A VGND VGND VPWR VPWR _42974_/A sky130_fd_sc_hd__buf_1
+XFILLER_19_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_643_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56797_ _58399_/A VGND VGND VPWR VPWR _56982_/A sky130_fd_sc_hd__buf_1
+XFILLER_464_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_609_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_581_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_3054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_481_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73602_ _73965_/A _73609_/B VGND VGND VPWR VPWR _73605_/A sky130_fd_sc_hd__or2_2
+XFILLER_189_1540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_720_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58536_ _80234_/Q _58536_/B VGND VGND VPWR VPWR _58539_/B sky130_fd_sc_hd__nor2_2
+XFILLER_347_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46550_ _42372_/Y _46554_/B VGND VGND VPWR VPWR _46550_/X sky130_fd_sc_hd__or2_2
+XFILLER_41_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70814_ _70814_/A VGND VGND VPWR VPWR _70814_/Y sky130_fd_sc_hd__inv_8
+X_77370_ _77584_/CLK _77370_/D VGND VGND VPWR VPWR _77370_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_58_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55748_ _59946_/A _55741_/B VGND VGND VPWR VPWR _55751_/A sky130_fd_sc_hd__nand2_2
+XPHY_19300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43762_ _74958_/A _43734_/X VGND VGND VPWR VPWR _43763_/C sky130_fd_sc_hd__nor2_2
+X_74582_ _74195_/A _74582_/B VGND VGND VPWR VPWR _74582_/X sky130_fd_sc_hd__or2_2
+XFILLER_408_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_92_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40974_ _40611_/A _40984_/B VGND VGND VPWR VPWR _40974_/X sky130_fd_sc_hd__or2_2
+XFILLER_76_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_707_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71794_ _71399_/A _71794_/B VGND VGND VPWR VPWR _71795_/B sky130_fd_sc_hd__nor2_2
+XFILLER_362_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_555_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_428_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45501_ _45492_/A _45326_/B _45513_/C VGND VGND VPWR VPWR _45501_/Y sky130_fd_sc_hd__nor3_2
+X_76321_ _76284_/A VGND VGND VPWR VPWR _76321_/X sky130_fd_sc_hd__buf_1
+XFILLER_644_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42713_ _42702_/A _42704_/Y _42712_/Y VGND VGND VPWR VPWR _42713_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_226_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73533_ _73655_/A _73522_/B VGND VGND VPWR VPWR _73533_/X sky130_fd_sc_hd__or2_2
+XPHY_29867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_660_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46481_ _46587_/B _46479_/X _46593_/A VGND VGND VPWR VPWR _46483_/B sky130_fd_sc_hd__nor3_2
+X_58467_ _58467_/A _58467_/B VGND VGND VPWR VPWR _58468_/B sky130_fd_sc_hd__nor2_2
+X_70745_ _70718_/X _70745_/B VGND VGND VPWR VPWR _71937_/A sky130_fd_sc_hd__nor2_2
+XANTENNA_110 _70125_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_527_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43693_ _43693_/A VGND VGND VPWR VPWR _43697_/A sky130_fd_sc_hd__inv_8
+XFILLER_65_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55679_ _55559_/X _55678_/X VGND VGND VPWR VPWR _55679_/X sky130_fd_sc_hd__and2_2
+XFILLER_622_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_121 _71436_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_699_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_265_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_132 _71488_/C VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_37_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48220_ _48159_/X _48223_/B VGND VGND VPWR VPWR _48220_/X sky130_fd_sc_hd__or2_2
+XFILLER_406_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79040_ _79040_/CLK _79040_/D VGND VGND VPWR VPWR _72017_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_657_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45432_ _45236_/A _45431_/X VGND VGND VPWR VPWR _45432_/X sky130_fd_sc_hd__or2_2
+XFILLER_215_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_143 _39184_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_720_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57418_ _57408_/Y _57418_/B VGND VGND VPWR VPWR _57419_/B sky130_fd_sc_hd__nor2_2
+X_76252_ _76259_/A _76263_/B _80488_/Q VGND VGND VPWR VPWR _76253_/B sky130_fd_sc_hd__nand3_2
+XPHY_4263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42644_ _42576_/X _42643_/X _70437_/X _42589_/X VGND VGND VPWR VPWR _42644_/X sky130_fd_sc_hd__o22a_4
+XFILLER_568_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73464_ _75021_/A _73467_/B VGND VGND VPWR VPWR _73464_/X sky130_fd_sc_hd__or2_2
+XANTENNA_154 _44224_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_96_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58398_ _58220_/X _58398_/B VGND VGND VPWR VPWR _58423_/B sky130_fd_sc_hd__nor2_2
+XPHY_19399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70676_ _70676_/A _70463_/B _70056_/A VGND VGND VPWR VPWR _70677_/C sky130_fd_sc_hd__nor3_2
+XFILLER_540_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_96_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_638_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_165 _39230_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_176 _72200_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_199_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75203_ _75203_/A _75213_/B VGND VGND VPWR VPWR _75203_/X sky130_fd_sc_hd__or2_2
+XFILLER_611_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_187 _74276_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_388_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48151_ _47838_/A VGND VGND VPWR VPWR _48214_/A sky130_fd_sc_hd__buf_1
+X_72415_ _72429_/A _72422_/B _81437_/Q VGND VGND VPWR VPWR _72415_/Y sky130_fd_sc_hd__nand3_2
+XPHY_17942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_198 _76224_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_45363_ _45035_/X _55657_/B VGND VGND VPWR VPWR _45363_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_183_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_204_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_701_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76183_ _76136_/A VGND VGND VPWR VPWR _76184_/B sky130_fd_sc_hd__buf_1
+X_57349_ _57349_/A _57327_/Y _57349_/C VGND VGND VPWR VPWR _57349_/X sky130_fd_sc_hd__or3_2
+XFILLER_54_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_42_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42575_ _68022_/A _42575_/B VGND VGND VPWR VPWR _42581_/A sky130_fd_sc_hd__nor2_2
+XFILLER_70_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73395_ _74953_/A _73497_/B VGND VGND VPWR VPWR _73396_/B sky130_fd_sc_hd__or2_2
+XFILLER_50_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47102_ _46446_/B _47102_/B VGND VGND VPWR VPWR _47103_/B sky130_fd_sc_hd__or2_2
+XFILLER_81_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44314_ _44068_/X _44314_/B _44313_/Y VGND VGND VPWR VPWR _44315_/C sky130_fd_sc_hd__nor3_2
+XFILLER_302_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_128_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75134_ _75373_/A _75137_/B VGND VGND VPWR VPWR _75134_/X sky130_fd_sc_hd__or2_2
+XFILLER_243_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41526_ _41526_/A VGND VGND VPWR VPWR _41527_/B sky130_fd_sc_hd__buf_1
+XFILLER_35_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60360_ _60828_/A _60360_/B _60360_/C VGND VGND VPWR VPWR _60364_/B sky130_fd_sc_hd__nor3_2
+X_48082_ _78682_/Q _48089_/B VGND VGND VPWR VPWR _48082_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_404_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_375_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72346_ _75827_/A _72709_/A VGND VGND VPWR VPWR _72346_/X sky130_fd_sc_hd__or2_2
+XFILLER_564_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45294_ _45293_/Y _45321_/B VGND VGND VPWR VPWR _45294_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47033_ _47001_/A _47033_/B _47033_/C VGND VGND VPWR VPWR _47034_/B sky130_fd_sc_hd__or3_2
+XFILLER_592_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59019_ _60935_/A VGND VGND VPWR VPWR _59345_/B sky130_fd_sc_hd__buf_1
+XFILLER_224_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_3123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44245_ _44260_/A _44245_/B VGND VGND VPWR VPWR _44251_/A sky130_fd_sc_hd__or2_2
+XFILLER_278_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75065_ _74931_/X _75080_/B _75065_/C VGND VGND VPWR VPWR _75065_/Y sky130_fd_sc_hd__nand3_2
+X_79942_ _79961_/CLK _79942_/D VGND VGND VPWR VPWR _79942_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_321_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41457_ _41079_/X _41446_/B VGND VGND VPWR VPWR _41457_/X sky130_fd_sc_hd__or2_2
+XPHY_34664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60291_ _53559_/A _59646_/B VGND VGND VPWR VPWR _60293_/B sky130_fd_sc_hd__nor2_2
+XFILLER_725_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72277_ _73213_/A VGND VGND VPWR VPWR _72932_/A sky130_fd_sc_hd__buf_1
+XFILLER_363_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_70_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62030_ _61551_/A _62026_/Y _62029_/Y VGND VGND VPWR VPWR _62030_/Y sky130_fd_sc_hd__nor3_2
+XPHY_33952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74016_ _74016_/A _74015_/Y VGND VGND VPWR VPWR _74016_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_354_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40408_ _76111_/A VGND VGND VPWR VPWR _40408_/X sky130_fd_sc_hd__buf_1
+XPHY_24174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71228_ _71228_/A VGND VGND VPWR VPWR _69562_/A sky130_fd_sc_hd__buf_1
+XFILLER_706_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44176_ _44228_/A _44174_/C VGND VGND VPWR VPWR _44182_/A sky130_fd_sc_hd__or2_2
+XPHY_24185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79873_ _79940_/CLK _40435_/Y VGND VGND VPWR VPWR _57791_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_196_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41388_ _41388_/A _41388_/B VGND VGND VPWR VPWR _41388_/Y sky130_fd_sc_hd__nand2_2
+XPHY_33974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_533_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_610_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_196_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_344_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_259_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43127_ _45074_/A _46711_/A VGND VGND VPWR VPWR _43129_/C sky130_fd_sc_hd__nor2_2
+XFILLER_114_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_651_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78824_ _78332_/CLK _78824_/D VGND VGND VPWR VPWR _63534_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_534_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40339_ _40342_/A _40326_/B _65817_/A VGND VGND VPWR VPWR _40339_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_143_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71159_ _71198_/A _71159_/B VGND VGND VPWR VPWR _71160_/B sky130_fd_sc_hd__and2_2
+XFILLER_48_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48984_ _47457_/B _48828_/X VGND VGND VPWR VPWR _52819_/A sky130_fd_sc_hd__or2_2
+XFILLER_294_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_139_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_170_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_374_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_370_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47935_ _78719_/Q _47935_/B VGND VGND VPWR VPWR _47935_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43058_ _43062_/A _43210_/A _43058_/C VGND VGND VPWR VPWR _43211_/B sky130_fd_sc_hd__nor3_2
+XPHY_12260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78755_ _78756_/CLK _78755_/D VGND VGND VPWR VPWR _63904_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_64_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_75967_ _75978_/A _75967_/B _68869_/A VGND VGND VPWR VPWR _75968_/B sky130_fd_sc_hd__nand3_2
+XPHY_22794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63981_ _59492_/A _63973_/Y _63980_/Y VGND VGND VPWR VPWR _63981_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_410_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_3107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_332_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_139_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_123_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42009_ _42006_/Y _42008_/Y _42009_/C VGND VGND VPWR VPWR _42009_/Y sky130_fd_sc_hd__nor3_2
+X_65720_ _66221_/A _65720_/B _65720_/C VGND VGND VPWR VPWR _65731_/B sky130_fd_sc_hd__nor3_2
+X_77706_ _77195_/CLK _51836_/Y VGND VGND VPWR VPWR _61292_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_430_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_170_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74918_ _74863_/A VGND VGND VPWR VPWR _74919_/B sky130_fd_sc_hd__buf_1
+XFILLER_586_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62932_ _62774_/X _62932_/B _62931_/Y VGND VGND VPWR VPWR _62932_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_257_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47866_ _47864_/Y _47865_/X VGND VGND VPWR VPWR _78739_/D sky130_fd_sc_hd__nand2_2
+XFILLER_135_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78686_ _78202_/CLK _48067_/Y VGND VGND VPWR VPWR _78686_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75898_ _75898_/A _75898_/B VGND VGND VPWR VPWR _75898_/X sky130_fd_sc_hd__or2_2
+XFILLER_300_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_580_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49605_ _49635_/A _49596_/B VGND VGND VPWR VPWR _49605_/X sky130_fd_sc_hd__or2_2
+XFILLER_684_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_42_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46817_ _46701_/B VGND VGND VPWR VPWR _46818_/B sky130_fd_sc_hd__inv_8
+X_65651_ _81462_/Q _65311_/B VGND VGND VPWR VPWR _65653_/B sky130_fd_sc_hd__nor2_2
+XFILLER_680_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77637_ _77639_/CLK _52092_/Y VGND VGND VPWR VPWR _52090_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_268_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_133_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62863_ _78148_/Q _62389_/B VGND VGND VPWR VPWR _62863_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_289_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_289_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74849_ _74856_/A _74860_/B _68532_/A VGND VGND VPWR VPWR _74849_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_708_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47797_ _47795_/Y _47797_/B VGND VGND VPWR VPWR _78755_/D sky130_fd_sc_hd__nand2_2
+XFILLER_20_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_7_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_209_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_606_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_545_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64602_ _64602_/A _64770_/B VGND VGND VPWR VPWR _64606_/B sky130_fd_sc_hd__nor2_2
+XFILLER_446_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61814_ _61344_/A _61814_/B _61813_/Y VGND VGND VPWR VPWR _61814_/Y sky130_fd_sc_hd__nor3_2
+X_68370_ _68365_/X _68367_/Y _68369_/Y VGND VGND VPWR VPWR _68370_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_387_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49536_ _49622_/A _49551_/B VGND VGND VPWR VPWR _49537_/B sky130_fd_sc_hd__or2_2
+XFILLER_528_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46748_ _46723_/X VGND VGND VPWR VPWR _46753_/A sky130_fd_sc_hd__inv_8
+X_65582_ _65582_/A _65577_/Y _65582_/C VGND VGND VPWR VPWR _65590_/B sky130_fd_sc_hd__nor3_2
+XFILLER_414_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77568_ _78535_/CLK _77568_/D VGND VGND VPWR VPWR _60956_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_643_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_266_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62794_ _59105_/X VGND VGND VPWR VPWR _62795_/B sky130_fd_sc_hd__buf_1
+XFILLER_460_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_228_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67321_ _79968_/Q _66991_/B VGND VGND VPWR VPWR _67322_/C sky130_fd_sc_hd__nor2_2
+XFILLER_267_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_94_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79307_ _79307_/CLK _43649_/Y VGND VGND VPWR VPWR _71923_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_168_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_237_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_608_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64533_ _67370_/A VGND VGND VPWR VPWR _69160_/A sky130_fd_sc_hd__buf_1
+X_76519_ VGND VGND VPWR VPWR _76519_/HI la_data_out[11] sky130_fd_sc_hd__conb_1
+XFILLER_721_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61745_ _61727_/Y _61745_/B VGND VGND VPWR VPWR _61745_/Y sky130_fd_sc_hd__nor2_2
+X_49467_ _49457_/X VGND VGND VPWR VPWR _49477_/B sky130_fd_sc_hd__buf_1
+XFILLER_604_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46679_ _41857_/Y _46662_/X VGND VGND VPWR VPWR _46681_/B sky130_fd_sc_hd__nor2_2
+XFILLER_25_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77499_ _77515_/CLK _77499_/D VGND VGND VPWR VPWR _61404_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_20_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_398_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39220_ _39220_/A VGND VGND VPWR VPWR _39221_/A sky130_fd_sc_hd__buf_1
+XFILLER_111_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_209_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_149_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48418_ _48418_/A _48417_/X VGND VGND VPWR VPWR _48418_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_283_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67252_ _74465_/A _67572_/B VGND VGND VPWR VPWR _67252_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_18_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79238_ _79238_/CLK _79238_/D VGND VGND VPWR VPWR _44378_/C sky130_fd_sc_hd__dfxtp_4
+X_64464_ _64464_/A VGND VGND VPWR VPWR _66550_/A sky130_fd_sc_hd__buf_1
+XFILLER_206_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_413_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61676_ _61676_/A _61206_/B VGND VGND VPWR VPWR _61676_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_166_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49398_ _49396_/Y _49397_/X VGND VGND VPWR VPWR _49398_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_304_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_209_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_695_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_406_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_206_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_51_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66203_ _66857_/A _66203_/B VGND VGND VPWR VPWR _66204_/C sky130_fd_sc_hd__nor2_2
+XFILLER_240_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39151_ _39151_/A _39142_/X VGND VGND VPWR VPWR _39151_/X sky130_fd_sc_hd__or2_2
+X_63415_ _63415_/A _63414_/X VGND VGND VPWR VPWR _63415_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_36_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_18_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48349_ _78617_/Q _48352_/B VGND VGND VPWR VPWR _48351_/A sky130_fd_sc_hd__nand2_2
+X_60627_ _59828_/X VGND VGND VPWR VPWR _60628_/B sky130_fd_sc_hd__buf_1
+X_67183_ _67183_/A VGND VGND VPWR VPWR _67186_/A sky130_fd_sc_hd__buf_1
+XFILLER_127_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79169_ _79160_/CLK _45127_/Y VGND VGND VPWR VPWR _79169_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_226_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64395_ _39372_/C _64395_/B VGND VGND VPWR VPWR _64396_/C sky130_fd_sc_hd__nor2_2
+XFILLER_320_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_359_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_14_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_32_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_359_3056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81200_ _81476_/CLK _73380_/Y VGND VGND VPWR VPWR _64575_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_602_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66134_ _66134_/A _65474_/X VGND VGND VPWR VPWR _66135_/C sky130_fd_sc_hd__nor2_2
+XFILLER_539_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39082_ _39075_/A _39079_/B _80212_/Q VGND VGND VPWR VPWR _39082_/Y sky130_fd_sc_hd__nand3_2
+X_51360_ _60605_/A _51363_/B VGND VGND VPWR VPWR _51362_/A sky130_fd_sc_hd__nand2_2
+XFILLER_304_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_60_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63346_ _62877_/A _63337_/Y _63345_/Y VGND VGND VPWR VPWR _63346_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_398_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_123_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60558_ _60558_/A _60398_/B VGND VGND VPWR VPWR _60558_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_320_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_594_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50311_ _54133_/A _50211_/B VGND VGND VPWR VPWR _50311_/X sky130_fd_sc_hd__or2_2
+XFILLER_222_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81131_ _80790_/CLK _73645_/Y VGND VGND VPWR VPWR _81131_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_719_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66065_ _80857_/Q _66710_/B VGND VGND VPWR VPWR _66066_/C sky130_fd_sc_hd__nor2_2
+XFILLER_634_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_457_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_320_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51291_ _77850_/Q _51283_/X VGND VGND VPWR VPWR _51294_/A sky130_fd_sc_hd__nand2_2
+X_63277_ _48819_/A _63839_/B VGND VGND VPWR VPWR _63277_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_615_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_398_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60489_ _60489_/A _60791_/B VGND VGND VPWR VPWR _60490_/C sky130_fd_sc_hd__nor2_2
+XFILLER_508_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_419_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_14_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65016_ _65194_/A _65016_/B _65015_/Y VGND VGND VPWR VPWR _65017_/C sky130_fd_sc_hd__nor3_2
+XFILLER_492_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53030_ _61479_/A _53040_/B VGND VGND VPWR VPWR _53030_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_277_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50242_ _49488_/A VGND VGND VPWR VPWR _50847_/A sky130_fd_sc_hd__buf_1
+X_62228_ _61916_/A _62228_/B _62227_/Y VGND VGND VPWR VPWR _62228_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_517_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81062_ _81156_/CLK _73906_/Y VGND VGND VPWR VPWR _68223_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_587_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_200_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80013_ _80013_/CLK _80013_/D VGND VGND VPWR VPWR _69383_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_700_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69824_ _69789_/Y _69820_/Y _69824_/C _69840_/C VGND VGND VPWR VPWR _69825_/B sky130_fd_sc_hd__nor4_2
+XFILLER_710_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50173_ _78143_/Q _50173_/B VGND VGND VPWR VPWR _50173_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_513_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62159_ _50355_/A _62470_/B VGND VGND VPWR VPWR _62159_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_337_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39984_ _39984_/A _39977_/B VGND VGND VPWR VPWR _39984_/X sky130_fd_sc_hd__or2_2
+XFILLER_362_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_64_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38935_ _38558_/A _38935_/B VGND VGND VPWR VPWR _38935_/X sky130_fd_sc_hd__or2_2
+X_69755_ _69805_/B _69643_/B VGND VGND VPWR VPWR _69755_/X sky130_fd_sc_hd__or2_2
+XFILLER_587_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54981_ _54981_/A _54980_/X VGND VGND VPWR VPWR _54981_/Y sky130_fd_sc_hd__nand2_2
+X_66967_ _57587_/A _66798_/X VGND VGND VPWR VPWR _66967_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_66_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56720_ _58461_/A VGND VGND VPWR VPWR _56721_/B sky130_fd_sc_hd__buf_1
+XFILLER_5_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68706_ _68547_/A _68706_/B _68705_/Y VGND VGND VPWR VPWR _68707_/C sky130_fd_sc_hd__nor3_2
+XFILLER_331_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53932_ _77152_/Q _53932_/B VGND VGND VPWR VPWR _53935_/A sky130_fd_sc_hd__nand2_2
+XFILLER_43_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65918_ _81144_/Q _65424_/X VGND VGND VPWR VPWR _65919_/C sky130_fd_sc_hd__nor2_2
+XFILLER_25_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38866_ _38968_/B VGND VGND VPWR VPWR _38881_/B sky130_fd_sc_hd__buf_1
+XFILLER_350_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_113_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69686_ _69646_/A VGND VGND VPWR VPWR _69711_/B sky130_fd_sc_hd__buf_1
+XFILLER_25_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66898_ _80478_/Q _66724_/B VGND VGND VPWR VPWR _66898_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_429_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_268_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_408_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_628_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56651_ _64953_/A _56395_/B VGND VGND VPWR VPWR _56652_/C sky130_fd_sc_hd__nor2_2
+X_80915_ _80884_/CLK _74525_/Y VGND VGND VPWR VPWR _65080_/A sky130_fd_sc_hd__dfxtp_4
+X_68637_ _79944_/Q _68302_/B VGND VGND VPWR VPWR _68637_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_652_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53863_ _53863_/A _53863_/B VGND VGND VPWR VPWR _77171_/D sky130_fd_sc_hd__nand2_2
+XFILLER_407_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_626_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65849_ _65849_/A _65849_/B VGND VGND VPWR VPWR _65851_/B sky130_fd_sc_hd__nor2_2
+XFILLER_625_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38797_ _38676_/A _38800_/B VGND VGND VPWR VPWR _38797_/X sky130_fd_sc_hd__or2_2
+XFILLER_274_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_284_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_417_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_116_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55602_ _55469_/A _55602_/B VGND VGND VPWR VPWR _55602_/X sky130_fd_sc_hd__or2_2
+XFILLER_448_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52814_ _52842_/A _52811_/B VGND VGND VPWR VPWR _52814_/X sky130_fd_sc_hd__or2_2
+XFILLER_288_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_407_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59370_ _59533_/A _59369_/Y VGND VGND VPWR VPWR _59370_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_290_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_606_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80846_ _80845_/CLK _80846_/D VGND VGND VPWR VPWR _69433_/A sky130_fd_sc_hd__dfxtp_4
+X_56582_ _57790_/A VGND VGND VPWR VPWR _56582_/X sky130_fd_sc_hd__buf_1
+XFILLER_640_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68568_ _68393_/A _68568_/B _68567_/Y VGND VGND VPWR VPWR _68577_/B sky130_fd_sc_hd__nor3_2
+XFILLER_509_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_186_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53794_ _53791_/Y _53793_/X VGND VGND VPWR VPWR _53794_/Y sky130_fd_sc_hd__nand2_2
+XPHY_38919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_249_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_288_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_481_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_661_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58321_ _79591_/Q _58564_/B VGND VGND VPWR VPWR _58322_/C sky130_fd_sc_hd__nor2_2
+XFILLER_524_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55533_ _55529_/Y _55533_/B _55561_/C VGND VGND VPWR VPWR _55533_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_461_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67519_ _67519_/A _66868_/B VGND VGND VPWR VPWR _67521_/B sky130_fd_sc_hd__nor2_2
+XFILLER_216_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52745_ _52745_/A _52744_/X VGND VGND VPWR VPWR _52745_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_76_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80777_ _80841_/CLK _80777_/D VGND VGND VPWR VPWR _75085_/C sky130_fd_sc_hd__dfxtp_4
+X_68499_ _80231_/Q _67843_/X VGND VGND VPWR VPWR _68500_/C sky130_fd_sc_hd__nor2_2
+XFILLER_56_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_129_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_3060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39418_ _39415_/X _39417_/Y VGND VGND VPWR VPWR _80132_/D sky130_fd_sc_hd__nand2_2
+X_58252_ _58569_/A _58247_/Y _58252_/C VGND VGND VPWR VPWR _58252_/Y sky130_fd_sc_hd__nor3_2
+X_70530_ _70505_/A _70530_/B _70529_/Y VGND VGND VPWR VPWR _70530_/X sky130_fd_sc_hd__or3_2
+XFILLER_303_3022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_524_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55464_ _55464_/A _44937_/B VGND VGND VPWR VPWR _55464_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_70_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40690_ _40687_/X _40690_/B VGND VGND VPWR VPWR _79810_/D sky130_fd_sc_hd__nand2_2
+X_52676_ _61363_/A _52671_/X VGND VGND VPWR VPWR _52678_/A sky130_fd_sc_hd__nand2_2
+XFILLER_271_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_266_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_522_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_442_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_180_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57203_ _56242_/X VGND VGND VPWR VPWR _57203_/X sky130_fd_sc_hd__buf_1
+XFILLER_502_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54415_ _54471_/A _54424_/B VGND VGND VPWR VPWR _54416_/B sky130_fd_sc_hd__or2_2
+XFILLER_600_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_24_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39349_ _39295_/A VGND VGND VPWR VPWR _39366_/B sky130_fd_sc_hd__buf_1
+X_51627_ _77762_/Q _51619_/X VGND VGND VPWR VPWR _51627_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58183_ _79942_/Q _57947_/B VGND VGND VPWR VPWR _58183_/Y sky130_fd_sc_hd__nor2_2
+XPHY_17249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70461_ _70461_/A VGND VGND VPWR VPWR _70462_/A sky130_fd_sc_hd__inv_8
+XFILLER_592_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_8_0_u_core.clock clkbuf_9_9_0_u_core.clock/A VGND VGND VPWR VPWR _77528_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_227_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_145_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55395_ _55444_/A _55394_/Y VGND VGND VPWR VPWR _55396_/C sky130_fd_sc_hd__nor2_2
+XFILLER_592_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72200_ _72200_/A _72176_/X VGND VGND VPWR VPWR _72200_/X sky130_fd_sc_hd__or2_2
+XPHY_2157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57134_ _57134_/A _56812_/B VGND VGND VPWR VPWR _57134_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42360_ _42317_/X VGND VGND VPWR VPWR _42360_/X sky130_fd_sc_hd__buf_1
+XPHY_2168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54346_ _54346_/A _54353_/B VGND VGND VPWR VPWR _54348_/A sky130_fd_sc_hd__nand2_2
+XFILLER_329_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_212_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73180_ _73189_/A _73161_/X _73180_/C VGND VGND VPWR VPWR _73183_/A sky130_fd_sc_hd__nand3_2
+XFILLER_546_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_573_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51558_ _51567_/A VGND VGND VPWR VPWR _51586_/B sky130_fd_sc_hd__buf_1
+XPHY_15814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70392_ _70391_/Y _70168_/X _70383_/A _70429_/B VGND VGND VPWR VPWR _70392_/X sky130_fd_sc_hd__o22a_4
+XFILLER_475_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_329_146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_399_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_224_0_u_core.clock clkbuf_9_225_0_u_core.clock/A VGND VGND VPWR VPWR _79584_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_41311_ _41304_/A _41297_/B _41311_/C VGND VGND VPWR VPWR _41312_/B sky130_fd_sc_hd__nand3_2
+XPHY_33204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_184_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72131_ _72131_/A VGND VGND VPWR VPWR _72132_/A sky130_fd_sc_hd__inv_8
+XFILLER_196_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_637_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50509_ _50422_/X _50499_/X VGND VGND VPWR VPWR _50510_/B sky130_fd_sc_hd__or2_2
+XFILLER_492_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81329_ _81330_/CLK _72822_/Y VGND VGND VPWR VPWR _81329_/Q sky130_fd_sc_hd__dfxtp_4
+X_57065_ _57226_/A _57065_/B _57064_/Y VGND VGND VPWR VPWR _57065_/X sky130_fd_sc_hd__or3_2
+XFILLER_221_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_377_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42291_ _79001_/Q _42290_/X VGND VGND VPWR VPWR _42291_/X sky130_fd_sc_hd__or2_2
+X_54277_ _54277_/A _54262_/B VGND VGND VPWR VPWR _54279_/A sky130_fd_sc_hd__nand2_2
+XFILLER_197_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_561_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51489_ _51489_/A _51488_/X VGND VGND VPWR VPWR _51489_/Y sky130_fd_sc_hd__nand2_2
+XPHY_1489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44030_ _79244_/Q VGND VGND VPWR VPWR _65210_/A sky130_fd_sc_hd__inv_8
+XFILLER_611_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56016_ _56009_/X _56016_/B _56016_/C VGND VGND VPWR VPWR _56017_/C sky130_fd_sc_hd__nor3_2
+XFILLER_668_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41242_ _41242_/A _41247_/B _57056_/A VGND VGND VPWR VPWR _41242_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_715_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53228_ _53200_/A _53243_/B VGND VGND VPWR VPWR _53228_/X sky130_fd_sc_hd__or2_2
+XFILLER_358_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_338_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72062_ _72057_/A _70029_/X VGND VGND VPWR VPWR _72062_/X sky130_fd_sc_hd__xor2_2
+XFILLER_538_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_393_0_u_core.clock clkbuf_9_393_0_u_core.clock/A VGND VGND VPWR VPWR _79568_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_530_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_69_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_687_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71013_ _71006_/Y _72075_/A VGND VGND VPWR VPWR _71025_/A sky130_fd_sc_hd__or2_2
+XFILLER_530_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53159_ _54974_/A VGND VGND VPWR VPWR _53204_/A sky130_fd_sc_hd__buf_1
+X_41173_ _41173_/A _41158_/B _68940_/A VGND VGND VPWR VPWR _41173_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_156_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76870_ _77158_/CLK _54987_/Y VGND VGND VPWR VPWR _59407_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_332_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_688_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40124_ _40112_/A _40121_/B _64354_/A VGND VGND VPWR VPWR _40124_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_709_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75821_ _75819_/X _75820_/Y VGND VGND VPWR VPWR _75821_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45981_ _45812_/A VGND VGND VPWR VPWR _45986_/B sky130_fd_sc_hd__buf_1
+X_57967_ _57967_/A _57655_/B VGND VGND VPWR VPWR _57969_/B sky130_fd_sc_hd__nor2_2
+XPHY_31879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_278_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_191_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47720_ _47686_/X _47710_/X VGND VGND VPWR VPWR _47720_/X sky130_fd_sc_hd__or2_2
+X_59706_ _62071_/A VGND VGND VPWR VPWR _59713_/A sky130_fd_sc_hd__buf_1
+XFILLER_2_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78540_ _78517_/CLK _48651_/Y VGND VGND VPWR VPWR _63975_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_568_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40055_ _40295_/A _40041_/X VGND VGND VPWR VPWR _40055_/X sky130_fd_sc_hd__or2_2
+X_44932_ _44932_/A VGND VGND VPWR VPWR _44933_/A sky130_fd_sc_hd__inv_8
+XPHY_9786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56918_ _65683_/A _56837_/X VGND VGND VPWR VPWR _56920_/B sky130_fd_sc_hd__nor2_2
+XFILLER_279_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_75752_ _75737_/X _75749_/B _75752_/C VGND VGND VPWR VPWR _75753_/B sky130_fd_sc_hd__nand3_2
+XPHY_21389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_65_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72964_ _72963_/X _72974_/B VGND VGND VPWR VPWR _72964_/X sky130_fd_sc_hd__or2_2
+XPHY_9797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_685_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_428_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57898_ _57818_/A _57898_/B VGND VGND VPWR VPWR _57899_/C sky130_fd_sc_hd__nor2_2
+XFILLER_289_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_722_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_152_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_681_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74703_ _74721_/A _74716_/B _74703_/C VGND VGND VPWR VPWR _74703_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_412_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59637_ _64014_/A _59624_/Y _59637_/C VGND VGND VPWR VPWR _59655_/A sky130_fd_sc_hd__nor3_2
+XPHY_20688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71915_ _71915_/A VGND VGND VPWR VPWR _75556_/A sky130_fd_sc_hd__inv_8
+X_47651_ _47651_/A _47650_/X VGND VGND VPWR VPWR _78791_/D sky130_fd_sc_hd__nand2_2
+XFILLER_238_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78471_ _78474_/CLK _78471_/D VGND VGND VPWR VPWR _63236_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_130_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56849_ _56849_/A _56848_/Y VGND VGND VPWR VPWR _56850_/B sky130_fd_sc_hd__nor2_2
+X_44863_ _65378_/A _44400_/A _44667_/X VGND VGND VPWR VPWR _44863_/X sky130_fd_sc_hd__and3_2
+XFILLER_707_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75683_ _75647_/A VGND VGND VPWR VPWR _75684_/B sky130_fd_sc_hd__buf_1
+XPHY_20699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_24_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_286_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72895_ _73923_/A _72910_/B VGND VGND VPWR VPWR _72897_/A sky130_fd_sc_hd__or2_2
+XFILLER_293_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_549_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_662_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46602_ _78994_/Q _46467_/Y VGND VGND VPWR VPWR _46602_/Y sky130_fd_sc_hd__nand2_2
+XPHY_10198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77422_ _77422_/CLK _52900_/Y VGND VGND VPWR VPWR _77422_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_460_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_93_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43814_ _43824_/A _43805_/A VGND VGND VPWR VPWR _43814_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_19_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74634_ _74647_/A _74622_/X _74634_/C VGND VGND VPWR VPWR _74634_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_265_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_546_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47582_ _47572_/X VGND VGND VPWR VPWR _47582_/X sky130_fd_sc_hd__buf_1
+X_59568_ _59568_/A _59568_/B VGND VGND VPWR VPWR _59569_/B sky130_fd_sc_hd__nor2_2
+XFILLER_436_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71846_ _71840_/A _71846_/B VGND VGND VPWR VPWR _71848_/B sky130_fd_sc_hd__nor2_2
+XFILLER_643_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44794_ _44651_/Y _44700_/A _44794_/C VGND VGND VPWR VPWR _44794_/X sky130_fd_sc_hd__and3_2
+XPHY_29620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_219_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_408_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_369_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_362_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_562_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_366_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_267_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49321_ _49316_/A VGND VGND VPWR VPWR _49349_/B sky130_fd_sc_hd__buf_1
+XPHY_29642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_605_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46533_ _46533_/A _46523_/X VGND VGND VPWR VPWR _46535_/A sky130_fd_sc_hd__or2_2
+X_58519_ _57721_/A VGND VGND VPWR VPWR _58793_/B sky130_fd_sc_hd__buf_1
+XFILLER_98_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_130_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_207_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77353_ _77353_/CLK _53168_/Y VGND VGND VPWR VPWR _77353_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_1_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43745_ _43741_/Y _43744_/X VGND VGND VPWR VPWR _43745_/Y sky130_fd_sc_hd__nor2_2
+XPHY_19130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74565_ _74179_/A _74562_/B VGND VGND VPWR VPWR _74569_/A sky130_fd_sc_hd__or2_2
+XFILLER_34_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40957_ _40967_/A _40943_/B _79739_/Q VGND VGND VPWR VPWR _40957_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_245_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59499_ _76991_/Q _59499_/B VGND VGND VPWR VPWR _59500_/C sky130_fd_sc_hd__nor2_2
+X_71777_ _70606_/A _71228_/A VGND VGND VPWR VPWR _71782_/B sky130_fd_sc_hd__nor2_2
+XFILLER_382_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_76_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_111_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_185_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_167_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76304_ _76301_/X _76303_/Y VGND VGND VPWR VPWR _80474_/D sky130_fd_sc_hd__nand2_2
+XFILLER_569_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_308_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61530_ _61530_/A _61070_/B VGND VGND VPWR VPWR _61530_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_540_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73516_ _73638_/A _73507_/X VGND VGND VPWR VPWR _73519_/A sky130_fd_sc_hd__or2_2
+XFILLER_445_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49252_ _49252_/A _49252_/B VGND VGND VPWR VPWR _49252_/X sky130_fd_sc_hd__or2_2
+XFILLER_146_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46464_ _46464_/A _46359_/Y _46464_/C _46463_/Y VGND VGND VPWR VPWR _46465_/D sky130_fd_sc_hd__nor4_2
+X_70728_ _70757_/A _70018_/B VGND VGND VPWR VPWR _70728_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_368_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77284_ _77275_/CLK _53442_/Y VGND VGND VPWR VPWR _60385_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_143_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43676_ _43676_/A VGND VGND VPWR VPWR _43876_/A sky130_fd_sc_hd__buf_1
+XPHY_4060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74496_ _74625_/A _74504_/B VGND VGND VPWR VPWR _74496_/X sky130_fd_sc_hd__or2_2
+XFILLER_308_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_699_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40888_ _40374_/X _40888_/B VGND VGND VPWR VPWR _40888_/X sky130_fd_sc_hd__or2_2
+XPHY_28974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_37_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_128_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_141_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48203_ _48200_/X VGND VGND VPWR VPWR _48225_/B sky130_fd_sc_hd__buf_1
+XFILLER_442_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79023_ _79500_/CLK _79023_/D VGND VGND VPWR VPWR _43221_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_206_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45415_ _45415_/A VGND VGND VPWR VPWR _45416_/A sky130_fd_sc_hd__inv_8
+XPHY_28996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76235_ _76220_/X _76244_/B _80492_/Q VGND VGND VPWR VPWR _76236_/B sky130_fd_sc_hd__nand3_2
+XFILLER_349_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42627_ _55170_/A _42607_/B VGND VGND VPWR VPWR _42638_/B sky130_fd_sc_hd__nor2_2
+X_61461_ _61612_/A _61458_/Y _61461_/C VGND VGND VPWR VPWR _61461_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_203_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49183_ _49183_/A _49182_/X VGND VGND VPWR VPWR _49183_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_460_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_410_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73447_ _73445_/Y _73447_/B VGND VGND VPWR VPWR _81183_/D sky130_fd_sc_hd__nand2_2
+XFILLER_124_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46395_ _46389_/A _46382_/B _46395_/C VGND VGND VPWR VPWR _46463_/C sky130_fd_sc_hd__or3_2
+X_70659_ _70045_/B _70658_/X VGND VGND VPWR VPWR _70661_/A sky130_fd_sc_hd__nor2_2
+XFILLER_245_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63200_ _78782_/Q _62577_/B VGND VGND VPWR VPWR _63202_/B sky130_fd_sc_hd__nor2_2
+XFILLER_638_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48134_ _48134_/A _52001_/A VGND VGND VPWR VPWR _48134_/X sky130_fd_sc_hd__or2_2
+X_60412_ _60097_/A _60408_/Y _60412_/C VGND VGND VPWR VPWR _60413_/C sky130_fd_sc_hd__nor3_2
+XPHY_3392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45346_ _76747_/Q VGND VGND VPWR VPWR _45347_/A sky130_fd_sc_hd__inv_8
+XFILLER_204_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64180_ _65048_/A VGND VGND VPWR VPWR _64208_/A sky130_fd_sc_hd__buf_1
+XFILLER_54_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76166_ _76153_/A _76153_/B _76166_/C VGND VGND VPWR VPWR _76167_/B sky130_fd_sc_hd__nand3_2
+XPHY_17783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61392_ _52911_/A _61538_/B VGND VGND VPWR VPWR _61393_/C sky130_fd_sc_hd__nor2_2
+XFILLER_186_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42558_ _42558_/A VGND VGND VPWR VPWR _42580_/A sky130_fd_sc_hd__buf_1
+XFILLER_222_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73378_ _73387_/A _73381_/B _64575_/A VGND VGND VPWR VPWR _73380_/A sky130_fd_sc_hd__nand3_2
+XFILLER_376_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_89_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_690_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_35173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63131_ _63128_/X _63129_/Y _63131_/C VGND VGND VPWR VPWR _63132_/C sky130_fd_sc_hd__nor3_2
+XFILLER_258_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75117_ _75120_/A _75120_/B _80769_/Q VGND VGND VPWR VPWR _75118_/B sky130_fd_sc_hd__nand3_2
+XFILLER_651_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_395_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41509_ _41269_/A _41509_/B VGND VGND VPWR VPWR _41509_/X sky130_fd_sc_hd__or2_2
+XPHY_35184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48065_ _78686_/Q _48068_/B VGND VGND VPWR VPWR _48065_/Y sky130_fd_sc_hd__nand2_2
+X_60343_ _60185_/A _60343_/B _60342_/Y VGND VGND VPWR VPWR _60347_/B sky130_fd_sc_hd__nor3_2
+X_72329_ _72329_/A VGND VGND VPWR VPWR _72823_/A sky130_fd_sc_hd__buf_1
+XFILLER_293_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_302_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_128_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45277_ _45464_/A VGND VGND VPWR VPWR _45328_/A sky130_fd_sc_hd__buf_1
+XPHY_34450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76097_ _76097_/A _76118_/B _68705_/A VGND VGND VPWR VPWR _76097_/Y sky130_fd_sc_hd__nand3_2
+X_42489_ _42528_/A _42489_/B VGND VGND VPWR VPWR _42490_/D sky130_fd_sc_hd__and2_2
+XFILLER_198_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_31_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47016_ _47016_/A _47015_/X VGND VGND VPWR VPWR _47016_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_395_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44228_ _44228_/A _44226_/C VGND VGND VPWR VPWR _44234_/A sky130_fd_sc_hd__or2_2
+XFILLER_274_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63062_ _63059_/X _63060_/Y _63062_/C VGND VGND VPWR VPWR _63066_/B sky130_fd_sc_hd__nor3_2
+X_75048_ _75051_/A _75038_/B _64876_/A VGND VGND VPWR VPWR _75048_/Y sky130_fd_sc_hd__nand3_2
+X_79925_ _79925_/CLK _40226_/Y VGND VGND VPWR VPWR _79925_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_391_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_128_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60274_ _59790_/X _60274_/B _60274_/C VGND VGND VPWR VPWR _60275_/C sky130_fd_sc_hd__nor3_2
+XFILLER_351_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_2776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_616_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_722_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62013_ _59105_/X VGND VGND VPWR VPWR _62014_/B sky130_fd_sc_hd__buf_1
+XPHY_33782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67870_ _80868_/Q _67711_/B VGND VGND VPWR VPWR _67871_/C sky130_fd_sc_hd__nor2_2
+XFILLER_478_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44159_ _67520_/A _44174_/B _44159_/C VGND VGND VPWR VPWR _44160_/B sky130_fd_sc_hd__and3_2
+XFILLER_217_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79856_ _79824_/CLK _40512_/Y VGND VGND VPWR VPWR _64665_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_51_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_87_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_143_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_288_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_414_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_154_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66821_ _64182_/A VGND VGND VPWR VPWR _67158_/A sky130_fd_sc_hd__buf_1
+XFILLER_315_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78807_ _78307_/CLK _78807_/D VGND VGND VPWR VPWR _63365_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_638_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_100_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_534_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_48_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48967_ _49054_/A _48967_/B VGND VGND VPWR VPWR _48967_/X sky130_fd_sc_hd__or2_2
+XFILLER_100_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79787_ _79788_/CLK _79787_/D VGND VGND VPWR VPWR _69112_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_48_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76999_ _76998_/CLK _54510_/Y VGND VGND VPWR VPWR _59495_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_291_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_69_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38720_ _38717_/X _38719_/Y VGND VGND VPWR VPWR _80309_/D sky130_fd_sc_hd__nand2_2
+XFILLER_524_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69540_ _69262_/A _69538_/Y _69540_/C VGND VGND VPWR VPWR _69540_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_389_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_230_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47918_ _78724_/Q _47929_/B VGND VGND VPWR VPWR _47921_/A sky130_fd_sc_hd__nand2_2
+XFILLER_22_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_135_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66752_ _74082_/C _67255_/B VGND VGND VPWR VPWR _66752_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_419_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78738_ _78746_/CLK _47870_/Y VGND VGND VPWR VPWR _47867_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_315_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63964_ _59183_/A _63956_/Y _63964_/C VGND VGND VPWR VPWR _63964_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_113_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48898_ _63674_/A _48891_/B VGND VGND VPWR VPWR _48901_/A sky130_fd_sc_hd__nand2_2
+XFILLER_250_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_285_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65703_ _65686_/Y _65703_/B VGND VGND VPWR VPWR _65704_/B sky130_fd_sc_hd__nor2_2
+XFILLER_367_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_250_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_300_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38651_ _38503_/A _38651_/B VGND VGND VPWR VPWR _38651_/X sky130_fd_sc_hd__or2_2
+XFILLER_229_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62915_ _62448_/A _62906_/Y _62915_/C VGND VGND VPWR VPWR _62915_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_634_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69471_ _69331_/A _69467_/Y _69471_/C VGND VGND VPWR VPWR _69472_/C sky130_fd_sc_hd__nor3_2
+XFILLER_110_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47849_ _47849_/A _47826_/B VGND VGND VPWR VPWR _47852_/A sky130_fd_sc_hd__nand2_2
+XFILLER_500_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_61_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66683_ _66683_/A _66683_/B VGND VGND VPWR VPWR _66685_/B sky130_fd_sc_hd__nor2_2
+X_78669_ _78671_/CLK _78669_/D VGND VGND VPWR VPWR _63029_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_313_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63895_ _49232_/A _64026_/B VGND VGND VPWR VPWR _63895_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_387_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_582_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_328_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80700_ _80734_/CLK _75376_/Y VGND VGND VPWR VPWR _66540_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_42_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68422_ _68924_/A _68411_/Y _68422_/C VGND VGND VPWR VPWR _68423_/B sky130_fd_sc_hd__nor3_2
+XFILLER_635_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65634_ _65634_/A _64942_/B VGND VGND VPWR VPWR _65636_/B sky130_fd_sc_hd__nor2_2
+XFILLER_211_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38582_ _38581_/X _38578_/B VGND VGND VPWR VPWR _38582_/X sky130_fd_sc_hd__or2_2
+XFILLER_433_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50860_ _62293_/A _50855_/B VGND VGND VPWR VPWR _50860_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_289_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_61_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_56_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62846_ _62846_/A _62532_/B VGND VGND VPWR VPWR _62846_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_622_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_540_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_168_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_6_58_0_u_core.clock clkbuf_6_59_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_6_58_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_384_2982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49519_ _78318_/Q _49519_/B VGND VGND VPWR VPWR _49523_/A sky130_fd_sc_hd__nand2_2
+X_80631_ _80641_/CLK _75677_/Y VGND VGND VPWR VPWR _75676_/C sky130_fd_sc_hd__dfxtp_4
+X_68353_ _68353_/A _68187_/B VGND VGND VPWR VPWR _68354_/C sky130_fd_sc_hd__nor2_2
+XFILLER_367_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65565_ _65884_/A _65562_/Y _65565_/C VGND VGND VPWR VPWR _65566_/C sky130_fd_sc_hd__nor3_2
+XFILLER_55_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62777_ _62774_/X _62775_/Y _62776_/Y VGND VGND VPWR VPWR _62777_/Y sky130_fd_sc_hd__nor3_2
+X_50791_ _50763_/A _50794_/B VGND VGND VPWR VPWR _50791_/X sky130_fd_sc_hd__or2_2
+XFILLER_253_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_588_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_53_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_623_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67304_ _64322_/X VGND VGND VPWR VPWR _67304_/X sky130_fd_sc_hd__buf_1
+XFILLER_658_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_53_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64516_ _64736_/A _64516_/B VGND VGND VPWR VPWR _64611_/B sky130_fd_sc_hd__nor2_2
+XFILLER_341_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52530_ _52530_/A _52522_/X VGND VGND VPWR VPWR _52530_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_580_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61728_ _78085_/Q _61562_/B VGND VGND VPWR VPWR _61730_/B sky130_fd_sc_hd__nor2_2
+X_80562_ _80535_/CLK _75936_/Y VGND VGND VPWR VPWR _80562_/Q sky130_fd_sc_hd__dfxtp_4
+X_68284_ _68790_/A _68280_/Y _68284_/C VGND VGND VPWR VPWR _68292_/B sky130_fd_sc_hd__nor3_2
+XFILLER_326_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65496_ _64171_/A VGND VGND VPWR VPWR _65497_/A sky130_fd_sc_hd__buf_1
+XFILLER_421_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_656_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39203_ _39203_/A _39189_/X VGND VGND VPWR VPWR _39203_/X sky130_fd_sc_hd__or2_2
+XFILLER_59_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67235_ _67235_/A _67235_/B _67234_/Y VGND VGND VPWR VPWR _67236_/B sky130_fd_sc_hd__nor3_2
+XFILLER_597_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52461_ _52457_/X VGND VGND VPWR VPWR _52485_/B sky130_fd_sc_hd__buf_1
+XFILLER_574_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64447_ _64447_/A _64447_/B _64447_/C VGND VGND VPWR VPWR _64469_/B sky130_fd_sc_hd__nor3_2
+XFILLER_541_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_94_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_424_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61659_ _61500_/A _61659_/B _61659_/C VGND VGND VPWR VPWR _61666_/B sky130_fd_sc_hd__nor3_2
+XFILLER_37_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80493_ _80370_/CLK _76233_/Y VGND VGND VPWR VPWR _69304_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_300_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_205_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54200_ _77082_/Q _54207_/B VGND VGND VPWR VPWR _54200_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_240_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51412_ _51438_/B VGND VGND VPWR VPWR _51412_/X sky130_fd_sc_hd__buf_1
+XFILLER_304_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39134_ _39071_/A VGND VGND VPWR VPWR _39148_/A sky130_fd_sc_hd__buf_1
+XFILLER_279_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_593_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67166_ _67655_/A _67166_/B _67165_/Y VGND VGND VPWR VPWR _67166_/Y sky130_fd_sc_hd__nor3_2
+X_55180_ _55175_/X _55179_/Y VGND VGND VPWR VPWR _76836_/D sky130_fd_sc_hd__or2_2
+XFILLER_142_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_10 io_in[27] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_494_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52392_ _52334_/A _52395_/B VGND VGND VPWR VPWR _52393_/B sky130_fd_sc_hd__or2_2
+X_64378_ _64377_/X VGND VGND VPWR VPWR _64838_/A sky130_fd_sc_hd__buf_1
+XFILLER_656_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_107_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_21 io_in[30] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_558_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_32 wb_clk_i VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_491_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54131_ _54103_/A _54115_/A VGND VGND VPWR VPWR _54131_/X sky130_fd_sc_hd__or2_2
+XFILLER_296_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66117_ _81433_/Q _66117_/B VGND VGND VPWR VPWR _66117_/Y sky130_fd_sc_hd__nor2_2
+XANTENNA_43 wbs_adr_i[3] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_716_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_304_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39065_ _39065_/A _39064_/Y VGND VGND VPWR VPWR _80217_/D sky130_fd_sc_hd__nand2_2
+XFILLER_366_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51343_ _51343_/A _51343_/B VGND VGND VPWR VPWR _51343_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_673_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63329_ _62548_/A VGND VGND VPWR VPWR _63337_/A sky130_fd_sc_hd__buf_1
+XFILLER_359_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_54 wbs_adr_i[7] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_575_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_476_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67097_ _67097_/A _67096_/X VGND VGND VPWR VPWR _67097_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_630_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_65 _57707_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_177_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_716_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_543_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_76 _59275_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_257_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_33_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_87 _60417_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_193_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_81114_ _81112_/CLK _81114_/D VGND VGND VPWR VPWR _66252_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54062_ _54062_/A VGND VGND VPWR VPWR _54080_/B sky130_fd_sc_hd__buf_1
+XFILLER_394_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66048_ _44233_/A _66048_/B VGND VGND VPWR VPWR _66048_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_337_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_98 _61671_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_88_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51274_ _77854_/Q _51280_/B VGND VGND VPWR VPWR _51274_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_192_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_91_0_u_core.clock clkbuf_6_45_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_91_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_11_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53013_ _52985_/A _53019_/B VGND VGND VPWR VPWR _53014_/B sky130_fd_sc_hd__or2_2
+XFILLER_335_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50225_ _50108_/A _50222_/B VGND VGND VPWR VPWR _50226_/B sky130_fd_sc_hd__or2_2
+XFILLER_552_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81045_ _81166_/CLK _73968_/Y VGND VGND VPWR VPWR _81045_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_274_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_650_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58870_ _58868_/Y _58872_/B _58877_/A VGND VGND VPWR VPWR _58870_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_307_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_517_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_38_0_u_core.clock clkbuf_7_39_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_76_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_238_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_235_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_587_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_523_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57821_ _56826_/A VGND VGND VPWR VPWR _58088_/A sky130_fd_sc_hd__buf_1
+XFILLER_710_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69807_ _55935_/A _70041_/B VGND VGND VPWR VPWR _69807_/Y sky130_fd_sc_hd__nand2_2
+X_50156_ _78148_/Q _50170_/B VGND VGND VPWR VPWR _50156_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_337_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39967_ _39985_/A VGND VGND VPWR VPWR _39968_/B sky130_fd_sc_hd__buf_1
+XFILLER_122_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67999_ _58054_/A _67999_/B VGND VGND VPWR VPWR _67999_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_322_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_350_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_581_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38918_ _38915_/A _38915_/B _38918_/C VGND VGND VPWR VPWR _38918_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_350_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57752_ _67297_/A _57427_/X VGND VGND VPWR VPWR _57754_/B sky130_fd_sc_hd__nor2_2
+XFILLER_310_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69738_ _69719_/B _69738_/B VGND VGND VPWR VPWR _69849_/C sky130_fd_sc_hd__nor2_2
+XFILLER_173_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54964_ _54973_/A VGND VGND VPWR VPWR _54976_/B sky130_fd_sc_hd__buf_1
+X_50087_ _50087_/A _50084_/B VGND VGND VPWR VPWR _50087_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_666_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39898_ _40257_/A _40002_/B VGND VGND VPWR VPWR _39900_/A sky130_fd_sc_hd__or2_2
+XPHY_8359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_102_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_47_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_546_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56703_ _56465_/X _56701_/Y _56702_/Y VGND VGND VPWR VPWR _56703_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_102_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_409_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53915_ _53617_/A VGND VGND VPWR VPWR _53917_/A sky130_fd_sc_hd__buf_1
+XPHY_6902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38849_ _38849_/A _38849_/B VGND VGND VPWR VPWR _38849_/Y sky130_fd_sc_hd__nand2_2
+X_57683_ _57683_/A _57683_/B _57683_/C VGND VGND VPWR VPWR _57687_/B sky130_fd_sc_hd__nor3_2
+XFILLER_25_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69669_ _69654_/A _69573_/X VGND VGND VPWR VPWR _69669_/Y sky130_fd_sc_hd__nor2_2
+XPHY_7658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54895_ _59761_/A _54895_/B VGND VGND VPWR VPWR _54897_/A sky130_fd_sc_hd__nand2_2
+XFILLER_350_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_29_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_385_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_21_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59422_ _77270_/Q _59905_/B VGND VGND VPWR VPWR _59425_/B sky130_fd_sc_hd__nor2_2
+X_71700_ _71640_/A _71640_/B _66475_/A VGND VGND VPWR VPWR _71700_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_229_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_648_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56634_ _56359_/A _56631_/Y _56633_/Y VGND VGND VPWR VPWR _56634_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_582_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41860_ _41860_/A _41859_/X VGND VGND VPWR VPWR _79552_/D sky130_fd_sc_hd__nand2_2
+X_53846_ _77175_/Q _53831_/B VGND VGND VPWR VPWR _53846_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_464_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_418_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_626_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72680_ _72677_/X _72680_/B VGND VGND VPWR VPWR _72680_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_385_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_101_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_436_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_284_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_40_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_344_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40811_ _40433_/A _40814_/B VGND VGND VPWR VPWR _40811_/X sky130_fd_sc_hd__or2_2
+Xclkbuf_8_123_0_u_core.clock clkbuf_7_61_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_247_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_28204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_417_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59353_ _55013_/A _59678_/B VGND VGND VPWR VPWR _59354_/C sky130_fd_sc_hd__nor2_2
+X_71631_ _71631_/A _71598_/X VGND VGND VPWR VPWR _71632_/C sky130_fd_sc_hd__nor2_2
+XFILLER_680_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_137 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_164_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_606_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80829_ _80862_/CLK _80829_/D VGND VGND VPWR VPWR _74888_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_28215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56565_ _56488_/A _56563_/Y _56564_/Y VGND VGND VPWR VPWR _56565_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_640_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_186_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_183_0_u_core.clock clkbuf_8_91_0_u_core.clock/X VGND VGND VPWR VPWR _79160_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_704_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41791_ wbs_sel_i[0] _41731_/A VGND VGND VPWR VPWR _41791_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_704_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53777_ _53838_/A _53793_/B VGND VGND VPWR VPWR _53777_/X sky130_fd_sc_hd__or2_2
+XPHY_38749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50989_ _50989_/A _50988_/X VGND VGND VPWR VPWR _50989_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_262_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_362_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_216_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58304_ _68448_/A _58225_/X VGND VGND VPWR VPWR _58306_/B sky130_fd_sc_hd__nor2_2
+XFILLER_305_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43530_ _42427_/A _43527_/X VGND VGND VPWR VPWR _43537_/C sky130_fd_sc_hd__or2_2
+XFILLER_460_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_420_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55516_ _55516_/A VGND VGND VPWR VPWR _55605_/A sky130_fd_sc_hd__buf_1
+X_74350_ _74338_/X _74339_/X _57425_/A VGND VGND VPWR VPWR _74350_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_641_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40742_ _40337_/A VGND VGND VPWR VPWR _40761_/A sky130_fd_sc_hd__buf_1
+Xclkbuf_8_71_0_u_core.clock clkbuf_8_71_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_71_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_524_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52728_ _52730_/A VGND VGND VPWR VPWR _52728_/X sky130_fd_sc_hd__buf_1
+XFILLER_524_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59284_ _59457_/A VGND VGND VPWR VPWR _59287_/A sky130_fd_sc_hd__buf_1
+XFILLER_38_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71562_ _71549_/X _71551_/Y _71553_/Y _71561_/X VGND VGND VPWR VPWR _42646_/B sky130_fd_sc_hd__o22a_4
+XFILLER_160_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_621_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56496_ _56200_/X _56460_/X _56495_/X _74536_/A _56413_/X VGND VGND VPWR VPWR _56496_/Y
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_696_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_579_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73301_ _73301_/A _73300_/X VGND VGND VPWR VPWR _81222_/D sky130_fd_sc_hd__nand2_2
+XFILLER_674_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_576_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_461_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58235_ _58235_/A _58234_/X VGND VGND VPWR VPWR _58238_/B sky130_fd_sc_hd__nor2_2
+XPHY_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70513_ _70572_/A _70512_/X VGND VGND VPWR VPWR _70514_/B sky130_fd_sc_hd__or2_2
+XFILLER_622_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43461_ _43461_/A _43461_/B VGND VGND VPWR VPWR _79343_/D sky130_fd_sc_hd__nand2_2
+XFILLER_54_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55447_ _55447_/A _55446_/Y VGND VGND VPWR VPWR _55447_/Y sky130_fd_sc_hd__nor2_2
+X_74281_ _74394_/B VGND VGND VPWR VPWR _74285_/B sky130_fd_sc_hd__buf_1
+XPHY_17035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_600_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52659_ _52744_/A _52665_/B VGND VGND VPWR VPWR _52660_/B sky130_fd_sc_hd__or2_2
+X_40673_ _40653_/X VGND VGND VPWR VPWR _40677_/B sky130_fd_sc_hd__buf_1
+XFILLER_62_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71493_ _71419_/A _71455_/B _71492_/X VGND VGND VPWR VPWR _71493_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_678_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_600_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_18_0_u_core.clock clkbuf_7_9_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_37_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_223_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_93_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_557_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_305_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45200_ _45171_/A _45199_/X VGND VGND VPWR VPWR _45200_/X sky130_fd_sc_hd__or2_2
+XFILLER_199_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_26846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76020_ _76020_/A _76012_/B _66555_/A VGND VGND VPWR VPWR _76020_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_442_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42412_ _76829_/Q VGND VGND VPWR VPWR _55209_/A sky130_fd_sc_hd__inv_8
+X_73232_ _74120_/A VGND VGND VPWR VPWR _75039_/A sky130_fd_sc_hd__buf_1
+XPHY_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_178_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46180_ _46175_/Y _46180_/B _46179_/X VGND VGND VPWR VPWR _46180_/Y sky130_fd_sc_hd__nor3_2
+X_70444_ _70410_/Y _70420_/Y VGND VGND VPWR VPWR _70445_/B sky130_fd_sc_hd__nor2_2
+XFILLER_547_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58166_ _58322_/A _58166_/B _58166_/C VGND VGND VPWR VPWR _58170_/B sky130_fd_sc_hd__nor3_2
+XFILLER_420_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_8_239_0_u_core.clock clkbuf_8_239_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_479_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43392_ _42912_/A _43378_/B VGND VGND VPWR VPWR _43396_/A sky130_fd_sc_hd__or2_2
+XFILLER_168_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55378_ _55464_/A VGND VGND VPWR VPWR _55440_/A sky130_fd_sc_hd__buf_1
+XFILLER_321_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_299_0_u_core.clock clkbuf_9_299_0_u_core.clock/A VGND VGND VPWR VPWR _81454_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_157_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_379_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45131_ _45368_/A _45130_/Y VGND VGND VPWR VPWR _55538_/B sky130_fd_sc_hd__nor2_2
+XFILLER_169_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57117_ _57117_/A _57196_/B VGND VGND VPWR VPWR _57119_/B sky130_fd_sc_hd__nor2_2
+XFILLER_32_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_617_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_518_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42343_ _42343_/A VGND VGND VPWR VPWR _42343_/Y sky130_fd_sc_hd__inv_8
+X_54329_ _54329_/A _54329_/B VGND VGND VPWR VPWR _54329_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_494_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73163_ _43822_/A VGND VGND VPWR VPWR _74985_/A sky130_fd_sc_hd__buf_1
+XPHY_33001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58097_ _58097_/A _58331_/B VGND VGND VPWR VPWR _58097_/Y sky130_fd_sc_hd__nor2_2
+X_70375_ _70375_/A _70375_/B VGND VGND VPWR VPWR _70375_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_7_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_361_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72114_ _71144_/X _72053_/X _71153_/X _72113_/Y VGND VGND VPWR VPWR _72115_/A sky130_fd_sc_hd__o22a_4
+XFILLER_401_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_317_149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45062_ _45062_/A _45061_/Y VGND VGND VPWR VPWR _45063_/B sky130_fd_sc_hd__nor2_2
+XPHY_32300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57048_ _57951_/A VGND VGND VPWR VPWR _57048_/X sky130_fd_sc_hd__buf_1
+XFILLER_158_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_518_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42274_ wbs_adr_i[27] wbs_adr_i[26] _42273_/X _41662_/B VGND VGND VPWR VPWR _47242_/B
++ sky130_fd_sc_hd__or4_2
+XFILLER_137_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73094_ _73094_/A _73094_/B VGND VGND VPWR VPWR _81266_/D sky130_fd_sc_hd__nand2_2
+X_77971_ _78477_/CLK _50824_/Y VGND VGND VPWR VPWR _62609_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44013_ _44013_/A VGND VGND VPWR VPWR _44142_/A sky130_fd_sc_hd__inv_8
+XPHY_14976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_570_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79710_ _79711_/CLK _41083_/Y VGND VGND VPWR VPWR _57620_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_535_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_715_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41225_ _41225_/A _41225_/B VGND VGND VPWR VPWR _79676_/D sky130_fd_sc_hd__nand2_2
+XPHY_33089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76922_ _76921_/CLK _76922_/D VGND VGND VPWR VPWR _54796_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_338_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72045_ _72045_/A _69563_/A VGND VGND VPWR VPWR _72053_/A sky130_fd_sc_hd__and2_2
+XFILLER_351_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49870_ _49842_/A _49876_/B VGND VGND VPWR VPWR _49871_/B sky130_fd_sc_hd__or2_2
+XFILLER_152_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_530_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48821_ _48821_/A _48821_/B VGND VGND VPWR VPWR _48821_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_630_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79641_ _79641_/CLK _41357_/Y VGND VGND VPWR VPWR _66140_/A sky130_fd_sc_hd__dfxtp_4
+X_41156_ _41154_/A VGND VGND VPWR VPWR _41266_/B sky130_fd_sc_hd__buf_1
+XFILLER_139_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_31654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76853_ _78384_/CLK _76853_/D VGND VGND VPWR VPWR _76853_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_21131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_156_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58999_ _58992_/X _58995_/Y _58999_/C VGND VGND VPWR VPWR _59010_/B sky130_fd_sc_hd__nor3_2
+XPHY_21142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_648_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40107_ _40348_/A _40107_/B VGND VGND VPWR VPWR _40109_/A sky130_fd_sc_hd__or2_2
+XFILLER_344_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_9_51_0_u_core.clock clkbuf_9_51_0_u_core.clock/A VGND VGND VPWR VPWR _78070_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_668_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75804_ _75804_/A _75803_/Y VGND VGND VPWR VPWR _75804_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_491_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48752_ _63272_/A _48740_/B VGND VGND VPWR VPWR _48752_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79572_ _79686_/CLK _41614_/Y VGND VGND VPWR VPWR _65299_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_87_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45964_ _45960_/A _45964_/B _45964_/C VGND VGND VPWR VPWR _79057_/D sky130_fd_sc_hd__nor3_2
+X_41087_ _41087_/A _41087_/B VGND VGND VPWR VPWR _41087_/Y sky130_fd_sc_hd__nand2_2
+XPHY_30964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76784_ _78660_/CLK _55760_/Y VGND VGND VPWR VPWR _59466_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_344_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73996_ _73992_/X _73996_/B VGND VGND VPWR VPWR _73996_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_414_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_117_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_349_3044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_132_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_152_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47703_ _47701_/A VGND VGND VPWR VPWR _47725_/B sky130_fd_sc_hd__buf_1
+XFILLER_278_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78523_ _78523_/CLK _48712_/Y VGND VGND VPWR VPWR _63823_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40038_ _39963_/A VGND VGND VPWR VPWR _40038_/X sky130_fd_sc_hd__buf_1
+X_44915_ _44914_/X VGND VGND VPWR VPWR _45004_/B sky130_fd_sc_hd__buf_1
+XFILLER_616_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75735_ _75735_/A _75734_/Y VGND VGND VPWR VPWR _80616_/D sky130_fd_sc_hd__nand2_2
+XFILLER_368_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_583_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48683_ _48681_/Y _48682_/X VGND VGND VPWR VPWR _48683_/Y sky130_fd_sc_hd__nand2_2
+XPHY_8882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60961_ _60795_/A _60959_/Y _60960_/Y VGND VGND VPWR VPWR _60961_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_507_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72947_ _73517_/A VGND VGND VPWR VPWR _72957_/A sky130_fd_sc_hd__buf_1
+XFILLER_97_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45895_ _45899_/A _45895_/B VGND VGND VPWR VPWR _79078_/D sky130_fd_sc_hd__nor2_2
+XFILLER_286_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_724_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_20496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_685_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_671_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62700_ _62378_/X _62698_/Y _62700_/C VGND VGND VPWR VPWR _62701_/C sky130_fd_sc_hd__nor3_2
+XFILLER_120_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47634_ _47605_/A _47655_/B VGND VGND VPWR VPWR _47634_/X sky130_fd_sc_hd__or2_2
+XFILLER_226_307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78454_ _77506_/CLK _78454_/D VGND VGND VPWR VPWR _63082_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_38_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44846_ _44846_/A _44846_/B VGND VGND VPWR VPWR _44846_/X sky130_fd_sc_hd__and2_2
+XFILLER_604_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63680_ _63680_/A _59307_/B VGND VGND VPWR VPWR _63682_/B sky130_fd_sc_hd__nor2_2
+XFILLER_310_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_78_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75666_ _75662_/A _75665_/X _80634_/Q VGND VGND VPWR VPWR _75666_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_466_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_254_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_294_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60892_ _77456_/Q _60419_/X VGND VGND VPWR VPWR _60894_/B sky130_fd_sc_hd__nor2_2
+XFILLER_286_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72878_ _72883_/A _72873_/B _68125_/A VGND VGND VPWR VPWR _72879_/B sky130_fd_sc_hd__nand3_2
+XFILLER_482_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_281_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_542_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77405_ _76907_/CLK _77405_/D VGND VGND VPWR VPWR _60454_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_93_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_35_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62631_ _59042_/A VGND VGND VPWR VPWR _62631_/X sky130_fd_sc_hd__buf_1
+X_74617_ _74614_/X _74616_/Y VGND VGND VPWR VPWR _80892_/D sky130_fd_sc_hd__nand2_2
+XFILLER_281_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71829_ _71890_/A _71890_/B _65810_/A VGND VGND VPWR VPWR _71830_/B sky130_fd_sc_hd__nand3_2
+XFILLER_19_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47565_ _47565_/A _47564_/X VGND VGND VPWR VPWR _78813_/D sky130_fd_sc_hd__nand2_2
+XFILLER_640_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78385_ _78384_/CLK _49241_/Y VGND VGND VPWR VPWR _63633_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_679_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_267_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44777_ _75485_/A _44748_/B VGND VGND VPWR VPWR _44778_/B sky130_fd_sc_hd__or2_2
+XFILLER_78_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75597_ _75595_/X _75596_/Y VGND VGND VPWR VPWR _75597_/Y sky130_fd_sc_hd__nand2_2
+X_41989_ _41988_/Y _42014_/B VGND VGND VPWR VPWR _41989_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_622_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_425_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_35_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_499_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49304_ _49246_/A _49295_/B VGND VGND VPWR VPWR _49305_/B sky130_fd_sc_hd__or2_2
+XFILLER_95_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46516_ _46528_/A VGND VGND VPWR VPWR _46516_/X sky130_fd_sc_hd__buf_1
+XFILLER_228_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_460_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65350_ _66013_/A _65344_/Y _65350_/C VGND VGND VPWR VPWR _65350_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_1_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77336_ _76871_/CLK _53239_/Y VGND VGND VPWR VPWR _77336_/Q sky130_fd_sc_hd__dfxtp_4
+X_43728_ _44038_/B _43727_/X VGND VGND VPWR VPWR _43729_/B sky130_fd_sc_hd__nor2_2
+X_62562_ _62562_/A _62250_/B VGND VGND VPWR VPWR _62562_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_327_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74548_ _74554_/A _74554_/B _80910_/Q VGND VGND VPWR VPWR _74549_/B sky130_fd_sc_hd__nand3_2
+XFILLER_523_1131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47496_ _47979_/A VGND VGND VPWR VPWR _47605_/A sky130_fd_sc_hd__buf_1
+XFILLER_182_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_228_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64301_ _64075_/A VGND VGND VPWR VPWR _64488_/A sky130_fd_sc_hd__buf_1
+XFILLER_362_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_596_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_280_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49235_ _63764_/A _49242_/B VGND VGND VPWR VPWR _49238_/A sky130_fd_sc_hd__nand2_2
+X_61513_ _52729_/A _61203_/B VGND VGND VPWR VPWR _61513_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_618_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46447_ _46447_/A _46447_/B VGND VGND VPWR VPWR _46447_/X sky130_fd_sc_hd__and2_2
+XFILLER_499_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65281_ _65281_/A _65453_/B VGND VGND VPWR VPWR _65281_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_692_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77267_ _77265_/CLK _53509_/Y VGND VGND VPWR VPWR _77267_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_206_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_577_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_421_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43659_ _43646_/X _43659_/B _43658_/Y VGND VGND VPWR VPWR _79304_/D sky130_fd_sc_hd__nor3_2
+XFILLER_495_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74479_ _66749_/A VGND VGND VPWR VPWR _74480_/A sky130_fd_sc_hd__inv_8
+XFILLER_411_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62493_ _62493_/A _62963_/B VGND VGND VPWR VPWR _62494_/C sky130_fd_sc_hd__nor2_2
+XFILLER_280_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_141_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67020_ _80222_/Q _67344_/B VGND VGND VPWR VPWR _67021_/C sky130_fd_sc_hd__nor2_2
+XPHY_18292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79006_ _79559_/CLK _79006_/D _46536_/X VGND VGND VPWR VPWR _79006_/Q sky130_fd_sc_hd__dfrtp_4
+XFILLER_37_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76218_ _38221_/A _76210_/B VGND VGND VPWR VPWR _76222_/A sky130_fd_sc_hd__or2_2
+X_64232_ _64124_/A VGND VGND VPWR VPWR _64600_/A sky130_fd_sc_hd__buf_1
+XFILLER_340_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61444_ _51859_/A _61759_/B VGND VGND VPWR VPWR _61444_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_124_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49166_ _49164_/Y _49166_/B VGND VGND VPWR VPWR _78405_/D sky130_fd_sc_hd__nand2_2
+XFILLER_657_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46378_ _46378_/A VGND VGND VPWR VPWR _46378_/X sky130_fd_sc_hd__buf_1
+XFILLER_378_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77198_ _77214_/CLK _77198_/D VGND VGND VPWR VPWR _77198_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_410_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_590_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_618_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48117_ _48117_/A _48120_/B VGND VGND VPWR VPWR _48119_/A sky130_fd_sc_hd__nand2_2
+XFILLER_102_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_30_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_618_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45329_ _70383_/B _45355_/B VGND VGND VPWR VPWR _45354_/B sky130_fd_sc_hd__nor2_2
+X_64163_ _75945_/C _64704_/B VGND VGND VPWR VPWR _64164_/C sky130_fd_sc_hd__nor2_2
+XFILLER_124_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76149_ _76149_/A VGND VGND VPWR VPWR _76150_/A sky130_fd_sc_hd__buf_1
+XFILLER_258_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61375_ _61221_/A _61375_/B _61375_/C VGND VGND VPWR VPWR _61376_/C sky130_fd_sc_hd__nor3_2
+X_49097_ _49097_/A _49082_/B VGND VGND VPWR VPWR _49100_/A sky130_fd_sc_hd__nand2_2
+XFILLER_395_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_159_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_473_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_106_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_239_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63114_ _48697_/A _63581_/B VGND VGND VPWR VPWR _63114_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_716_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_571_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60326_ _59060_/X VGND VGND VPWR VPWR _60331_/A sky130_fd_sc_hd__buf_1
+X_48048_ _48045_/Y _48048_/B VGND VGND VPWR VPWR _78692_/D sky130_fd_sc_hd__nand2_2
+XFILLER_258_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68971_ _68305_/A _68969_/Y _68971_/C VGND VGND VPWR VPWR _68972_/C sky130_fd_sc_hd__nor3_2
+X_64094_ _64094_/A _65024_/B VGND VGND VPWR VPWR _64094_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_141_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_616_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_391_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79908_ _79902_/CLK _40291_/Y VGND VGND VPWR VPWR _58083_/A sky130_fd_sc_hd__dfxtp_4
+X_67922_ _65251_/A VGND VGND VPWR VPWR _67922_/X sky130_fd_sc_hd__buf_1
+XFILLER_304_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63045_ _63045_/A _62579_/B VGND VGND VPWR VPWR _63045_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_337_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60257_ _60257_/A _60256_/Y VGND VGND VPWR VPWR _60258_/B sky130_fd_sc_hd__nor2_2
+XFILLER_338_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_686_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_137_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50010_ _50007_/Y _50009_/X VGND VGND VPWR VPWR _78188_/D sky130_fd_sc_hd__nand2_2
+XFILLER_436_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39821_ _39819_/X _39820_/Y VGND VGND VPWR VPWR _80029_/D sky130_fd_sc_hd__nand2_2
+XFILLER_157_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_192_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_332_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_334_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67853_ _44683_/A _68021_/B VGND VGND VPWR VPWR _68020_/A sky130_fd_sc_hd__nor2_2
+XFILLER_477_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79839_ _79809_/CLK _79839_/D VGND VGND VPWR VPWR _57641_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_315_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60188_ _59381_/A VGND VGND VPWR VPWR _60189_/B sky130_fd_sc_hd__buf_1
+XFILLER_331_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49999_ _78190_/Q _49996_/B VGND VGND VPWR VPWR _50001_/A sky130_fd_sc_hd__nand2_2
+XFILLER_107_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_588_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_569_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_103_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66804_ _74346_/C _66803_/X VGND VGND VPWR VPWR _66807_/B sky130_fd_sc_hd__nor2_2
+XFILLER_350_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_315_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_131_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39752_ _39763_/A VGND VGND VPWR VPWR _39800_/A sky130_fd_sc_hd__buf_1
+XFILLER_170_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_664_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67784_ _58017_/A _68269_/B VGND VGND VPWR VPWR _67785_/C sky130_fd_sc_hd__nor2_2
+XFILLER_48_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64996_ _64370_/X VGND VGND VPWR VPWR _65523_/B sky130_fd_sc_hd__buf_1
+XFILLER_189_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38703_ _38567_/A _38692_/B VGND VGND VPWR VPWR _38703_/X sky130_fd_sc_hd__or2_2
+XFILLER_508_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_269_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_3119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69523_ _39893_/C _69523_/B VGND VGND VPWR VPWR _69525_/B sky130_fd_sc_hd__nor2_2
+XFILLER_230_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66735_ _66735_/A _66731_/Y _66735_/C VGND VGND VPWR VPWR _66736_/C sky130_fd_sc_hd__nor3_2
+XFILLER_410_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51961_ _51933_/A _51954_/X VGND VGND VPWR VPWR _51962_/B sky130_fd_sc_hd__or2_2
+X_39683_ _39665_/A VGND VGND VPWR VPWR _39688_/B sky130_fd_sc_hd__buf_1
+XFILLER_367_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63947_ _59346_/A _63945_/Y _63947_/C VGND VGND VPWR VPWR _63948_/C sky130_fd_sc_hd__nor3_2
+XFILLER_131_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_113_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_1497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53700_ _53700_/A _53700_/B VGND VGND VPWR VPWR _53702_/A sky130_fd_sc_hd__nand2_2
+XFILLER_487_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_465_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_446_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50912_ _50969_/A _50933_/B VGND VGND VPWR VPWR _50913_/B sky130_fd_sc_hd__or2_2
+XFILLER_285_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38634_ _38634_/A VGND VGND VPWR VPWR _38672_/A sky130_fd_sc_hd__buf_1
+XFILLER_257_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69454_ _69036_/A _69454_/B _69453_/Y VGND VGND VPWR VPWR _69454_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_445_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54680_ _54798_/A _54684_/B VGND VGND VPWR VPWR _54680_/X sky130_fd_sc_hd__or2_2
+X_66666_ _64370_/X VGND VGND VPWR VPWR _67328_/B sky130_fd_sc_hd__buf_1
+XFILLER_265_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51892_ _51892_/A _51910_/B VGND VGND VPWR VPWR _51892_/X sky130_fd_sc_hd__or2_2
+XFILLER_446_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63878_ _63478_/A _63876_/Y _63878_/C VGND VGND VPWR VPWR _63882_/B sky130_fd_sc_hd__nor3_2
+XFILLER_61_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68405_ _73414_/C _68908_/B VGND VGND VPWR VPWR _68407_/B sky130_fd_sc_hd__nor2_2
+XFILLER_72_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_38_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_662_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53631_ _53631_/A VGND VGND VPWR VPWR _53664_/A sky130_fd_sc_hd__buf_1
+XFILLER_445_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65617_ _65445_/A _65617_/B _65616_/Y VGND VGND VPWR VPWR _65617_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_187_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38565_ _38562_/X _38565_/B VGND VGND VPWR VPWR _80346_/D sky130_fd_sc_hd__nand2_2
+XFILLER_506_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50843_ _50843_/A _50842_/X VGND VGND VPWR VPWR _50843_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_622_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62829_ _62986_/A _62829_/B _62828_/Y VGND VGND VPWR VPWR _62841_/B sky130_fd_sc_hd__nor3_2
+XFILLER_599_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_3076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_3027 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69385_ _69385_/A _69383_/Y _69384_/Y VGND VGND VPWR VPWR _69385_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_272_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_328_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66597_ _66597_/A _66276_/X VGND VGND VPWR VPWR _66597_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_246_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_183_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_642_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_65_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_0_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_183_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_228_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56350_ _56350_/A _56350_/B _56350_/C VGND VGND VPWR VPWR _56360_/B sky130_fd_sc_hd__nor3_2
+XFILLER_344_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80614_ _80597_/CLK _80614_/D VGND VGND VPWR VPWR _80614_/Q sky130_fd_sc_hd__dfxtp_4
+X_68336_ _68336_/A _68294_/Y _68336_/C VGND VGND VPWR VPWR _68337_/C sky130_fd_sc_hd__nor3_2
+XFILLER_560_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53562_ _53562_/A _53562_/B VGND VGND VPWR VPWR _77252_/D sky130_fd_sc_hd__nand2_2
+XFILLER_55_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65548_ _65866_/A _65548_/B VGND VGND VPWR VPWR _65549_/C sky130_fd_sc_hd__nor2_2
+XFILLER_148_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50774_ _50774_/A _50774_/B VGND VGND VPWR VPWR _77985_/D sky130_fd_sc_hd__nand2_2
+XFILLER_588_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38496_ _38492_/X _38496_/B VGND VGND VPWR VPWR _38496_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_521_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_263_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_309_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_558_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55301_ _55377_/A VGND VGND VPWR VPWR _55301_/X sky130_fd_sc_hd__buf_1
+XFILLER_246_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_575_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52513_ _52569_/A _52516_/B VGND VGND VPWR VPWR _52514_/B sky130_fd_sc_hd__or2_2
+XFILLER_601_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_478_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_658_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80545_ _80543_/CLK _76003_/Y VGND VGND VPWR VPWR _80545_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_401_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56281_ _57333_/A VGND VGND VPWR VPWR _56290_/A sky130_fd_sc_hd__buf_1
+X_68267_ _68934_/A _68263_/Y _68266_/Y VGND VGND VPWR VPWR _68267_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_263_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53493_ _77270_/Q _53493_/B VGND VGND VPWR VPWR _53493_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_74_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65479_ _65479_/A _65126_/B VGND VGND VPWR VPWR _65480_/C sky130_fd_sc_hd__nor2_2
+XFILLER_25_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_500_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_12_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58020_ _58015_/X _58017_/Y _58019_/Y VGND VGND VPWR VPWR _58021_/C sky130_fd_sc_hd__nor3_2
+XFILLER_519_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55232_ _69992_/A _55232_/B VGND VGND VPWR VPWR _55232_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_241_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_656_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67218_ _67376_/A _67218_/B _67217_/Y VGND VGND VPWR VPWR _67218_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_279_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_181_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52444_ _52444_/A _52444_/B VGND VGND VPWR VPWR _52444_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_495_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80476_ _80508_/CLK _80476_/D VGND VGND VPWR VPWR _76295_/C sky130_fd_sc_hd__dfxtp_4
+X_68198_ _68198_/A _68198_/B _68197_/Y VGND VGND VPWR VPWR _68198_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_593_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_181_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_712_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_717_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_33_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_311_0_u_core.clock clkbuf_9_311_0_u_core.clock/A VGND VGND VPWR VPWR _81047_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_402_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39117_ _39128_/A VGND VGND VPWR VPWR _39142_/A sky130_fd_sc_hd__buf_1
+XFILLER_396_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_656_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55163_ _55106_/B VGND VGND VPWR VPWR _55164_/B sky130_fd_sc_hd__inv_8
+X_67149_ _75767_/C _66815_/B VGND VGND VPWR VPWR _67150_/C sky130_fd_sc_hd__nor2_2
+XFILLER_103_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_120_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_327_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52375_ _52382_/A VGND VGND VPWR VPWR _52394_/B sky130_fd_sc_hd__buf_1
+XFILLER_459_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_139_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_528_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_120_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_4_13_1_u_core.clock clkbuf_4_13_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_4_13_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_652_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54114_ _54114_/A _54118_/B VGND VGND VPWR VPWR _54117_/A sky130_fd_sc_hd__nand2_2
+XFILLER_120_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_536_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_300_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_155_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39048_ _39045_/A _39045_/B _39048_/C VGND VGND VPWR VPWR _39048_/Y sky130_fd_sc_hd__nand3_2
+X_51326_ _61026_/A _51311_/X VGND VGND VPWR VPWR _51328_/A sky130_fd_sc_hd__nand2_2
+X_70160_ _70323_/A _70160_/B VGND VGND VPWR VPWR _70161_/A sky130_fd_sc_hd__or2_2
+XFILLER_194_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59971_ _54562_/A _59661_/B VGND VGND VPWR VPWR _59971_/Y sky130_fd_sc_hd__nor2_2
+X_55094_ _55094_/A VGND VGND VPWR VPWR _55095_/B sky130_fd_sc_hd__buf_1
+XPHY_13505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_374_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_480_0_u_core.clock clkbuf_9_481_0_u_core.clock/A VGND VGND VPWR VPWR _80259_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_472_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_712_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_535_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_720_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_119_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54045_ _54042_/Y _54045_/B VGND VGND VPWR VPWR _77122_/D sky130_fd_sc_hd__nand2_2
+X_58922_ _58921_/X VGND VGND VPWR VPWR _59544_/A sky130_fd_sc_hd__buf_1
+XFILLER_101_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_571_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_532_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51257_ _47303_/A VGND VGND VPWR VPWR _51257_/X sky130_fd_sc_hd__buf_1
+XFILLER_134_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70091_ _69945_/A VGND VGND VPWR VPWR _70091_/X sky130_fd_sc_hd__buf_1
+XFILLER_726_87 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_552_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_339_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_292_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41010_ _41010_/A _41010_/B _58775_/A VGND VGND VPWR VPWR _41010_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_323_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_46_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50208_ _50152_/A _50208_/B VGND VGND VPWR VPWR _50208_/X sky130_fd_sc_hd__or2_2
+XFILLER_274_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81028_ _80809_/CLK _74048_/Y VGND VGND VPWR VPWR _81028_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_530_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_427_0_u_core.clock clkbuf_9_427_0_u_core.clock/A VGND VGND VPWR VPWR _79969_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_137_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58853_ _58877_/A VGND VGND VPWR VPWR _58853_/X sky130_fd_sc_hd__buf_1
+XPHY_12848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_350_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_567_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51188_ _62043_/A _51194_/B VGND VGND VPWR VPWR _51188_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_630_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_27_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_432_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_106_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57804_ _57804_/A _57655_/B VGND VGND VPWR VPWR _57806_/B sky130_fd_sc_hd__nor2_2
+XPHY_8123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50139_ _78153_/Q _50142_/B VGND VGND VPWR VPWR _50139_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_270_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_73850_ _73839_/X _73860_/B _65262_/A VGND VGND VPWR VPWR _73851_/B sky130_fd_sc_hd__nand3_2
+XFILLER_270_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58784_ _56352_/A _58782_/Y _58783_/Y VGND VGND VPWR VPWR _58788_/B sky130_fd_sc_hd__nor3_2
+XFILLER_270_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_700_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55996_ _55992_/X _55996_/B VGND VGND VPWR VPWR _55996_/X sky130_fd_sc_hd__and2_2
+XFILLER_569_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_295_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_88_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_172_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_314_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_72801_ _72801_/A _72801_/B _72801_/C VGND VGND VPWR VPWR _72802_/B sky130_fd_sc_hd__nand3_2
+XPHY_8167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57735_ _57735_/A _57735_/B _57734_/Y VGND VGND VPWR VPWR _57735_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_62_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42961_ _42958_/X _42961_/B VGND VGND VPWR VPWR _42961_/Y sky130_fd_sc_hd__nand2_2
+XPHY_8178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54947_ _54944_/Y _54946_/X VGND VGND VPWR VPWR _76882_/D sky130_fd_sc_hd__nand2_2
+X_73781_ _73762_/A VGND VGND VPWR VPWR _73782_/B sky130_fd_sc_hd__buf_1
+XFILLER_682_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_587_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70993_ _70398_/Y _70396_/X VGND VGND VPWR VPWR _70993_/Y sky130_fd_sc_hd__nor2_2
+XPHY_8189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_40_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_626_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_660_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44700_ _44700_/A _44700_/B VGND VGND VPWR VPWR _44700_/X sky130_fd_sc_hd__and2_2
+XPHY_6721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75520_ _75520_/A _75520_/B VGND VGND VPWR VPWR _75520_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_565_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41912_ wbs_adr_i[11] wbs_adr_i[10] _41912_/C VGND VGND VPWR VPWR _41912_/X sky130_fd_sc_hd__or3_2
+XPHY_39214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72732_ _72712_/A VGND VGND VPWR VPWR _72787_/A sky130_fd_sc_hd__buf_1
+XPHY_6732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_5_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45680_ _45677_/Y _45679_/Y VGND VGND VPWR VPWR _45681_/B sky130_fd_sc_hd__nor2_2
+XPHY_39225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57666_ _80287_/Q _57666_/B VGND VGND VPWR VPWR _57667_/C sky130_fd_sc_hd__nor2_2
+XFILLER_461_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42892_ _42892_/A _42888_/B VGND VGND VPWR VPWR _42892_/X sky130_fd_sc_hd__or2_2
+XFILLER_76_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54878_ _54878_/A _54877_/X VGND VGND VPWR VPWR _76901_/D sky130_fd_sc_hd__nand2_2
+XFILLER_663_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_21_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_543_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_198_0_u_core.clock clkbuf_7_99_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_397_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_6765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_614_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59405_ _59405_/A _59405_/B _59405_/C VGND VGND VPWR VPWR _59409_/B sky130_fd_sc_hd__nor3_2
+XFILLER_75_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44631_ _44631_/A _44631_/B VGND VGND VPWR VPWR _44631_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_526_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56617_ _56617_/A VGND VGND VPWR VPWR _56780_/A sky130_fd_sc_hd__buf_1
+X_75451_ _76093_/A VGND VGND VPWR VPWR _75607_/A sky130_fd_sc_hd__buf_1
+XFILLER_508_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41843_ _41827_/A _41843_/B VGND VGND VPWR VPWR _41843_/X sky130_fd_sc_hd__or2_2
+XPHY_38524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53829_ _77180_/Q _53840_/B VGND VGND VPWR VPWR _53832_/A sky130_fd_sc_hd__nand2_2
+XPHY_39269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72663_ _72663_/A _72673_/B VGND VGND VPWR VPWR _72663_/X sky130_fd_sc_hd__or2_2
+XFILLER_328_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_723_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57597_ _57597_/A _57597_/B _57596_/Y VGND VGND VPWR VPWR _57597_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_366_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_92_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_507_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74402_ _80942_/Q VGND VGND VPWR VPWR _74406_/A sky130_fd_sc_hd__inv_8
+XFILLER_90_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47350_ _47348_/X _47349_/Y VGND VGND VPWR VPWR _78862_/D sky130_fd_sc_hd__nand2_2
+X_71614_ _71640_/A _71640_/B _57586_/A VGND VGND VPWR VPWR _71615_/B sky130_fd_sc_hd__nand3_2
+X_59336_ _76854_/Q _59496_/B VGND VGND VPWR VPWR _59337_/C sky130_fd_sc_hd__nor2_2
+XFILLER_290_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78170_ _78170_/CLK _50074_/Y VGND VGND VPWR VPWR _78170_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_426_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44562_ _44562_/A VGND VGND VPWR VPWR _44563_/A sky130_fd_sc_hd__buf_1
+XFILLER_581_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56548_ _38972_/C _56349_/B VGND VGND VPWR VPWR _56549_/C sky130_fd_sc_hd__nor2_2
+XFILLER_16_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75382_ _75375_/A _75382_/B _80698_/Q VGND VGND VPWR VPWR _75383_/B sky130_fd_sc_hd__nand3_2
+XPHY_28045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41774_ _41731_/A VGND VGND VPWR VPWR _41774_/X sky130_fd_sc_hd__buf_1
+XPHY_38579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72594_ _72594_/A _72594_/B VGND VGND VPWR VPWR _72594_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_406_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46301_ _46292_/A _46299_/Y _46300_/Y VGND VGND VPWR VPWR _79020_/D sky130_fd_sc_hd__nor3_2
+XFILLER_164_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77121_ _77141_/CLK _54048_/Y VGND VGND VPWR VPWR _77121_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_44_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_578_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43513_ _43500_/X _43513_/B VGND VGND VPWR VPWR _43513_/X sky130_fd_sc_hd__and2_2
+X_74333_ _74320_/A _74320_/B _80960_/Q VGND VGND VPWR VPWR _74334_/B sky130_fd_sc_hd__nand3_2
+XFILLER_426_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40725_ _40724_/X _40717_/X _57078_/A VGND VGND VPWR VPWR _40726_/B sky130_fd_sc_hd__nand3_2
+XPHY_28089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47281_ _47293_/B _42276_/B wbs_adr_i[5] VGND VGND VPWR VPWR _47281_/X sky130_fd_sc_hd__and3_2
+X_71545_ _46965_/A VGND VGND VPWR VPWR _71546_/B sky130_fd_sc_hd__inv_8
+XFILLER_160_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59267_ _59267_/A VGND VGND VPWR VPWR _59446_/B sky130_fd_sc_hd__buf_1
+XFILLER_51_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44493_ _44493_/A _44483_/X VGND VGND VPWR VPWR _44502_/A sky130_fd_sc_hd__nor2_2
+XFILLER_309_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56479_ _56479_/A _56371_/B VGND VGND VPWR VPWR _56481_/B sky130_fd_sc_hd__nor2_2
+XPHY_27355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_407_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_38_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_410_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49020_ _49020_/A _49016_/B VGND VGND VPWR VPWR _49022_/A sky130_fd_sc_hd__nand2_2
+XFILLER_231_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_674_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46232_ _46682_/A _46232_/B VGND VGND VPWR VPWR _46232_/X sky130_fd_sc_hd__or2_2
+XFILLER_232_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58218_ _57981_/A _58198_/Y _58218_/C VGND VGND VPWR VPWR _58218_/X sky130_fd_sc_hd__or3_2
+XPHY_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77052_ _77060_/CLK _54316_/Y VGND VGND VPWR VPWR _60332_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_189_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43444_ _42962_/A _43433_/X VGND VGND VPWR VPWR _43444_/X sky130_fd_sc_hd__or2_2
+XFILLER_422_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_297_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_519_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74264_ _74136_/A _74161_/A VGND VGND VPWR VPWR _74266_/A sky130_fd_sc_hd__or2_2
+XFILLER_125_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40656_ _40659_/A _40646_/B _69113_/A VGND VGND VPWR VPWR _40656_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_199_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_3083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59198_ _53611_/A _63879_/B VGND VGND VPWR VPWR _59202_/B sky130_fd_sc_hd__nor2_2
+XFILLER_392_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71476_ _73172_/A VGND VGND VPWR VPWR _42930_/A sky130_fd_sc_hd__buf_1
+XFILLER_19_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_106_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76003_ _75999_/X _76002_/Y VGND VGND VPWR VPWR _76003_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_73_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73215_ _73215_/A _73214_/X VGND VGND VPWR VPWR _73215_/Y sky130_fd_sc_hd__nand2_2
+XPHY_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58149_ _80965_/Q _58223_/B VGND VGND VPWR VPWR _58150_/C sky130_fd_sc_hd__nor2_2
+X_46163_ _45222_/A _46163_/B VGND VGND VPWR VPWR _46163_/X sky130_fd_sc_hd__or2_2
+X_70427_ _70385_/A _70426_/X VGND VGND VPWR VPWR _70427_/X sky130_fd_sc_hd__or2_2
+XFILLER_534_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43375_ _43375_/A _43374_/Y VGND VGND VPWR VPWR _79366_/D sky130_fd_sc_hd__nand2_2
+XPHY_15430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74195_ _74195_/A _74192_/B VGND VGND VPWR VPWR _74195_/X sky130_fd_sc_hd__or2_2
+XFILLER_420_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40587_ _40577_/A _40581_/B _57475_/A VGND VGND VPWR VPWR _40588_/B sky130_fd_sc_hd__nand3_2
+XPHY_25964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_572_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_717_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_715_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45114_ _45114_/A _45087_/B VGND VGND VPWR VPWR _45114_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42326_ _42307_/A VGND VGND VPWR VPWR _42335_/A sky130_fd_sc_hd__buf_1
+XFILLER_636_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61160_ _59141_/A VGND VGND VPWR VPWR _61161_/B sky130_fd_sc_hd__buf_1
+XFILLER_177_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73146_ _73143_/Y _73145_/X VGND VGND VPWR VPWR _81256_/D sky130_fd_sc_hd__nand2_2
+XFILLER_8_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_357_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46094_ _46097_/A _46191_/A VGND VGND VPWR VPWR _46094_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_255_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_89_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70358_ _70226_/A _70357_/X VGND VGND VPWR VPWR _70358_/X sky130_fd_sc_hd__or2_2
+XFILLER_195_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_316_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_193_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60111_ _76939_/Q _60269_/B VGND VGND VPWR VPWR _60113_/B sky130_fd_sc_hd__nor2_2
+XFILLER_392_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_3092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49922_ _62541_/A _49929_/B VGND VGND VPWR VPWR _49925_/A sky130_fd_sc_hd__nand2_2
+XFILLER_158_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45045_ _45045_/A VGND VGND VPWR VPWR _45065_/A sky130_fd_sc_hd__buf_1
+XPHY_32130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_361_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42257_ _42257_/A VGND VGND VPWR VPWR _46238_/B sky130_fd_sc_hd__buf_1
+XFILLER_710_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61091_ _60625_/A _61087_/Y _61091_/C VGND VGND VPWR VPWR _61091_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_275_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73077_ _73074_/Y _73076_/X VGND VGND VPWR VPWR _81271_/D sky130_fd_sc_hd__nand2_2
+X_77954_ _77950_/CLK _50892_/Y VGND VGND VPWR VPWR _77954_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_314_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70289_ _70289_/A VGND VGND VPWR VPWR _70289_/Y sky130_fd_sc_hd__inv_8
+XFILLER_515_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_257_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_370_2845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_99_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41208_ _41070_/X _41205_/B VGND VGND VPWR VPWR _41211_/A sky130_fd_sc_hd__or2_2
+XPHY_32174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60042_ _60834_/A VGND VGND VPWR VPWR _60043_/B sky130_fd_sc_hd__buf_1
+XFILLER_433_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76905_ _76911_/CLK _54866_/Y VGND VGND VPWR VPWR _76905_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_299_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72028_ _72028_/A _71212_/A _72027_/X VGND VGND VPWR VPWR _72028_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_630_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_570_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_217_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_253_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49853_ _78229_/Q _49847_/B VGND VGND VPWR VPWR _49855_/A sky130_fd_sc_hd__nand2_2
+XFILLER_4_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_676_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42188_ wbs_sel_i[3] VGND VGND VPWR VPWR _42188_/Y sky130_fd_sc_hd__inv_8
+XFILLER_154_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_173_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77885_ _78758_/CLK _51140_/Y VGND VGND VPWR VPWR _61792_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_64_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_502_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48804_ _48864_/A _48804_/B VGND VGND VPWR VPWR _48804_/X sky130_fd_sc_hd__or2_2
+X_79624_ _79626_/CLK _79624_/D VGND VGND VPWR VPWR _79624_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_475_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41139_ _41383_/A _41147_/B VGND VGND VPWR VPWR _41141_/A sky130_fd_sc_hd__or2_2
+XFILLER_171_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64850_ _65197_/A _64850_/B VGND VGND VPWR VPWR _64850_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_466_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76836_ _79163_/CLK _76836_/D VGND VGND VPWR VPWR _76836_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_531_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49784_ _49699_/A _49787_/B VGND VGND VPWR VPWR _49784_/X sky130_fd_sc_hd__or2_2
+XFILLER_45_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_214_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_288_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46996_ _46962_/X _71707_/B _71648_/B _46979_/X VGND VGND VPWR VPWR _46997_/B sky130_fd_sc_hd__o22a_4
+XFILLER_683_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_681_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63801_ _63231_/A _63801_/B VGND VGND VPWR VPWR _63802_/C sky130_fd_sc_hd__nor2_2
+XFILLER_6_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48735_ _63967_/A _48742_/B VGND VGND VPWR VPWR _48735_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_488_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79555_ _79559_/CLK _41836_/Y _41829_/X VGND VGND VPWR VPWR _45396_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_234_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_668_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45947_ _45840_/X VGND VGND VPWR VPWR _45960_/A sky130_fd_sc_hd__buf_1
+X_64781_ _56557_/A _64781_/B VGND VGND VPWR VPWR _64783_/B sky130_fd_sc_hd__nor2_2
+XFILLER_269_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76767_ _77515_/CLK _55819_/Y VGND VGND VPWR VPWR _76767_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_20271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73979_ _73979_/A _73985_/B VGND VGND VPWR VPWR _73981_/A sky130_fd_sc_hd__or2_2
+X_61993_ _59627_/A VGND VGND VPWR VPWR _61993_/X sky130_fd_sc_hd__buf_1
+XFILLER_645_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66520_ _66849_/A _66517_/Y _66520_/C VGND VGND VPWR VPWR _66524_/B sky130_fd_sc_hd__nor3_2
+XFILLER_646_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78506_ _78474_/CLK _48778_/Y VGND VGND VPWR VPWR _78506_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_509_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75718_ _75726_/A _75713_/B _80620_/Q VGND VGND VPWR VPWR _75718_/Y sky130_fd_sc_hd__nand3_2
+X_63732_ _58978_/A _63732_/B _63731_/Y VGND VGND VPWR VPWR _63732_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_368_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_349_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_507_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60944_ _60315_/A _60942_/Y _60944_/C VGND VGND VPWR VPWR _60944_/Y sky130_fd_sc_hd__nor3_2
+X_48666_ _48724_/A _48657_/B VGND VGND VPWR VPWR _48667_/B sky130_fd_sc_hd__or2_2
+XFILLER_282_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79486_ _78988_/Q _79486_/D _42394_/X VGND VGND VPWR VPWR _42395_/A sky130_fd_sc_hd__dfstp_4
+XFILLER_620_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_443_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45878_ _45878_/A _45878_/B _45878_/C VGND VGND VPWR VPWR _79083_/D sky130_fd_sc_hd__nor3_2
+XFILLER_660_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76698_ _79302_/CLK _76698_/D VGND VGND VPWR VPWR _70502_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_247_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_254_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_561_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_526_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_722_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_466_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47617_ _63513_/A _47607_/B VGND VGND VPWR VPWR _47619_/A sky130_fd_sc_hd__nand2_2
+XFILLER_671_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66451_ _65950_/A _66449_/Y _66451_/C VGND VGND VPWR VPWR _66451_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_362_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78437_ _77872_/CLK _49041_/Y VGND VGND VPWR VPWR _78437_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_78_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44829_ _44829_/A _44883_/B _44797_/B VGND VGND VPWR VPWR _44831_/A sky130_fd_sc_hd__or3_2
+XFILLER_604_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63663_ _63059_/X _63663_/B _63663_/C VGND VGND VPWR VPWR _63667_/B sky130_fd_sc_hd__nor3_2
+XFILLER_700_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75649_ _75649_/A _75649_/B VGND VGND VPWR VPWR _75649_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_631_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60875_ _59252_/A VGND VGND VPWR VPWR _60876_/B sky130_fd_sc_hd__buf_1
+XFILLER_286_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48597_ _48597_/A _48596_/X VGND VGND VPWR VPWR _48597_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_323_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65402_ _66231_/A VGND VGND VPWR VPWR _66090_/A sky130_fd_sc_hd__buf_1
+XFILLER_503_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_624_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38350_ _38350_/A _38349_/Y VGND VGND VPWR VPWR _80398_/D sky130_fd_sc_hd__nand2_2
+X_62614_ _63240_/A _62612_/Y _62614_/C VGND VGND VPWR VPWR _62614_/Y sky130_fd_sc_hd__nor3_2
+X_69170_ _69170_/A _68709_/B VGND VGND VPWR VPWR _69170_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_525_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_447_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_425_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66382_ _80635_/Q _66537_/B VGND VGND VPWR VPWR _66384_/B sky130_fd_sc_hd__nor2_2
+XFILLER_640_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47548_ _47538_/X VGND VGND VPWR VPWR _47548_/X sky130_fd_sc_hd__buf_1
+XFILLER_381_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78368_ _78523_/CLK _49302_/Y VGND VGND VPWR VPWR _78368_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_507_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63594_ _63128_/X _63594_/B _63594_/C VGND VGND VPWR VPWR _63594_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_143_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_597_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_557_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68121_ _68121_/A _68279_/B VGND VGND VPWR VPWR _68122_/C sky130_fd_sc_hd__nor2_2
+XFILLER_23_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77319_ _77252_/CLK _53300_/Y VGND VGND VPWR VPWR _77319_/Q sky130_fd_sc_hd__dfxtp_4
+X_65333_ _79988_/Q _65672_/B VGND VGND VPWR VPWR _65333_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_640_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38281_ _38281_/A _38289_/B VGND VGND VPWR VPWR _38283_/A sky130_fd_sc_hd__or2_2
+XFILLER_362_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62545_ _62378_/X _62543_/Y _62545_/C VGND VGND VPWR VPWR _62546_/C sky130_fd_sc_hd__nor3_2
+XFILLER_327_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47479_ _47479_/A _47479_/B VGND VGND VPWR VPWR _78831_/D sky130_fd_sc_hd__nand2_2
+XFILLER_700_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78299_ _78301_/CLK _49593_/Y VGND VGND VPWR VPWR _62732_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_222_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_585_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49218_ _49218_/A _49217_/X VGND VGND VPWR VPWR _49218_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_590_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68052_ _68052_/A _68052_/B VGND VGND VPWR VPWR _68052_/Y sky130_fd_sc_hd__nor2_2
+X_80330_ _80346_/CLK _80330_/D VGND VGND VPWR VPWR _38641_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_412_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_694_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65264_ _64541_/A _65260_/Y _65264_/C VGND VGND VPWR VPWR _65273_/B sky130_fd_sc_hd__nor3_2
+XFILLER_52_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_34_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50490_ _50489_/X VGND VGND VPWR VPWR _50491_/B sky130_fd_sc_hd__buf_1
+XFILLER_349_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62476_ _61843_/X _62476_/B _62475_/Y VGND VGND VPWR VPWR _62486_/B sky130_fd_sc_hd__nor3_2
+XFILLER_22_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_692_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_575_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67003_ _67659_/A _66998_/Y _67002_/Y VGND VGND VPWR VPWR _67003_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_520_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64215_ _69036_/A _64207_/Y _64214_/Y VGND VGND VPWR VPWR _64216_/C sky130_fd_sc_hd__nor3_2
+XFILLER_121_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_178_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61427_ _61110_/X _61425_/Y _61427_/C VGND VGND VPWR VPWR _61431_/B sky130_fd_sc_hd__nor3_2
+XFILLER_657_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80261_ _80259_/CLK _80261_/D VGND VGND VPWR VPWR _80261_/Q sky130_fd_sc_hd__dfxtp_4
+X_49149_ _49139_/X VGND VGND VPWR VPWR _49156_/B sky130_fd_sc_hd__buf_1
+XFILLER_293_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65195_ _65017_/A _65195_/B _65195_/C VGND VGND VPWR VPWR _65196_/B sky130_fd_sc_hd__nor3_2
+XFILLER_15_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_537_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_699_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_356_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52160_ _52763_/A VGND VGND VPWR VPWR _52161_/A sky130_fd_sc_hd__buf_1
+XFILLER_590_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64146_ _69303_/A _64146_/B _64146_/C VGND VGND VPWR VPWR _64157_/B sky130_fd_sc_hd__nor3_2
+XFILLER_175_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61358_ _59034_/X VGND VGND VPWR VPWR _61376_/A sky130_fd_sc_hd__buf_1
+XFILLER_618_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80192_ _80187_/CLK _39173_/Y VGND VGND VPWR VPWR _67343_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_135_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51111_ _51055_/A _51111_/B VGND VGND VPWR VPWR _51112_/B sky130_fd_sc_hd__or2_2
+XFILLER_198_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60309_ _54434_/A _59975_/B VGND VGND VPWR VPWR _60309_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_258_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_580_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_451_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_200_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_571_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52091_ _52035_/A _52068_/A VGND VGND VPWR VPWR _52091_/X sky130_fd_sc_hd__or2_2
+X_68954_ _58558_/A _69235_/B VGND VGND VPWR VPWR _68954_/Y sky130_fd_sc_hd__nor2_2
+X_64077_ _64087_/A _64249_/A VGND VGND VPWR VPWR _64077_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_85_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61289_ _61758_/A _61289_/B _61289_/C VGND VGND VPWR VPWR _61298_/B sky130_fd_sc_hd__nor3_2
+XFILLER_352_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_351_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_144_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_63_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67905_ _68393_/A _67905_/B _67904_/Y VGND VGND VPWR VPWR _67915_/B sky130_fd_sc_hd__nor3_2
+X_63028_ _62247_/A VGND VGND VPWR VPWR _63494_/B sky130_fd_sc_hd__buf_1
+X_51042_ _62318_/A _51035_/B VGND VGND VPWR VPWR _51042_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_695_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_28_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68885_ _68885_/A _68884_/Y VGND VGND VPWR VPWR _68886_/B sky130_fd_sc_hd__nor2_2
+XFILLER_521_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_137_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_232_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_489_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_289_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_664_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39804_ _76140_/A VGND VGND VPWR VPWR _39804_/X sky130_fd_sc_hd__buf_1
+XFILLER_115_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_235_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55850_ _55848_/Y _55850_/B VGND VGND VPWR VPWR _55850_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_230_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67836_ _68162_/A _67832_/Y _67836_/C VGND VGND VPWR VPWR _67847_/B sky130_fd_sc_hd__nor3_2
+XPHY_10709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_213_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_629_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54801_ _54801_/A _54804_/B VGND VGND VPWR VPWR _54801_/X sky130_fd_sc_hd__or2_2
+XFILLER_432_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39735_ _39763_/A VGND VGND VPWR VPWR _39880_/B sky130_fd_sc_hd__buf_1
+XFILLER_320_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67767_ _67429_/A _67767_/B _67766_/Y VGND VGND VPWR VPWR _67768_/C sky130_fd_sc_hd__nor3_2
+X_55781_ _53066_/A _55790_/B VGND VGND VPWR VPWR _55781_/X sky130_fd_sc_hd__or2_2
+XFILLER_150_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52993_ _77397_/Q _52993_/B VGND VGND VPWR VPWR _52993_/Y sky130_fd_sc_hd__nand2_2
+Xclkbuf_9_101_0_u_core.clock clkbuf_8_50_0_u_core.clock/X VGND VGND VPWR VPWR _77065_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_8_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64979_ _66649_/A VGND VGND VPWR VPWR _65672_/B sky130_fd_sc_hd__buf_1
+XPHY_6006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57520_ _57509_/Y _57520_/B VGND VGND VPWR VPWR _57521_/B sky130_fd_sc_hd__nor2_2
+X_69506_ _58819_/A _69366_/B VGND VGND VPWR VPWR _69506_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_187_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54732_ _76940_/Q _54731_/X VGND VGND VPWR VPWR _54732_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_2_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66718_ _66718_/A VGND VGND VPWR VPWR _67056_/A sky130_fd_sc_hd__buf_1
+XFILLER_189_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39666_ _39167_/A _39677_/B VGND VGND VPWR VPWR _39669_/A sky130_fd_sc_hd__or2_2
+X_51944_ _50033_/A _51916_/B VGND VGND VPWR VPWR _51954_/A sky130_fd_sc_hd__or2_2
+XFILLER_369_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67698_ _66052_/A VGND VGND VPWR VPWR _67701_/A sky130_fd_sc_hd__buf_1
+XFILLER_367_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_113_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_446_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_2_1503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_484_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_210_0_u_core.clock clkbuf_8_211_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_421_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_19707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38617_ _74820_/A VGND VGND VPWR VPWR _38742_/A sky130_fd_sc_hd__buf_1
+XFILLER_265_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57451_ _57451_/A _57126_/X VGND VGND VPWR VPWR _57453_/B sky130_fd_sc_hd__nor2_2
+X_69437_ _69437_/A _69019_/B VGND VGND VPWR VPWR _69437_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_85_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54663_ _54663_/A _54641_/X VGND VGND VPWR VPWR _54665_/A sky130_fd_sc_hd__nand2_2
+XFILLER_508_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66649_ _66649_/A VGND VGND VPWR VPWR _66649_/X sky130_fd_sc_hd__buf_1
+Xclkbuf_9_270_0_u_core.clock clkbuf_9_271_0_u_core.clock/A VGND VGND VPWR VPWR _81351_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_720_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51875_ _51875_/A _51875_/B VGND VGND VPWR VPWR _77696_/D sky130_fd_sc_hd__nand2_2
+XPHY_4604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39597_ _39231_/A _39594_/B VGND VGND VPWR VPWR _39599_/A sky130_fd_sc_hd__or2_2
+XPHY_19729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_38_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_506 _65251_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_148_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56402_ _79727_/Q _56402_/B VGND VGND VPWR VPWR _56402_/Y sky130_fd_sc_hd__nor2_2
+XPHY_4626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53614_ _47821_/B _53650_/B VGND VGND VPWR VPWR _53618_/A sky130_fd_sc_hd__or2_2
+XANTENNA_517 _64852_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_705_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38548_ _76155_/A VGND VGND VPWR VPWR _38688_/A sky130_fd_sc_hd__buf_1
+X_50826_ _50817_/A VGND VGND VPWR VPWR _50826_/X sky130_fd_sc_hd__buf_1
+XANTENNA_528 _66373_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_57382_ _57226_/A _57382_/B _57381_/Y VGND VGND VPWR VPWR _57382_/X sky130_fd_sc_hd__or3_2
+XFILLER_25_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69368_ _64531_/A _69366_/Y _69368_/C VGND VGND VPWR VPWR _69368_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54594_ _59782_/A _54590_/B VGND VGND VPWR VPWR _54594_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_403_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_539 _68019_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_129_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_70_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_217_0_u_core.clock clkbuf_9_217_0_u_core.clock/A VGND VGND VPWR VPWR _79197_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_59121_ _59196_/A VGND VGND VPWR VPWR _59122_/A sky130_fd_sc_hd__buf_1
+XFILLER_92_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56333_ _56333_/A _56333_/B VGND VGND VPWR VPWR _56333_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_620_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68319_ _58203_/A _67999_/B VGND VGND VPWR VPWR _68319_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_207_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53545_ _59736_/A _53542_/B VGND VGND VPWR VPWR _53547_/A sky130_fd_sc_hd__nand2_2
+XFILLER_53_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38479_ _38474_/X _38489_/B _69170_/A VGND VGND VPWR VPWR _38480_/B sky130_fd_sc_hd__nand3_2
+XFILLER_541_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50757_ _50785_/A _50731_/X VGND VGND VPWR VPWR _50758_/B sky130_fd_sc_hd__or2_2
+XFILLER_13_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69299_ _64887_/A _69299_/B _69298_/Y VGND VGND VPWR VPWR _69299_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_404_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_404_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_213_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_126_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_161_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40510_ _40509_/X _40514_/B VGND VGND VPWR VPWR _40512_/A sky130_fd_sc_hd__or2_2
+X_59052_ _77093_/Q _59052_/B VGND VGND VPWR VPWR _59052_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_306_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_71330_ _70339_/Y _71330_/B VGND VGND VPWR VPWR _71330_/X sky130_fd_sc_hd__or2_2
+XFILLER_671_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56264_ _56264_/A _56263_/Y VGND VGND VPWR VPWR _56264_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_259_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80528_ _80659_/CLK _76062_/Y VGND VGND VPWR VPWR _80528_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_25205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_213_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53476_ _77274_/Q _53476_/B VGND VGND VPWR VPWR _53480_/A sky130_fd_sc_hd__nand2_2
+X_41490_ _41490_/A VGND VGND VPWR VPWR _41503_/B sky130_fd_sc_hd__buf_1
+XPHY_35739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50688_ _50688_/A _50687_/X VGND VGND VPWR VPWR _78007_/D sky130_fd_sc_hd__nand2_2
+XFILLER_107_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_386_0_u_core.clock clkbuf_9_387_0_u_core.clock/A VGND VGND VPWR VPWR _79368_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_478_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58003_ _79587_/Q _58165_/B VGND VGND VPWR VPWR _58003_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_52_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55215_ _55215_/A _55214_/Y VGND VGND VPWR VPWR _76828_/D sky130_fd_sc_hd__or2_2
+XFILLER_502_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_70_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_142_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40441_ _40441_/A _40437_/B VGND VGND VPWR VPWR _40441_/X sky130_fd_sc_hd__or2_2
+XFILLER_143_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52427_ _52427_/A _52426_/X VGND VGND VPWR VPWR _52427_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71261_ _39132_/A VGND VGND VPWR VPWR _71262_/B sky130_fd_sc_hd__buf_1
+XFILLER_718_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80459_ _80375_/CLK _76361_/Y VGND VGND VPWR VPWR _80459_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_573_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56195_ _44917_/A _56041_/B VGND VGND VPWR VPWR _56196_/C sky130_fd_sc_hd__nor2_2
+XFILLER_456_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_198_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73000_ _72987_/A VGND VGND VPWR VPWR _73075_/A sky130_fd_sc_hd__buf_1
+XFILLER_194_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70212_ _76669_/Q VGND VGND VPWR VPWR _70212_/Y sky130_fd_sc_hd__inv_8
+XFILLER_717_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43160_ _45161_/A _46157_/B VGND VGND VPWR VPWR _43161_/B sky130_fd_sc_hd__or2_2
+X_55146_ _55146_/A _55146_/B VGND VGND VPWR VPWR _76842_/D sky130_fd_sc_hd__or2_2
+XFILLER_671_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_241_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_554_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40372_ _40359_/A _40372_/B _58789_/A VGND VGND VPWR VPWR _40372_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_294_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52358_ _52358_/A _52364_/B VGND VGND VPWR VPWR _52359_/B sky130_fd_sc_hd__or2_2
+XFILLER_519_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71192_ _71192_/A VGND VGND VPWR VPWR _55362_/A sky130_fd_sc_hd__inv_8
+XFILLER_689_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_237_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_532_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_434_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42111_ _42111_/A VGND VGND VPWR VPWR _42112_/A sky130_fd_sc_hd__buf_1
+XFILLER_159_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_352_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_343_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_491_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_473_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51309_ _51309_/A _51308_/X VGND VGND VPWR VPWR _77845_/D sky130_fd_sc_hd__nand2_2
+XPHY_13324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70143_ _70143_/A VGND VGND VPWR VPWR _70143_/X sky130_fd_sc_hd__buf_1
+XPHY_14069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_504_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59954_ _59954_/A _59801_/B VGND VGND VPWR VPWR _59954_/Y sky130_fd_sc_hd__nor2_2
+X_43091_ _43088_/X _43091_/B VGND VGND VPWR VPWR _43091_/Y sky130_fd_sc_hd__nand2_2
+X_55077_ _70795_/X _46035_/A VGND VGND VPWR VPWR _55078_/C sky130_fd_sc_hd__nor2_2
+XPHY_13335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52289_ _77586_/Q _52281_/X VGND VGND VPWR VPWR _52289_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_119_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_437_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_218_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_68_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_352_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_712_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42042_ wbs_dat_i[16] VGND VGND VPWR VPWR _42042_/Y sky130_fd_sc_hd__inv_8
+XPHY_13368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54028_ _54026_/Y _54028_/B VGND VGND VPWR VPWR _54028_/Y sky130_fd_sc_hd__nand2_2
+X_58905_ _58962_/A VGND VGND VPWR VPWR _60033_/A sky130_fd_sc_hd__buf_1
+XFILLER_120_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74951_ _74949_/Y _74950_/X VGND VGND VPWR VPWR _80813_/D sky130_fd_sc_hd__nand2_2
+XFILLER_335_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70074_ _69907_/X _69929_/Y VGND VGND VPWR VPWR _70074_/X sky130_fd_sc_hd__or2_2
+XPHY_13379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59885_ _59393_/X _59885_/B _59884_/Y VGND VGND VPWR VPWR _59885_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_134_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_296_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_411_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_162_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_688_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73902_ _73902_/A _73902_/B VGND VGND VPWR VPWR _73902_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_254_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46850_ _70111_/X _46850_/B VGND VGND VPWR VPWR _46851_/C sky130_fd_sc_hd__and2_2
+XPHY_11933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58836_ _58836_/A _58836_/B _58836_/C VGND VGND VPWR VPWR _58836_/Y sky130_fd_sc_hd__nor3_2
+X_77670_ _77171_/CLK _77670_/D VGND VGND VPWR VPWR _51966_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_270_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74882_ _74878_/A _74882_/B _80831_/Q VGND VGND VPWR VPWR _74882_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_567_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_137_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_108_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_122_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_333_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_1_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45801_ _70677_/Y _45792_/X VGND VGND VPWR VPWR _45804_/A sky130_fd_sc_hd__nor2_2
+XFILLER_310_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76621_ VGND VGND VPWR VPWR _76621_/HI la_data_out[117] sky130_fd_sc_hd__conb_1
+XFILLER_136_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_567_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73833_ _73833_/A _73833_/B VGND VGND VPWR VPWR _73833_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_484_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46781_ _46777_/B _46780_/Y _46772_/C VGND VGND VPWR VPWR _78958_/D sky130_fd_sc_hd__nor3_2
+XPHY_11988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58767_ _58767_/A _58834_/B VGND VGND VPWR VPWR _58769_/B sky130_fd_sc_hd__nor2_2
+X_43993_ _72977_/A _43734_/X VGND VGND VPWR VPWR _43993_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_310_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55979_ _55960_/A VGND VGND VPWR VPWR _55980_/A sky130_fd_sc_hd__buf_1
+XFILLER_172_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_622_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48520_ _48529_/A VGND VGND VPWR VPWR _48521_/B sky130_fd_sc_hd__buf_1
+XFILLER_584_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79340_ _79395_/CLK _79340_/D VGND VGND VPWR VPWR _56646_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45732_ _70097_/X VGND VGND VPWR VPWR _45733_/A sky130_fd_sc_hd__inv_8
+XFILLER_231_1558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_608_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57718_ _57718_/A _57716_/Y _57717_/Y VGND VGND VPWR VPWR _57718_/Y sky130_fd_sc_hd__nor3_2
+X_76552_ VGND VGND VPWR VPWR _76552_/HI la_data_out[48] sky130_fd_sc_hd__conb_1
+XPHY_7263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42944_ _42944_/A _42948_/B VGND VGND VPWR VPWR _42944_/X sky130_fd_sc_hd__or2_2
+X_73764_ _73741_/A VGND VGND VPWR VPWR _73776_/A sky130_fd_sc_hd__buf_1
+XFILLER_49_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_62_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58698_ _79596_/Q _58765_/B VGND VGND VPWR VPWR _58699_/C sky130_fd_sc_hd__nor2_2
+X_70976_ _71814_/B VGND VGND VPWR VPWR _71579_/B sky130_fd_sc_hd__inv_8
+XFILLER_642_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75503_ _75503_/A _75503_/B _80671_/Q VGND VGND VPWR VPWR _75503_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_463_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_706_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48451_ _48287_/A VGND VGND VPWR VPWR _48451_/X sky130_fd_sc_hd__buf_1
+XFILLER_653_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72715_ _72715_/A _72714_/Y VGND VGND VPWR VPWR _81358_/D sky130_fd_sc_hd__nand2_2
+XFILLER_698_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_526_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79271_ _79271_/CLK _43995_/Y VGND VGND VPWR VPWR _43710_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_5_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57649_ _57649_/A _57648_/Y VGND VGND VPWR VPWR _57649_/Y sky130_fd_sc_hd__nor2_2
+X_45663_ _43517_/A _55865_/B VGND VGND VPWR VPWR _55244_/B sky130_fd_sc_hd__or2_2
+XPHY_39055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76483_ VGND VGND VPWR VPWR _76483_/HI io_out[5] sky130_fd_sc_hd__conb_1
+XFILLER_263_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42875_ _41955_/X _42875_/B VGND VGND VPWR VPWR _42876_/A sky130_fd_sc_hd__nand2_2
+XFILLER_188_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_73695_ _73689_/A _73688_/X _66740_/A VGND VGND VPWR VPWR _73695_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_166_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_1_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_307_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_264_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47402_ _47402_/A _47390_/X VGND VGND VPWR VPWR _47404_/A sky130_fd_sc_hd__nand2_2
+X_78222_ _77699_/CLK _78222_/D VGND VGND VPWR VPWR _61907_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_480_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44614_ _74802_/A _44608_/B VGND VGND VPWR VPWR _44615_/C sky130_fd_sc_hd__nor2_2
+X_75434_ _75431_/X _75433_/Y VGND VGND VPWR VPWR _80685_/D sky130_fd_sc_hd__nand2_2
+XFILLER_452_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41826_ _41824_/Y _41813_/X _41825_/Y _41815_/X VGND VGND VPWR VPWR _41827_/B sky130_fd_sc_hd__o22a_4
+XPHY_39099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60660_ _60017_/A _60658_/Y _60660_/C VGND VGND VPWR VPWR _60661_/C sky130_fd_sc_hd__nor3_2
+XPHY_5872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48382_ _48411_/A _48375_/X VGND VGND VPWR VPWR _48383_/B sky130_fd_sc_hd__or2_2
+X_72646_ _72656_/A _72632_/B _67272_/A VGND VGND VPWR VPWR _72646_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_75_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45594_ _45599_/A _45592_/Y _45594_/C VGND VGND VPWR VPWR _79127_/D sky130_fd_sc_hd__nor3_2
+XPHY_37620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_229_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_606_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47333_ _49243_/A VGND VGND VPWR VPWR _47443_/A sky130_fd_sc_hd__buf_1
+XFILLER_622_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59319_ _59319_/A VGND VGND VPWR VPWR _59487_/A sky130_fd_sc_hd__buf_1
+XFILLER_183_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78153_ _78149_/CLK _78153_/D VGND VGND VPWR VPWR _78153_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_441_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44545_ _44560_/A _44545_/B _44545_/C VGND VGND VPWR VPWR _44545_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_90_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75365_ _75362_/X _75364_/Y VGND VGND VPWR VPWR _75365_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_147_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41757_ _46245_/A _41731_/X _41756_/Y _41733_/X VGND VGND VPWR VPWR _41757_/X sky130_fd_sc_hd__o22a_4
+XPHY_37664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60591_ _77422_/Q _60436_/B VGND VGND VPWR VPWR _60593_/B sky130_fd_sc_hd__nor2_2
+XFILLER_594_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72577_ _72571_/A _72571_/B _64767_/A VGND VGND VPWR VPWR _72577_/Y sky130_fd_sc_hd__nand3_2
+XPHY_27141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_1498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77104_ _76911_/CLK _77104_/D VGND VGND VPWR VPWR _54121_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62330_ _62330_/A _61717_/B VGND VGND VPWR VPWR _62330_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74316_ _74314_/X _74315_/Y VGND VGND VPWR VPWR _80965_/D sky130_fd_sc_hd__nand2_2
+XFILLER_422_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_37697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40708_ _40705_/X _40707_/Y VGND VGND VPWR VPWR _79805_/D sky130_fd_sc_hd__nand2_2
+XPHY_27174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71528_ _71464_/A _71464_/B VGND VGND VPWR VPWR _71528_/X sky130_fd_sc_hd__and2_2
+X_47264_ _47260_/X _47264_/B VGND VGND VPWR VPWR _47489_/A sky130_fd_sc_hd__nand2_2
+X_78084_ _78062_/CLK _50403_/Y VGND VGND VPWR VPWR _62832_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44476_ _44476_/A _44491_/B VGND VGND VPWR VPWR _44480_/B sky130_fd_sc_hd__nor2_2
+X_75296_ _75356_/A VGND VGND VPWR VPWR _75296_/X sky130_fd_sc_hd__buf_1
+XPHY_27185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_158_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_38_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41688_ _41933_/A _41706_/C _41685_/Y _41690_/B VGND VGND VPWR VPWR _41688_/X sky130_fd_sc_hd__o22a_4
+XFILLER_204_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_420_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_393_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49003_ _49001_/Y _49003_/B VGND VGND VPWR VPWR _78448_/D sky130_fd_sc_hd__nand2_2
+XFILLER_220_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_277_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46215_ _46218_/A _46214_/Y VGND VGND VPWR VPWR _46216_/B sky130_fd_sc_hd__nor2_2
+XPHY_36996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77035_ _77029_/CLK _54378_/Y VGND VGND VPWR VPWR _60154_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_322_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_305_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_566_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_176_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43427_ _43413_/A _43423_/X _43427_/C VGND VGND VPWR VPWR _43428_/B sky130_fd_sc_hd__nand3_2
+XFILLER_400_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62261_ _61793_/A _62259_/Y _62260_/Y VGND VGND VPWR VPWR _62261_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_125_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74247_ _74112_/A _74260_/B VGND VGND VPWR VPWR _74249_/A sky130_fd_sc_hd__or2_2
+X_40639_ _40638_/X VGND VGND VPWR VPWR _40645_/B sky130_fd_sc_hd__buf_1
+XPHY_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47195_ _46424_/A _47195_/B VGND VGND VPWR VPWR _47195_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_658_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_555_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71459_ _70367_/Y _71392_/B VGND VGND VPWR VPWR _71459_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_619_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_220_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64000_ _78724_/Q _63869_/B VGND VGND VPWR VPWR _64002_/B sky130_fd_sc_hd__nor2_2
+XFILLER_160_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61212_ _59627_/A VGND VGND VPWR VPWR _61215_/A sky130_fd_sc_hd__buf_1
+XFILLER_138_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46146_ _45161_/A _46146_/B VGND VGND VPWR VPWR _46146_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_157_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43358_ _43356_/X _43357_/Y VGND VGND VPWR VPWR _79370_/D sky130_fd_sc_hd__nand2_2
+XFILLER_615_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62192_ _62192_/A _62813_/B VGND VGND VPWR VPWR _62192_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_576_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74178_ _74176_/X _74177_/Y VGND VGND VPWR VPWR _74178_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_277_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_357_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42309_ _42294_/Y _42308_/X VGND VGND VPWR VPWR _79494_/D sky130_fd_sc_hd__nand2_2
+X_61143_ _61302_/A _61141_/Y _61143_/C VGND VGND VPWR VPWR _61147_/B sky130_fd_sc_hd__nor3_2
+XFILLER_195_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73129_ _73110_/A VGND VGND VPWR VPWR _73129_/X sky130_fd_sc_hd__buf_1
+XFILLER_172_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46077_ _41902_/A VGND VGND VPWR VPWR _46682_/A sky130_fd_sc_hd__buf_1
+XFILLER_145_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43289_ _43281_/X _43286_/B _79389_/Q VGND VGND VPWR VPWR _43289_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_201_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78986_ _79559_/CLK _46672_/Y VGND VGND VPWR VPWR io_out[25] sky130_fd_sc_hd__dfxtp_4
+XFILLER_173_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_315_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49905_ _49905_/A _49904_/X VGND VGND VPWR VPWR _78215_/D sky130_fd_sc_hd__nand2_2
+XFILLER_416_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45028_ _45025_/X _45028_/B VGND VGND VPWR VPWR _45028_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_677_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_511_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_67_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_687_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65951_ _66122_/A _65947_/Y _65951_/C VGND VGND VPWR VPWR _65952_/C sky130_fd_sc_hd__nor3_2
+X_61074_ _61074_/A _61074_/B _61073_/Y VGND VGND VPWR VPWR _61074_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_550_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77937_ _77937_/CLK _50951_/Y VGND VGND VPWR VPWR _77937_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_138_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_113_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60025_ _60025_/A VGND VGND VPWR VPWR _60354_/B sky130_fd_sc_hd__buf_1
+X_64902_ _73977_/C _65074_/B VGND VGND VPWR VPWR _64904_/B sky130_fd_sc_hd__nor2_2
+XFILLER_287_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_531_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49836_ _49834_/Y _49835_/X VGND VGND VPWR VPWR _49836_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_488_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68670_ _68670_/A _68659_/Y _68670_/C VGND VGND VPWR VPWR _68671_/B sky130_fd_sc_hd__nor3_2
+XFILLER_331_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_299_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65882_ _80696_/Q _66057_/B VGND VGND VPWR VPWR _65884_/B sky130_fd_sc_hd__nor2_2
+XFILLER_214_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_64_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77868_ _78002_/CLK _51202_/Y VGND VGND VPWR VPWR _62806_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_312_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_80_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_99_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67621_ _65135_/A VGND VGND VPWR VPWR _67621_/X sky130_fd_sc_hd__buf_1
+XFILLER_286_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79607_ _79607_/CLK _79607_/D VGND VGND VPWR VPWR _57047_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_171_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_151_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64833_ _80081_/Q _64671_/X VGND VGND VPWR VPWR _64834_/C sky130_fd_sc_hd__nor2_2
+XFILLER_429_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76819_ _76815_/CLK _55337_/Y VGND VGND VPWR VPWR _71063_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_468_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_626_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49767_ _49764_/X VGND VGND VPWR VPWR _49789_/B sky130_fd_sc_hd__buf_1
+XFILLER_583_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46979_ _46846_/A VGND VGND VPWR VPWR _46979_/X sky130_fd_sc_hd__buf_1
+XFILLER_253_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77799_ _77799_/CLK _51489_/Y VGND VGND VPWR VPWR _60862_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_691_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_532_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39520_ _39520_/A _39520_/B VGND VGND VPWR VPWR _39520_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_446_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_329_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_100_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48718_ _48718_/A _48724_/B VGND VGND VPWR VPWR _48718_/X sky130_fd_sc_hd__or2_2
+X_67552_ _67552_/A _67726_/B VGND VGND VPWR VPWR _67552_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_429_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79538_ _78080_/CLK _42030_/Y VGND VGND VPWR VPWR _79538_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_110_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64764_ _64764_/A _64923_/B VGND VGND VPWR VPWR _64764_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_227_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61976_ _61955_/Y _61975_/Y VGND VGND VPWR VPWR _61977_/B sky130_fd_sc_hd__nor2_2
+X_49698_ _62101_/A _49704_/B VGND VGND VPWR VPWR _49700_/A sky130_fd_sc_hd__nand2_2
+XFILLER_228_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_266_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66503_ _66503_/A _66007_/X VGND VGND VPWR VPWR _66503_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_605_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39451_ _39198_/A _39445_/B VGND VGND VPWR VPWR _39454_/A sky130_fd_sc_hd__or2_2
+X_63715_ _63715_/A _59052_/B VGND VGND VPWR VPWR _63715_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_624_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_282_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60927_ _58986_/A VGND VGND VPWR VPWR _61101_/A sky130_fd_sc_hd__buf_1
+X_48649_ _48646_/X VGND VGND VPWR VPWR _48650_/B sky130_fd_sc_hd__buf_1
+X_67483_ _67323_/A _67483_/B _67483_/C VGND VGND VPWR VPWR _67493_/B sky130_fd_sc_hd__nor3_2
+X_79469_ _79533_/CLK _42648_/Y VGND VGND VPWR VPWR _55178_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_82_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64695_ _64695_/A _65024_/B VGND VGND VPWR VPWR _64695_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_114_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_227_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_227_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_404_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38402_ _38281_/A _38405_/B VGND VGND VPWR VPWR _38402_/X sky130_fd_sc_hd__or2_2
+XFILLER_607_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81500_ _81470_/CLK _71672_/Y VGND VGND VPWR VPWR _66633_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_243_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69222_ _69500_/A _69220_/Y _69222_/C VGND VGND VPWR VPWR _69226_/B sky130_fd_sc_hd__nor3_2
+XFILLER_3_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66434_ _65766_/A _66432_/Y _66433_/Y VGND VGND VPWR VPWR _66435_/C sky130_fd_sc_hd__nor3_2
+XFILLER_561_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39382_ _39365_/X _39391_/B _58732_/A VGND VGND VPWR VPWR _39382_/Y sky130_fd_sc_hd__nand3_2
+X_51660_ _51571_/X _51656_/X VGND VGND VPWR VPWR _51660_/X sky130_fd_sc_hd__or2_2
+XFILLER_58_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_1_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63646_ _78785_/Q _63908_/B VGND VGND VPWR VPWR _63648_/B sky130_fd_sc_hd__nor2_2
+XFILLER_286_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_544_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60858_ _60858_/A _60385_/B VGND VGND VPWR VPWR _60858_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_208_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_342_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_346_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_698_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38333_ _38331_/X _38332_/Y VGND VGND VPWR VPWR _80402_/D sky130_fd_sc_hd__nand2_2
+XFILLER_369_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50611_ _50610_/X VGND VGND VPWR VPWR _50611_/X sky130_fd_sc_hd__buf_1
+XFILLER_601_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69153_ _68528_/A _69151_/Y _69152_/Y VGND VGND VPWR VPWR _69153_/Y sky130_fd_sc_hd__nor3_2
+X_81431_ _81398_/CLK _72438_/Y VGND VGND VPWR VPWR _65778_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_93_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_127_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66365_ _66365_/A _66683_/B VGND VGND VPWR VPWR _66365_/Y sky130_fd_sc_hd__nor2_2
+X_51591_ _51616_/B VGND VGND VPWR VPWR _51591_/X sky130_fd_sc_hd__buf_1
+XFILLER_260_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63577_ _63577_/A _63839_/B VGND VGND VPWR VPWR _63577_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_23_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60789_ _60325_/A _60789_/B _60789_/C VGND VGND VPWR VPWR _60797_/B sky130_fd_sc_hd__nor3_2
+XFILLER_558_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_39_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_597_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_323_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68104_ _43402_/C _68104_/B VGND VGND VPWR VPWR _68105_/C sky130_fd_sc_hd__nor2_2
+XFILLER_557_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_50_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53330_ _53326_/Y _53330_/B VGND VGND VPWR VPWR _53330_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_260_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65316_ _64801_/A _65316_/B _65315_/Y VGND VGND VPWR VPWR _65317_/C sky130_fd_sc_hd__nor3_2
+XFILLER_400_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38264_ _38264_/A _38264_/B VGND VGND VPWR VPWR _38264_/Y sky130_fd_sc_hd__nand2_2
+X_62528_ _62997_/A _62528_/B _62527_/Y VGND VGND VPWR VPWR _62607_/A sky130_fd_sc_hd__nor3_2
+X_50542_ _50540_/Y _50541_/X VGND VGND VPWR VPWR _50542_/Y sky130_fd_sc_hd__nand2_2
+X_81362_ _81330_/CLK _81362_/D VGND VGND VPWR VPWR _64932_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_340_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_84_0_u_core.clock clkbuf_7_85_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_84_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_396_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69084_ _58637_/A _69362_/B VGND VGND VPWR VPWR _69085_/C sky130_fd_sc_hd__nor2_2
+XPHY_1808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_323_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66296_ _79380_/Q _65791_/B VGND VGND VPWR VPWR _66296_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_600_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_104_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80313_ _80315_/CLK _80313_/D VGND VGND VPWR VPWR _80313_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_500_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68035_ _68035_/A _68035_/B _68034_/Y VGND VGND VPWR VPWR _68043_/B sky130_fd_sc_hd__nor3_2
+XFILLER_545_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53261_ _53258_/Y _53261_/B VGND VGND VPWR VPWR _77330_/D sky130_fd_sc_hd__nand2_2
+XFILLER_280_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_715_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65247_ _65743_/A _65245_/Y _65246_/Y VGND VGND VPWR VPWR _65247_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_358_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_590_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50473_ _50470_/Y _50473_/B VGND VGND VPWR VPWR _78066_/D sky130_fd_sc_hd__nand2_2
+XFILLER_349_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62459_ _61830_/X _62457_/Y _62458_/Y VGND VGND VPWR VPWR _62459_/Y sky130_fd_sc_hd__nor3_2
+X_81293_ _79368_/CLK _72995_/Y VGND VGND VPWR VPWR _69337_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_301_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_34_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_375_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_260_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55000_ _55000_/A _54992_/X VGND VGND VPWR VPWR _55000_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_653_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_526_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_176_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_633_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52212_ _52210_/Y _52212_/B VGND VGND VPWR VPWR _77608_/D sky130_fd_sc_hd__nand2_2
+XFILLER_13_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_30_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80244_ _80238_/CLK _80244_/D VGND VGND VPWR VPWR _80244_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_17_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65178_ _66838_/A VGND VGND VPWR VPWR _65851_/A sky130_fd_sc_hd__buf_1
+X_53192_ _53192_/A _53192_/B VGND VGND VPWR VPWR _53192_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_397_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_129_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_337_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_324_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_581_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64129_ _69428_/A _64129_/B _64129_/C VGND VGND VPWR VPWR _64138_/B sky130_fd_sc_hd__nor3_2
+XFILLER_541_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52143_ _52141_/Y _52143_/B VGND VGND VPWR VPWR _77623_/D sky130_fd_sc_hd__nand2_2
+XFILLER_688_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80175_ _80239_/CLK _39250_/Y VGND VGND VPWR VPWR _64409_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_659_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69986_ _79464_/Q VGND VGND VPWR VPWR _70559_/A sky130_fd_sc_hd__inv_8
+XFILLER_532_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_525_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_254_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_135_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_352_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_195_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52074_ _77642_/Q _52067_/B VGND VGND VPWR VPWR _52074_/Y sky130_fd_sc_hd__nand2_2
+X_56951_ _81302_/Q _56778_/B VGND VGND VPWR VPWR _56951_/Y sky130_fd_sc_hd__nor2_2
+XPHY_21719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68937_ _79754_/Q _69081_/B VGND VGND VPWR VPWR _68937_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_102_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_219_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_293_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_416_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_514_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_317_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_478_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51025_ _61702_/A _51017_/B VGND VGND VPWR VPWR _51025_/Y sky130_fd_sc_hd__nand2_2
+X_55902_ _55902_/A _55902_/B VGND VGND VPWR VPWR _55903_/C sky130_fd_sc_hd__nor2_2
+XFILLER_137_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_134_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59670_ _59670_/A _59348_/B VGND VGND VPWR VPWR _59671_/C sky130_fd_sc_hd__nor2_2
+XFILLER_305_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68868_ _68540_/A _68868_/B _68867_/Y VGND VGND VPWR VPWR _68885_/A sky130_fd_sc_hd__nor3_2
+X_56882_ _56882_/A _56882_/B _56881_/Y VGND VGND VPWR VPWR _56882_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_334_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_330_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_289_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_521_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_116_0_u_core.clock clkbuf_7_58_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_233_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_549_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58621_ _80619_/Q _58389_/B VGND VGND VPWR VPWR _58623_/B sky130_fd_sc_hd__nor2_2
+XFILLER_330_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_349_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55833_ _55826_/A VGND VGND VPWR VPWR _55843_/B sky130_fd_sc_hd__buf_1
+XFILLER_236_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67819_ _64351_/X VGND VGND VPWR VPWR _67822_/A sky130_fd_sc_hd__buf_1
+XFILLER_293_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_176_0_u_core.clock clkbuf_8_88_0_u_core.clock/X VGND VGND VPWR VPWR _76844_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_466_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_63_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68799_ _68276_/X _68790_/Y _68799_/C VGND VGND VPWR VPWR _68800_/B sky130_fd_sc_hd__nor3_2
+XFILLER_468_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_369_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39718_ _39716_/X _39717_/Y VGND VGND VPWR VPWR _80051_/D sky130_fd_sc_hd__nand2_2
+XFILLER_258_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_64_0_u_core.clock clkbuf_8_64_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_64_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_435_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58552_ _58753_/A _58550_/Y _58552_/C VGND VGND VPWR VPWR _58553_/C sky130_fd_sc_hd__nor3_2
+X_70830_ _69969_/A _70829_/X _70823_/A _70033_/A VGND VGND VPWR VPWR _70830_/X sky130_fd_sc_hd__o22a_4
+XFILLER_419_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_467_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_429_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55764_ _55764_/A _55761_/B VGND VGND VPWR VPWR _55766_/A sky130_fd_sc_hd__nand2_2
+XFILLER_605_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52976_ _52976_/A _52975_/X VGND VGND VPWR VPWR _52976_/Y sky130_fd_sc_hd__nand2_2
+X_40990_ _40990_/A _40989_/Y VGND VGND VPWR VPWR _79730_/D sky130_fd_sc_hd__nand2_2
+XFILLER_219_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_624_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_467_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_723_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57503_ _56698_/A VGND VGND VPWR VPWR _57584_/B sky130_fd_sc_hd__buf_1
+XPHY_5113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54715_ _59788_/A _54718_/B VGND VGND VPWR VPWR _54717_/A sky130_fd_sc_hd__nand2_2
+XFILLER_273_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_284_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51927_ _51927_/A _51939_/B VGND VGND VPWR VPWR _51927_/X sky130_fd_sc_hd__or2_2
+X_39649_ _39667_/A VGND VGND VPWR VPWR _39663_/A sky130_fd_sc_hd__buf_1
+XFILLER_429_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58483_ _68792_/A _58236_/X VGND VGND VPWR VPWR _58483_/Y sky130_fd_sc_hd__nor2_2
+X_70761_ _42111_/A _69743_/X VGND VGND VPWR VPWR _70762_/B sky130_fd_sc_hd__nor2_2
+XFILLER_701_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_660_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_347_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55695_ _55692_/Y _55695_/B _55695_/C VGND VGND VPWR VPWR _55695_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_234_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_46_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_434_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_347_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_261_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_699_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_508_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72500_ _72555_/A VGND VGND VPWR VPWR _72500_/X sky130_fd_sc_hd__buf_1
+XPHY_19537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_303 _47829_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_311_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57434_ _80252_/Q _57283_/B VGND VGND VPWR VPWR _57435_/C sky130_fd_sc_hd__nor2_2
+XFILLER_484_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42660_ _42660_/A _42657_/Y _42658_/X _42660_/D VGND VGND VPWR VPWR _42660_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_623_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54646_ _54646_/A _54645_/X VGND VGND VPWR VPWR _54646_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_505_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73480_ _73425_/A VGND VGND VPWR VPWR _73494_/B sky130_fd_sc_hd__buf_1
+XANTENNA_314 _48042_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_35_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51858_ _51854_/Y _51857_/X VGND VGND VPWR VPWR _77700_/D sky130_fd_sc_hd__nand2_2
+XPHY_18814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70692_ _70625_/B _70692_/B VGND VGND VPWR VPWR _70692_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_543_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_521_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_540_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_325 _49913_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_595_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_461_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_166_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_388_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_336 _49114_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_4456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_325_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41611_ _41608_/X _41611_/B VGND VGND VPWR VPWR _41611_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_265_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_347 _51158_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_604_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72431_ _72673_/A _72428_/B VGND VGND VPWR VPWR _72431_/X sky130_fd_sc_hd__or2_2
+XFILLER_359_100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50809_ _61831_/A _50794_/B VGND VGND VPWR VPWR _50809_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_265_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_358 _49817_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_57365_ _57122_/A _57365_/B VGND VGND VPWR VPWR _57382_/B sky130_fd_sc_hd__nor2_2
+XFILLER_74_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_380_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42591_ _42579_/A _42590_/X VGND VGND VPWR VPWR _42591_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_207_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54577_ _54575_/Y _54577_/B VGND VGND VPWR VPWR _54577_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_92_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_54_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_369 _50815_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_701_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51789_ _60656_/A _51789_/B VGND VGND VPWR VPWR _51789_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59104_ _53675_/A _59104_/B VGND VGND VPWR VPWR _59108_/B sky130_fd_sc_hd__nor2_2
+XPHY_35503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44330_ _79233_/Q VGND VGND VPWR VPWR _44330_/Y sky130_fd_sc_hd__inv_8
+XPHY_3766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75150_ _75150_/A _75149_/Y VGND VGND VPWR VPWR _80760_/D sky130_fd_sc_hd__nand2_2
+XFILLER_549_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56316_ _56538_/A _56316_/B VGND VGND VPWR VPWR _56316_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_718_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41542_ _41542_/A _41542_/B VGND VGND VPWR VPWR _41542_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_57_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53528_ _53528_/A _53527_/X VGND VGND VPWR VPWR _77261_/D sky130_fd_sc_hd__nand2_2
+XFILLER_443_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_375_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_141_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72362_ _72177_/A _72362_/B VGND VGND VPWR VPWR _72364_/A sky130_fd_sc_hd__or2_2
+XPHY_3777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_306_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57296_ _57051_/X _57294_/Y _57296_/C VGND VGND VPWR VPWR _57297_/C sky130_fd_sc_hd__nor3_2
+XFILLER_659_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_401_2841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_213_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_591_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74101_ _76173_/A VGND VGND VPWR VPWR _74625_/A sky130_fd_sc_hd__buf_1
+XFILLER_298_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59035_ _59034_/X VGND VGND VPWR VPWR _60866_/A sky130_fd_sc_hd__buf_1
+X_71313_ _71389_/A _71312_/X VGND VGND VPWR VPWR _71313_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_158_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44261_ _44261_/A _44266_/A VGND VGND VPWR VPWR _44261_/X sky130_fd_sc_hd__and2_2
+XFILLER_122_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56247_ _56529_/A VGND VGND VPWR VPWR _56247_/X sky130_fd_sc_hd__buf_1
+X_75081_ _75081_/A _75080_/Y VGND VGND VPWR VPWR _80778_/D sky130_fd_sc_hd__nand2_2
+XPHY_25035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41473_ _41486_/A _41486_/B _57291_/A VGND VGND VPWR VPWR _41473_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_224_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53459_ _59424_/A _53441_/B VGND VGND VPWR VPWR _53459_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_70_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72293_ _75546_/A VGND VGND VPWR VPWR _74783_/A sky130_fd_sc_hd__buf_1
+XFILLER_276_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_70_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46000_ _45984_/X _46000_/B _46000_/C VGND VGND VPWR VPWR _46000_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_202_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43212_ _43212_/A _43211_/X VGND VGND VPWR VPWR _43213_/A sky130_fd_sc_hd__or2_2
+XFILLER_497_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_632_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74032_ _74032_/A _74032_/B VGND VGND VPWR VPWR _74032_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_328_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40424_ _40338_/A VGND VGND VPWR VPWR _40429_/A sky130_fd_sc_hd__buf_1
+XFILLER_186_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71244_ _71244_/A _71242_/X VGND VGND VPWR VPWR _71245_/C sky130_fd_sc_hd__nor2_2
+XFILLER_718_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_690_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_500_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44192_ _44019_/A _44220_/B _44022_/A VGND VGND VPWR VPWR _44192_/X sky130_fd_sc_hd__and3_2
+XFILLER_491_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56178_ _56172_/A _56178_/B VGND VGND VPWR VPWR _56179_/B sky130_fd_sc_hd__nor2_2
+XFILLER_516_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_538_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_534_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_44_0_u_core.clock clkbuf_9_45_0_u_core.clock/A VGND VGND VPWR VPWR _79540_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_678_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43143_ _43138_/Y _46147_/B VGND VGND VPWR VPWR _43143_/X sky130_fd_sc_hd__or2_2
+XFILLER_154_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_182_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55129_ _55129_/A VGND VGND VPWR VPWR _55166_/B sky130_fd_sc_hd__buf_1
+XFILLER_202_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78840_ _78840_/CLK _47444_/Y VGND VGND VPWR VPWR _63419_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_181_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_554_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40355_ _40338_/A VGND VGND VPWR VPWR _40359_/A sky130_fd_sc_hd__buf_1
+XPHY_23644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71175_ _71175_/A _71173_/X VGND VGND VPWR VPWR _71176_/C sky130_fd_sc_hd__nor2_2
+XFILLER_108_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_721_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_688_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_100_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_352_3073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70126_ _70125_/X VGND VGND VPWR VPWR _70126_/X sky130_fd_sc_hd__buf_1
+XFILLER_120_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_684_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47951_ _47948_/Y _47950_/X VGND VGND VPWR VPWR _78716_/D sky130_fd_sc_hd__nand2_2
+XPHY_23688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43074_ _45317_/A _43074_/B VGND VGND VPWR VPWR _43076_/B sky130_fd_sc_hd__nor2_2
+XFILLER_589_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59937_ _59937_/A _59937_/B _59937_/C VGND VGND VPWR VPWR _59941_/B sky130_fd_sc_hd__nor3_2
+XFILLER_437_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78771_ _77387_/CLK _78771_/D VGND VGND VPWR VPWR _63901_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_257_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40286_ _40290_/A _40271_/X _58158_/A VGND VGND VPWR VPWR _40287_/B sky130_fd_sc_hd__nand3_2
+X_75983_ _75993_/A _75983_/B _80550_/Q VGND VGND VPWR VPWR _75983_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_551_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_108_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_194_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_219_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_430_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_269_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46902_ _46875_/X _71357_/B _71273_/B _46892_/X VGND VGND VPWR VPWR _46903_/B sky130_fd_sc_hd__o22a_4
+XPHY_12453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42025_ _79091_/Q VGND VGND VPWR VPWR _42025_/Y sky130_fd_sc_hd__inv_8
+XPHY_13198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77722_ _77714_/CLK _77722_/D VGND VGND VPWR VPWR _61284_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_237_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_250_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_254_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74934_ _74811_/A _74930_/B VGND VGND VPWR VPWR _74934_/X sky130_fd_sc_hd__or2_2
+XFILLER_335_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70057_ _70299_/C VGND VGND VPWR VPWR _70260_/C sky130_fd_sc_hd__buf_1
+XFILLER_332_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_311_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_270_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47882_ _47880_/Y _47881_/X VGND VGND VPWR VPWR _78734_/D sky130_fd_sc_hd__nand2_2
+XFILLER_500_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59868_ _77201_/Q _59868_/B VGND VGND VPWR VPWR _59868_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_485_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49621_ _62730_/A _49628_/B VGND VGND VPWR VPWR _49623_/A sky130_fd_sc_hd__nand2_2
+XFILLER_580_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46833_ _46844_/A _46832_/Y VGND VGND VPWR VPWR _78941_/D sky130_fd_sc_hd__nor2_2
+XFILLER_467_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58819_ _58819_/A _56289_/B VGND VGND VPWR VPWR _58820_/C sky130_fd_sc_hd__nor2_2
+XFILLER_284_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_684_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77653_ _77716_/CLK _52036_/Y VGND VGND VPWR VPWR _77653_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_296_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_231_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74865_ _74865_/A _74865_/B VGND VGND VPWR VPWR _74865_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_463_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59799_ _59640_/A _59799_/B _59799_/C VGND VGND VPWR VPWR _59803_/B sky130_fd_sc_hd__nor3_2
+XFILLER_567_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_133_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76604_ VGND VGND VPWR VPWR _76604_/HI la_data_out[100] sky130_fd_sc_hd__conb_1
+XFILLER_484_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61830_ _59306_/A VGND VGND VPWR VPWR _61830_/X sky130_fd_sc_hd__buf_1
+X_49552_ _49638_/A _49552_/B VGND VGND VPWR VPWR _49552_/X sky130_fd_sc_hd__or2_2
+X_73816_ _73816_/A _73816_/B VGND VGND VPWR VPWR _81085_/D sky130_fd_sc_hd__nand2_2
+XFILLER_466_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_95_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46764_ _43078_/B _46768_/A VGND VGND VPWR VPWR _46765_/B sky130_fd_sc_hd__nor2_2
+XFILLER_428_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77584_ _77584_/CLK _77584_/D VGND VGND VPWR VPWR _60947_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_665_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_608_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43976_ _43974_/Y _43976_/B VGND VGND VPWR VPWR _43976_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_95_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74796_ _74795_/X _74790_/B _65230_/A VGND VGND VPWR VPWR _74796_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_237_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_444_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48503_ _63596_/A _48491_/X VGND VGND VPWR VPWR _48505_/A sky130_fd_sc_hd__nand2_2
+X_79323_ _78929_/CLK _79323_/D VGND VGND VPWR VPWR _43588_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_98_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45715_ _70669_/Y _45715_/B VGND VGND VPWR VPWR _45719_/B sky130_fd_sc_hd__nor2_2
+XFILLER_580_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76535_ VGND VGND VPWR VPWR _76535_/HI la_data_out[27] sky130_fd_sc_hd__conb_1
+XFILLER_92_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_504_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_485_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42927_ _42945_/A VGND VGND VPWR VPWR _42938_/A sky130_fd_sc_hd__buf_1
+XFILLER_725_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61761_ _61761_/A _61761_/B _61760_/Y VGND VGND VPWR VPWR _61765_/B sky130_fd_sc_hd__nor3_2
+XFILLER_482_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49483_ _49367_/X _49457_/X VGND VGND VPWR VPWR _49483_/X sky130_fd_sc_hd__or2_2
+XFILLER_387_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73747_ _72830_/B VGND VGND VPWR VPWR _76066_/A sky130_fd_sc_hd__buf_1
+XFILLER_49_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46695_ _46695_/A _46695_/B VGND VGND VPWR VPWR _47097_/A sky130_fd_sc_hd__nor2_2
+XFILLER_244_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70959_ _70796_/X _70807_/X VGND VGND VPWR VPWR _70959_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_252_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_292_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_36_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63500_ _63348_/A _63500_/B VGND VGND VPWR VPWR _63540_/B sky130_fd_sc_hd__nor2_2
+XFILLER_63_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60712_ _77854_/Q _60401_/B VGND VGND VPWR VPWR _60712_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48434_ _48306_/A _48437_/B VGND VGND VPWR VPWR _48434_/X sky130_fd_sc_hd__or2_2
+XFILLER_398_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79254_ _79254_/CLK _44198_/Y VGND VGND VPWR VPWR _79254_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_79_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45646_ _45649_/A _45646_/B _45646_/C VGND VGND VPWR VPWR _79113_/D sky130_fd_sc_hd__nor3_2
+XFILLER_59_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64480_ _65898_/A VGND VGND VPWR VPWR _64480_/X sky130_fd_sc_hd__buf_1
+XFILLER_561_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76466_ VGND VGND VPWR VPWR _76466_/HI io_oeb[26] sky130_fd_sc_hd__conb_1
+XFILLER_92_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42858_ _46665_/A _42847_/X _41833_/Y _42848_/X VGND VGND VPWR VPWR _42858_/X sky130_fd_sc_hd__o22a_4
+XFILLER_75_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61692_ _61692_/A _61070_/B VGND VGND VPWR VPWR _61692_/Y sky130_fd_sc_hd__nor2_2
+X_73678_ _73678_/A _73677_/Y VGND VGND VPWR VPWR _81122_/D sky130_fd_sc_hd__nand2_2
+XPHY_38151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_440_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78205_ _78189_/CLK _49940_/Y VGND VGND VPWR VPWR _61760_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_38173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63431_ _48816_/A _63839_/B VGND VGND VPWR VPWR _63431_/Y sky130_fd_sc_hd__nor2_2
+X_75417_ _75410_/X _75313_/B _80688_/Q VGND VGND VPWR VPWR _75418_/B sky130_fd_sc_hd__nand3_2
+XFILLER_398_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41809_ _41809_/A _41809_/B VGND VGND VPWR VPWR _41809_/Y sky130_fd_sc_hd__nand2_2
+XPHY_38184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60643_ _60325_/A _60643_/B _60643_/C VGND VGND VPWR VPWR _60651_/B sky130_fd_sc_hd__nor3_2
+XFILLER_719_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72629_ _72629_/A _72628_/Y VGND VGND VPWR VPWR _72629_/Y sky130_fd_sc_hd__nand2_2
+X_48365_ _48394_/A _54133_/A VGND VGND VPWR VPWR _48365_/X sky130_fd_sc_hd__or2_2
+XFILLER_698_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79185_ _79185_/CLK _44839_/Y VGND VGND VPWR VPWR _44835_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_574_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45577_ _45539_/X VGND VGND VPWR VPWR _45589_/B sky130_fd_sc_hd__buf_1
+XPHY_38195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76397_ _76397_/A _76397_/B _80449_/Q VGND VGND VPWR VPWR _76397_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_283_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42789_ _42789_/A _42760_/B VGND VGND VPWR VPWR _42797_/B sky130_fd_sc_hd__nor2_2
+XFILLER_226_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_405_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_402_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47316_ _63919_/A _47329_/B VGND VGND VPWR VPWR _47317_/B sky130_fd_sc_hd__nand2_2
+X_66150_ _65657_/A _66150_/B _66149_/Y VGND VGND VPWR VPWR _66151_/C sky130_fd_sc_hd__nor3_2
+XFILLER_441_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78136_ _78728_/CLK _78136_/D VGND VGND VPWR VPWR _78136_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_324_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44528_ _72913_/A _44535_/B VGND VGND VPWR VPWR _44529_/C sky130_fd_sc_hd__nor2_2
+XFILLER_394_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63362_ _59889_/A VGND VGND VPWR VPWR _63911_/B sky130_fd_sc_hd__buf_1
+X_75348_ _75348_/A _75351_/B VGND VGND VPWR VPWR _75348_/X sky130_fd_sc_hd__or2_2
+XFILLER_555_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_400_3052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48296_ _48291_/Y _48296_/B VGND VGND VPWR VPWR _78628_/D sky130_fd_sc_hd__nand2_2
+X_60574_ _60100_/A _60574_/B VGND VGND VPWR VPWR _60575_/C sky130_fd_sc_hd__nor2_2
+XFILLER_60_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_207_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65101_ _64594_/A VGND VGND VPWR VPWR _65102_/A sky130_fd_sc_hd__buf_1
+XFILLER_338_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62313_ _61854_/A _62311_/Y _62312_/Y VGND VGND VPWR VPWR _62313_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_109_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_32_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47247_ _47246_/X VGND VGND VPWR VPWR _47247_/X sky130_fd_sc_hd__buf_1
+X_66081_ _38428_/C _65907_/B VGND VGND VPWR VPWR _66081_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_594_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78067_ _78067_/CLK _78067_/D VGND VGND VPWR VPWR _62679_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_320_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_305_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44459_ _44459_/A _44459_/B _44344_/A _44487_/A VGND VGND VPWR VPWR _44461_/B sky130_fd_sc_hd__and4_2
+XFILLER_20_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63293_ _60163_/A VGND VGND VPWR VPWR _63854_/B sky130_fd_sc_hd__buf_1
+X_75279_ _75243_/A VGND VGND VPWR VPWR _75280_/B sky130_fd_sc_hd__buf_1
+XFILLER_81_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_31_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_320_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_146_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65032_ _65879_/A VGND VGND VPWR VPWR _65560_/B sky130_fd_sc_hd__buf_1
+XFILLER_340_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77018_ _77029_/CLK _77018_/D VGND VGND VPWR VPWR _77018_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_192_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62244_ _62244_/A _61929_/B VGND VGND VPWR VPWR _62246_/B sky130_fd_sc_hd__nor2_2
+XFILLER_125_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_559_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_97 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47178_ _47114_/B VGND VGND VPWR VPWR _47178_/Y sky130_fd_sc_hd__inv_8
+XFILLER_555_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_105_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_689_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_474_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46129_ _46134_/A _46129_/B VGND VGND VPWR VPWR _46130_/C sky130_fd_sc_hd__nor2_2
+XFILLER_199_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69840_ _69791_/Y _69840_/B _69840_/C VGND VGND VPWR VPWR _69841_/B sky130_fd_sc_hd__nor3_2
+XFILLER_138_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62175_ _61704_/A _62175_/B _62174_/Y VGND VGND VPWR VPWR _62175_/Y sky130_fd_sc_hd__nor3_2
+XPHY_15090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61126_ _61126_/A _60806_/B VGND VGND VPWR VPWR _61126_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_86_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38951_ _38947_/A _38947_/B _38951_/C VGND VGND VPWR VPWR _38951_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_550_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_82_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_145_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69771_ _69770_/X _69644_/B VGND VGND VPWR VPWR _69771_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_435_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_362_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66983_ _66966_/Y _66983_/B VGND VGND VPWR VPWR _66984_/B sky130_fd_sc_hd__nor2_2
+XFILLER_318_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78969_ _79497_/CLK _46747_/Y VGND VGND VPWR VPWR _43037_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_12_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_433_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_303_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_275_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68722_ _68722_/A VGND VGND VPWR VPWR _68723_/B sky130_fd_sc_hd__buf_1
+XFILLER_287_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_314_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_9_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61057_ _61057_/A _60436_/B VGND VGND VPWR VPWR _61057_/Y sky130_fd_sc_hd__nor2_2
+X_65934_ _68569_/A VGND VGND VPWR VPWR _66115_/A sky130_fd_sc_hd__buf_1
+XFILLER_160_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38882_ _38882_/A _38881_/Y VGND VGND VPWR VPWR _80266_/D sky130_fd_sc_hd__nand2_2
+XFILLER_511_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_251_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_667_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_449_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_330_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60008_ _63145_/A VGND VGND VPWR VPWR _60536_/A sky130_fd_sc_hd__buf_1
+XFILLER_370_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49819_ _49819_/A _49818_/X VGND VGND VPWR VPWR _49819_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_475_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80931_ _80911_/CLK _74455_/Y VGND VGND VPWR VPWR _80931_/Q sky130_fd_sc_hd__dfxtp_4
+X_68653_ _58367_/A _68156_/B VGND VGND VPWR VPWR _68653_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_275_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_468_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65865_ _65847_/Y _65865_/B VGND VGND VPWR VPWR _65866_/B sky130_fd_sc_hd__nor2_2
+XFILLER_64_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_625_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_210_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67604_ _67777_/A _67604_/B _67604_/C VGND VGND VPWR VPWR _67608_/B sky130_fd_sc_hd__nor3_2
+XFILLER_60_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_491_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52830_ _52887_/A _52839_/B VGND VGND VPWR VPWR _52830_/X sky130_fd_sc_hd__or2_2
+XFILLER_585_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64816_ _64816_/A _64816_/B VGND VGND VPWR VPWR _64816_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_116_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_268_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80862_ _80862_/CLK _80862_/D VGND VGND VPWR VPWR _80862_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_583_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68584_ _68916_/A _68580_/Y _68583_/Y VGND VGND VPWR VPWR _68584_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_189_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_67_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65796_ _57047_/A _65474_/X VGND VGND VPWR VPWR _65796_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_45_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_95_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39503_ _39501_/X _39502_/Y VGND VGND VPWR VPWR _80109_/D sky130_fd_sc_hd__nand2_2
+XFILLER_428_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_41_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67535_ _67535_/A _67372_/B VGND VGND VPWR VPWR _67537_/B sky130_fd_sc_hd__nor2_2
+XFILLER_544_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52761_ _52757_/Y _52761_/B VGND VGND VPWR VPWR _77460_/D sky130_fd_sc_hd__nand2_2
+X_64747_ _81073_/Q _65428_/B VGND VGND VPWR VPWR _64748_/C sky130_fd_sc_hd__nor2_2
+XFILLER_58_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61959_ _60396_/X VGND VGND VPWR VPWR _62120_/B sky130_fd_sc_hd__buf_1
+X_80793_ _80844_/CLK _80793_/D VGND VGND VPWR VPWR _66068_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_110_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54500_ _54471_/A _54506_/B VGND VGND VPWR VPWR _54500_/X sky130_fd_sc_hd__or2_2
+XFILLER_626_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51712_ _51712_/A _51712_/B VGND VGND VPWR VPWR _51712_/X sky130_fd_sc_hd__or2_2
+X_39434_ _39434_/A VGND VGND VPWR VPWR _39438_/B sky130_fd_sc_hd__buf_1
+XFILLER_432_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_325_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55480_ _55480_/A _55480_/B _55479_/Y VGND VGND VPWR VPWR _55481_/B sky130_fd_sc_hd__or3_2
+X_67466_ _75760_/C _66815_/B VGND VGND VPWR VPWR _67467_/C sky130_fd_sc_hd__nor2_2
+XFILLER_145_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64678_ _64389_/X _64678_/B _64678_/C VGND VGND VPWR VPWR _64678_/Y sky130_fd_sc_hd__nor3_2
+X_52692_ _77478_/Q _52692_/B VGND VGND VPWR VPWR _52694_/A sky130_fd_sc_hd__nand2_2
+XFILLER_329_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_269_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_577_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_360_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_304_0_u_core.clock clkbuf_9_305_0_u_core.clock/A VGND VGND VPWR VPWR _81112_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_325_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69205_ _81356_/Q _69343_/B VGND VGND VPWR VPWR _69207_/B sky130_fd_sc_hd__nor2_2
+XFILLER_93_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_149_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66417_ _67239_/A VGND VGND VPWR VPWR _66417_/X sky130_fd_sc_hd__buf_1
+XFILLER_431_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54431_ _54431_/A _54430_/X VGND VGND VPWR VPWR _54431_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_498_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_244_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39365_ _39423_/A VGND VGND VPWR VPWR _39365_/X sky130_fd_sc_hd__buf_1
+X_63629_ _78657_/Q _63178_/B VGND VGND VPWR VPWR _63629_/Y sky130_fd_sc_hd__nor2_2
+X_51643_ _60538_/A _51643_/B VGND VGND VPWR VPWR _51643_/Y sky130_fd_sc_hd__nand2_2
+XPHY_3029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67397_ _73681_/C _67240_/X VGND VGND VPWR VPWR _67399_/B sky130_fd_sc_hd__nor2_2
+XFILLER_420_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_243_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_266_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_208_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38316_ _38314_/X _38316_/B VGND VGND VPWR VPWR _80407_/D sky130_fd_sc_hd__nand2_2
+XFILLER_211_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57150_ _57150_/A _57147_/Y _57150_/C VGND VGND VPWR VPWR _57156_/B sky130_fd_sc_hd__nor3_2
+X_81414_ _81382_/CLK _72503_/Y VGND VGND VPWR VPWR _81414_/Q sky130_fd_sc_hd__dfxtp_4
+X_69136_ _68632_/X _69136_/B VGND VGND VPWR VPWR _69137_/C sky130_fd_sc_hd__nor2_2
+XFILLER_244_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54362_ _77038_/Q _54362_/B VGND VGND VPWR VPWR _54364_/A sky130_fd_sc_hd__nand2_2
+X_66348_ _66172_/X _66344_/Y _66348_/C VGND VGND VPWR VPWR _66348_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_459_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_586_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39296_ _39291_/X _39310_/B _80164_/Q VGND VGND VPWR VPWR _39296_/Y sky130_fd_sc_hd__nand3_2
+X_51574_ _77776_/Q _51555_/X VGND VGND VPWR VPWR _51574_/Y sky130_fd_sc_hd__nand2_2
+XPHY_2339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_397_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_473_0_u_core.clock clkbuf_9_473_0_u_core.clock/A VGND VGND VPWR VPWR _80742_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_412_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_123_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_299_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56101_ _56087_/A _56101_/B VGND VGND VPWR VPWR _56102_/B sky130_fd_sc_hd__nor2_2
+XFILLER_342_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_2959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53313_ _53441_/A _53341_/B VGND VGND VPWR VPWR _53314_/B sky130_fd_sc_hd__or2_2
+XFILLER_659_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50525_ _50405_/X _50543_/B VGND VGND VPWR VPWR _50525_/X sky130_fd_sc_hd__or2_2
+X_38247_ _76411_/A VGND VGND VPWR VPWR _38260_/A sky130_fd_sc_hd__buf_1
+XFILLER_320_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57081_ _57163_/A _57077_/Y _57080_/Y VGND VGND VPWR VPWR _57081_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_50_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81345_ _81346_/CLK _81345_/D VGND VGND VPWR VPWR _67427_/A sky130_fd_sc_hd__dfxtp_4
+X_69067_ _64240_/A _69067_/B _69066_/Y VGND VGND VPWR VPWR _69068_/C sky130_fd_sc_hd__nor3_2
+XPHY_1638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_23_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66279_ _66115_/A _66273_/Y _66278_/Y VGND VGND VPWR VPWR _66279_/Y sky130_fd_sc_hd__nor3_2
+X_54293_ _54284_/A VGND VGND VPWR VPWR _54300_/B sky130_fd_sc_hd__buf_1
+XFILLER_10_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68018_ _68336_/A _68018_/B _68018_/C VGND VGND VPWR VPWR _68018_/Y sky130_fd_sc_hd__nor3_2
+X_56032_ _56005_/X _56032_/B _56032_/C VGND VGND VPWR VPWR wbs_dat_o[7] sky130_fd_sc_hd__nor3_2
+XFILLER_574_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53244_ _53244_/A _53238_/B VGND VGND VPWR VPWR _53244_/X sky130_fd_sc_hd__or2_2
+XFILLER_221_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_545_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_137_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50456_ _50426_/X _50443_/X VGND VGND VPWR VPWR _50456_/X sky130_fd_sc_hd__or2_2
+XFILLER_301_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81276_ _79368_/CLK _81276_/D VGND VGND VPWR VPWR _66597_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_561_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_358_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_713_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_87_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80227_ _80226_/CLK _80227_/D VGND VGND VPWR VPWR _80227_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_353_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53175_ _46670_/A _53148_/X _41841_/Y _53149_/X VGND VGND VPWR VPWR _53337_/A sky130_fd_sc_hd__o22a_4
+XFILLER_178_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50387_ _50387_/A _50387_/B VGND VGND VPWR VPWR _50387_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_352_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_101_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_69_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_152_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52126_ _52041_/A _52125_/X VGND VGND VPWR VPWR _52127_/B sky130_fd_sc_hd__or2_2
+X_40140_ _40140_/A VGND VGND VPWR VPWR _40197_/A sky130_fd_sc_hd__buf_1
+XFILLER_688_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_238_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80158_ _80187_/CLK _39318_/Y VGND VGND VPWR VPWR _80158_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_21505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57983_ _74323_/C _58223_/B VGND VGND VPWR VPWR _57984_/C sky130_fd_sc_hd__nor2_2
+XFILLER_297_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69969_ _69969_/A VGND VGND VPWR VPWR _69969_/X sky130_fd_sc_hd__buf_1
+XFILLER_486_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_85_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_533_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_195_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_117_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59722_ _59722_/A _59720_/Y _59722_/C VGND VGND VPWR VPWR _59722_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_702_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40071_ _39951_/A _40060_/X VGND VGND VPWR VPWR _40071_/X sky130_fd_sc_hd__or2_2
+XFILLER_306_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52057_ _52057_/A _52047_/X VGND VGND VPWR VPWR _52057_/X sky130_fd_sc_hd__or2_2
+X_56934_ _57333_/A VGND VGND VPWR VPWR _57008_/A sky130_fd_sc_hd__buf_1
+XPHY_20804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72980_ _72978_/X _72980_/B VGND VGND VPWR VPWR _72980_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80089_ _80095_/CLK _80089_/D VGND VGND VPWR VPWR _80089_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_20815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_271_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_176_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_11037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_412_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_152_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_47_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51008_ _51008_/A _51007_/X VGND VGND VPWR VPWR _51008_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_63_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59653_ _59491_/A _59653_/B _59652_/Y VGND VGND VPWR VPWR _59654_/C sky130_fd_sc_hd__nor3_2
+XPHY_20848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71931_ _70838_/B _71931_/B VGND VGND VPWR VPWR _71931_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_215_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_666_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_627_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56865_ _80309_/Q _57095_/B VGND VGND VPWR VPWR _56868_/B sky130_fd_sc_hd__nor2_2
+XFILLER_310_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_369_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_46_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_388_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_251_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_130_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_527_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_455_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_549_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58604_ _80235_/Q _58536_/B VGND VGND VPWR VPWR _58606_/B sky130_fd_sc_hd__nor2_2
+XPHY_10358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55816_ _55814_/Y _55816_/B VGND VGND VPWR VPWR _55816_/Y sky130_fd_sc_hd__nand2_2
+X_43830_ _43879_/A _43830_/B VGND VGND VPWR VPWR _79292_/D sky130_fd_sc_hd__nor2_2
+XFILLER_644_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74650_ _74579_/A VGND VGND VPWR VPWR _74670_/A sky130_fd_sc_hd__buf_1
+XPHY_10369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59584_ _59753_/A _59584_/B _59583_/Y VGND VGND VPWR VPWR _59584_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_369_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71862_ _75546_/A VGND VGND VPWR VPWR _73227_/A sky130_fd_sc_hd__buf_1
+XFILLER_210_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_386_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56796_ _56266_/A VGND VGND VPWR VPWR _58399_/A sky130_fd_sc_hd__buf_1
+XFILLER_219_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_609_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_482_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73601_ _73601_/A _73600_/Y VGND VGND VPWR VPWR _73601_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_464_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58535_ _58535_/A VGND VGND VPWR VPWR _58536_/B sky130_fd_sc_hd__buf_1
+X_70813_ _69690_/A _70712_/B VGND VGND VPWR VPWR _70813_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_542_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_428_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43761_ _43678_/X _43761_/B _43761_/C VGND VGND VPWR VPWR _43763_/B sky130_fd_sc_hd__nor3_2
+X_55747_ _55747_/A _55747_/B VGND VGND VPWR VPWR _76788_/D sky130_fd_sc_hd__nand2_2
+XFILLER_343_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74581_ _74577_/X _74581_/B VGND VGND VPWR VPWR _74581_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_481_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_3066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_562_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40973_ _40973_/A _40973_/B VGND VGND VPWR VPWR _79735_/D sky130_fd_sc_hd__nand2_2
+XPHY_29824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52959_ _52959_/A _52965_/B VGND VGND VPWR VPWR _52961_/A sky130_fd_sc_hd__nand2_2
+XFILLER_280_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_720_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71793_ _71793_/A _71793_/B _71792_/Y VGND VGND VPWR VPWR _71793_/X sky130_fd_sc_hd__or3_2
+XFILLER_429_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_609_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_540_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_85_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_76_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45500_ _45016_/A VGND VGND VPWR VPWR _45513_/C sky130_fd_sc_hd__buf_1
+XPHY_29846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76320_ _76320_/A _76312_/B VGND VGND VPWR VPWR _76320_/X sky130_fd_sc_hd__or2_2
+XFILLER_464_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42712_ _42707_/Y _42712_/B _42710_/X _42712_/D VGND VGND VPWR VPWR _42712_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_508_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73532_ _73528_/X _73531_/Y VGND VGND VPWR VPWR _73532_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_185_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_703_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_445_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58466_ _58215_/A _58466_/B _58466_/C VGND VGND VPWR VPWR _58467_/B sky130_fd_sc_hd__nor3_2
+X_46480_ _46480_/A VGND VGND VPWR VPWR _46593_/A sky130_fd_sc_hd__buf_1
+X_70744_ _70743_/X VGND VGND VPWR VPWR _71912_/A sky130_fd_sc_hd__buf_1
+XFILLER_540_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_100 _62138_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_265_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43692_ _43688_/Y _43849_/A _67519_/A _43691_/Y VGND VGND VPWR VPWR _43692_/X sky130_fd_sc_hd__or4_2
+XPHY_4220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55678_ _55678_/A _55678_/B VGND VGND VPWR VPWR _55678_/X sky130_fd_sc_hd__or2_2
+XFILLER_210_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_308_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_111 _56266_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_612_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_122 _71436_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_422_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_622_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_699_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_133 _74058_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_33_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45431_ _45423_/X _45430_/X VGND VGND VPWR VPWR _45431_/X sky130_fd_sc_hd__and2_2
+X_57417_ _57417_/A _57417_/B _57417_/C VGND VGND VPWR VPWR _57418_/B sky130_fd_sc_hd__nor3_2
+XPHY_4253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76251_ _76251_/A _76254_/B VGND VGND VPWR VPWR _76251_/X sky130_fd_sc_hd__or2_2
+XPHY_18633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42643_ _42586_/X _70437_/X _70441_/X _42587_/X VGND VGND VPWR VPWR _42643_/X sky130_fd_sc_hd__o22a_4
+XFILLER_233_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_540_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54629_ _54658_/A _54623_/B VGND VGND VPWR VPWR _54629_/X sky130_fd_sc_hd__or2_2
+XPHY_36001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73463_ _73466_/A _73477_/B _66271_/A VGND VGND VPWR VPWR _73465_/A sky130_fd_sc_hd__nand3_2
+XANTENNA_144 _39184_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_4264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58397_ _58397_/A _58397_/B VGND VGND VPWR VPWR _58398_/B sky130_fd_sc_hd__nor2_2
+XPHY_19389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70675_ _69622_/B _69752_/X _70675_/C VGND VGND VPWR VPWR _70675_/X sky130_fd_sc_hd__and3_2
+XFILLER_226_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_155 _44224_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_677_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_166 _73246_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_603_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75202_ _75202_/A _75201_/Y VGND VGND VPWR VPWR _80746_/D sky130_fd_sc_hd__nand2_2
+XFILLER_376_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_177 _74709_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_18_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48150_ _48150_/A _48135_/X VGND VGND VPWR VPWR _48153_/A sky130_fd_sc_hd__nand2_2
+XPHY_3552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_180_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72414_ _72413_/X VGND VGND VPWR VPWR _72429_/A sky130_fd_sc_hd__buf_1
+XPHY_17932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45362_ _45362_/A _45361_/X VGND VGND VPWR VPWR _55657_/B sky130_fd_sc_hd__nand2_2
+XFILLER_243_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57348_ _57348_/A _57348_/B VGND VGND VPWR VPWR _57349_/C sky130_fd_sc_hd__nor2_2
+XANTENNA_188 _73023_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76182_ _76182_/A VGND VGND VPWR VPWR _38314_/A sky130_fd_sc_hd__buf_1
+XPHY_3563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42574_ _42451_/A VGND VGND VPWR VPWR _42575_/B sky130_fd_sc_hd__buf_1
+XFILLER_521_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_673_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73394_ _73387_/A _73387_/B _73394_/C VGND VGND VPWR VPWR _73396_/A sky130_fd_sc_hd__nand3_2
+XPHY_35311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_199 _76224_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_423_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_388_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47101_ _46447_/B _47101_/B VGND VGND VPWR VPWR _47102_/B sky130_fd_sc_hd__nand2_2
+XFILLER_595_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_50_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44313_ _72977_/A _44267_/B VGND VGND VPWR VPWR _44313_/Y sky130_fd_sc_hd__nor2_2
+XPHY_2851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75133_ _75115_/A VGND VGND VPWR VPWR _75137_/B sky130_fd_sc_hd__buf_1
+XFILLER_718_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41525_ _41512_/X VGND VGND VPWR VPWR _41526_/A sky130_fd_sc_hd__buf_1
+XFILLER_161_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48081_ _48079_/Y _48080_/X VGND VGND VPWR VPWR _78683_/D sky130_fd_sc_hd__nand2_2
+X_72345_ _73265_/A _72345_/B VGND VGND VPWR VPWR _72709_/A sky130_fd_sc_hd__or2_2
+XPHY_2862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_81_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45293_ _45293_/A VGND VGND VPWR VPWR _45293_/Y sky130_fd_sc_hd__inv_8
+XPHY_35355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57279_ _66315_/A _57031_/B VGND VGND VPWR VPWR _57280_/C sky130_fd_sc_hd__nor2_2
+XFILLER_573_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_714_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_673_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47032_ _70634_/X _47009_/B VGND VGND VPWR VPWR _47033_/C sky130_fd_sc_hd__nor2_2
+X_59018_ _58950_/X VGND VGND VPWR VPWR _60935_/A sky130_fd_sc_hd__buf_1
+XFILLER_197_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44244_ _43676_/A VGND VGND VPWR VPWR _44260_/A sky130_fd_sc_hd__buf_1
+XFILLER_14_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75064_ _75172_/B VGND VGND VPWR VPWR _75080_/B sky130_fd_sc_hd__buf_1
+X_79941_ _79961_/CLK _79941_/D VGND VGND VPWR VPWR _79941_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_518_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41456_ _41456_/A _41455_/Y VGND VGND VPWR VPWR _79615_/D sky130_fd_sc_hd__nand2_2
+XPHY_34654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60290_ _60290_/A _60290_/B _60289_/Y VGND VGND VPWR VPWR _60290_/Y sky130_fd_sc_hd__nor3_2
+XPHY_35399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72276_ _72273_/X _72276_/B VGND VGND VPWR VPWR _72276_/Y sky130_fd_sc_hd__nand2_2
+XPHY_24131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_3135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_122_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_22_0_u_core.clock clkbuf_6_22_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_45_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_493_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_329_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_393_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74015_ _74015_/A _74015_/B _81034_/Q VGND VGND VPWR VPWR _74015_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_70_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40407_ _40407_/A _40407_/B VGND VGND VPWR VPWR _40407_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_291_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71227_ _71227_/A VGND VGND VPWR VPWR _71228_/A sky130_fd_sc_hd__buf_1
+XFILLER_690_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44175_ _44175_/A _44175_/B VGND VGND VPWR VPWR _44175_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_491_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_439_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79872_ _79778_/CLK _40439_/Y VGND VGND VPWR VPWR _79872_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_417_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41387_ _41390_/A _41274_/B _56487_/A VGND VGND VPWR VPWR _41388_/B sky130_fd_sc_hd__nand3_2
+XPHY_33964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_143_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_714_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_551_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_276_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43126_ _78956_/Q VGND VGND VPWR VPWR _46711_/A sky130_fd_sc_hd__inv_8
+XFILLER_644_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78823_ _78823_/CLK _78823_/D VGND VGND VPWR VPWR _47521_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_33997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40338_ _40338_/A VGND VGND VPWR VPWR _40342_/A sky130_fd_sc_hd__buf_1
+XFILLER_127_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71158_ _71018_/Y _71158_/B VGND VGND VPWR VPWR _71159_/B sky130_fd_sc_hd__or2_2
+XFILLER_196_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_315_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48983_ _48983_/A _48983_/B VGND VGND VPWR VPWR _78453_/D sky130_fd_sc_hd__nand2_2
+XPHY_22740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_237_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70109_ _70109_/A VGND VGND VPWR VPWR _70360_/A sky130_fd_sc_hd__buf_1
+XFILLER_684_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47934_ _47934_/A _47933_/X VGND VGND VPWR VPWR _47934_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_498_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43057_ _43063_/A _43057_/B VGND VGND VPWR VPWR _43058_/C sky130_fd_sc_hd__nor2_2
+XFILLER_410_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78754_ _78758_/CLK _47801_/Y VGND VGND VPWR VPWR _63773_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_614_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40269_ _40148_/A _40261_/X VGND VGND VPWR VPWR _40269_/X sky130_fd_sc_hd__or2_2
+XFILLER_512_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63980_ _59360_/A _63980_/B _63979_/Y VGND VGND VPWR VPWR _63980_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_194_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75966_ _75966_/A _75961_/X VGND VGND VPWR VPWR _75968_/A sky130_fd_sc_hd__or2_2
+X_71089_ _71089_/A VGND VGND VPWR VPWR _71205_/A sky130_fd_sc_hd__buf_1
+XFILLER_619_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_551_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_430 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42008_ _42007_/Y _42016_/B VGND VGND VPWR VPWR _42008_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77705_ _77705_/CLK _51839_/Y VGND VGND VPWR VPWR _61134_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_458_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_647_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62931_ _62931_/A _63550_/B VGND VGND VPWR VPWR _62931_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_312_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74917_ _75277_/A _74910_/B VGND VGND VPWR VPWR _74917_/X sky130_fd_sc_hd__or2_2
+XFILLER_313_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_582_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47865_ _47865_/A _47865_/B VGND VGND VPWR VPWR _47865_/X sky130_fd_sc_hd__or2_2
+XFILLER_68_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78685_ _78186_/CLK _48070_/Y VGND VGND VPWR VPWR _63010_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_11560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75897_ _75897_/A VGND VGND VPWR VPWR _75898_/B sky130_fd_sc_hd__buf_1
+XFILLER_500_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_430_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_257_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_469_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_348_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49604_ _62113_/A _49610_/B VGND VGND VPWR VPWR _49604_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_46_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46816_ _46812_/B _46815_/Y _46803_/X VGND VGND VPWR VPWR _78947_/D sky130_fd_sc_hd__nor3_2
+XFILLER_725_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77636_ _78173_/CLK _52098_/Y VGND VGND VPWR VPWR _61606_/A sky130_fd_sc_hd__dfxtp_4
+X_65650_ _64794_/A VGND VGND VPWR VPWR _65658_/A sky130_fd_sc_hd__buf_1
+XFILLER_250_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62862_ _50184_/A _62387_/B VGND VGND VPWR VPWR _62864_/B sky130_fd_sc_hd__nor2_2
+XFILLER_0_3206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74848_ _75210_/A _74835_/X VGND VGND VPWR VPWR _74848_/X sky130_fd_sc_hd__or2_2
+XFILLER_4_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_725_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47796_ _47707_/A _47792_/X VGND VGND VPWR VPWR _47797_/B sky130_fd_sc_hd__or2_2
+XFILLER_42_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_608_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_563_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64601_ _68958_/A VGND VGND VPWR VPWR _64770_/B sky130_fd_sc_hd__buf_1
+XFILLER_411_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_560_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61813_ _61813_/A _61811_/Y _61812_/Y VGND VGND VPWR VPWR _61813_/Y sky130_fd_sc_hd__nor3_2
+X_49535_ _78315_/Q _49530_/X VGND VGND VPWR VPWR _49535_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_348_1515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46747_ _46747_/A _46753_/B _46747_/C VGND VGND VPWR VPWR _46747_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_0_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65581_ _65903_/A _65581_/B _65581_/C VGND VGND VPWR VPWR _65582_/C sky130_fd_sc_hd__nor3_2
+XFILLER_482_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_168_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77567_ _77567_/CLK _77567_/D VGND VGND VPWR VPWR _60790_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_665_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43959_ _43959_/A _43953_/Y _43958_/Y VGND VGND VPWR VPWR _43959_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_64_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62793_ _78260_/Q _62792_/X VGND VGND VPWR VPWR _62796_/B sky130_fd_sc_hd__nor2_2
+X_74779_ _74733_/A VGND VGND VPWR VPWR _74779_/X sky130_fd_sc_hd__buf_1
+XFILLER_265_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_506_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_168_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_237_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_365_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_266_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67320_ _40184_/C _66990_/B VGND VGND VPWR VPWR _67322_/B sky130_fd_sc_hd__nor2_2
+XFILLER_149_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79306_ _79307_/CLK _79306_/D VGND VGND VPWR VPWR _71950_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_224_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_604_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64532_ _64459_/A VGND VGND VPWR VPWR _67370_/A sky130_fd_sc_hd__buf_1
+XFILLER_441_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76518_ VGND VGND VPWR VPWR _76518_/HI la_data_out[10] sky130_fd_sc_hd__conb_1
+XFILLER_678_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61744_ _61259_/X _61744_/B _61743_/Y VGND VGND VPWR VPWR _61745_/B sky130_fd_sc_hd__nor3_2
+XFILLER_428_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49466_ _62596_/A _49458_/X VGND VGND VPWR VPWR _49469_/A sky130_fd_sc_hd__nand2_2
+XFILLER_601_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46678_ io_out[23] _46659_/Y VGND VGND VPWR VPWR _46678_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_94_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77498_ _77515_/CLK _77498_/D VGND VGND VPWR VPWR _77498_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_425_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_326_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_40_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_523_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48417_ _48417_/A _48411_/B VGND VGND VPWR VPWR _48417_/X sky130_fd_sc_hd__or2_2
+XFILLER_36_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67251_ _80896_/Q _67747_/B VGND VGND VPWR VPWR _67253_/B sky130_fd_sc_hd__nor2_2
+XFILLER_398_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79237_ _81212_/CLK _44397_/Y VGND VGND VPWR VPWR _79237_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_59_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45629_ _45629_/A _45615_/B VGND VGND VPWR VPWR _45629_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_669_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64463_ _75176_/C _64463_/B VGND VGND VPWR VPWR _64467_/B sky130_fd_sc_hd__nor2_2
+XFILLER_326_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76449_ VGND VGND VPWR VPWR _76449_/HI io_oeb[9] sky130_fd_sc_hd__conb_1
+XFILLER_75_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61675_ _61514_/A _61673_/Y _61674_/Y VGND VGND VPWR VPWR _61675_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_280_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49397_ _49367_/X _49397_/B VGND VGND VPWR VPWR _49397_/X sky130_fd_sc_hd__or2_2
+XFILLER_587_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_476_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_558_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66202_ _66182_/Y _66202_/B VGND VGND VPWR VPWR _66203_/B sky130_fd_sc_hd__nor2_2
+XFILLER_146_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39150_ _76121_/A VGND VGND VPWR VPWR _39151_/A sky130_fd_sc_hd__buf_1
+XFILLER_304_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63414_ _59045_/A VGND VGND VPWR VPWR _63414_/X sky130_fd_sc_hd__buf_1
+XFILLER_124_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48348_ _48345_/Y _48348_/B VGND VGND VPWR VPWR _78618_/D sky130_fd_sc_hd__nand2_2
+X_60626_ _59012_/A VGND VGND VPWR VPWR _60778_/A sky130_fd_sc_hd__buf_1
+XFILLER_719_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67182_ _67182_/A _67176_/Y _67182_/C VGND VGND VPWR VPWR _67191_/B sky130_fd_sc_hd__nor3_2
+XFILLER_222_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79168_ _79160_/CLK _45156_/Y VGND VGND VPWR VPWR _69643_/B sky130_fd_sc_hd__dfxtp_4
+XPHY_37280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64394_ _66357_/A VGND VGND VPWR VPWR _64395_/B sky130_fd_sc_hd__buf_1
+XFILLER_406_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_342_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_36_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_304_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66133_ _66133_/A _65472_/X VGND VGND VPWR VPWR _66135_/B sky130_fd_sc_hd__nor2_2
+X_78119_ _77628_/CLK _50272_/Y VGND VGND VPWR VPWR _62084_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_367_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39081_ _38590_/X _39074_/B VGND VGND VPWR VPWR _39081_/X sky130_fd_sc_hd__or2_2
+XFILLER_394_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63345_ _63345_/A _63345_/B _63344_/Y VGND VGND VPWR VPWR _63345_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_105_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48279_ _48277_/Y _48278_/X VGND VGND VPWR VPWR _78631_/D sky130_fd_sc_hd__nand2_2
+X_60557_ _60557_/A _60395_/B VGND VGND VPWR VPWR _60559_/B sky130_fd_sc_hd__nor2_2
+XFILLER_359_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79099_ _79074_/CLK _45769_/Y VGND VGND VPWR VPWR _42242_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_393_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_140_1500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_177_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_637_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50310_ _50308_/Y _50310_/B VGND VGND VPWR VPWR _50310_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_591_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81130_ _80790_/CLK _73648_/Y VGND VGND VPWR VPWR _81130_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_382_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66064_ _66886_/A VGND VGND VPWR VPWR _66710_/B sky130_fd_sc_hd__buf_1
+XFILLER_257_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51290_ _51290_/A _51290_/B VGND VGND VPWR VPWR _77851_/D sky130_fd_sc_hd__nand2_2
+XFILLER_302_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_20_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63276_ _60933_/A VGND VGND VPWR VPWR _63839_/B sky130_fd_sc_hd__buf_1
+XFILLER_222_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60488_ _60488_/A _60790_/B VGND VGND VPWR VPWR _60488_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_454_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_634_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_381_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_435_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_1478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_713_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65015_ _65015_/A _65013_/Y _65015_/C VGND VGND VPWR VPWR _65015_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_435_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50241_ _62865_/A _50241_/B VGND VGND VPWR VPWR _50246_/A sky130_fd_sc_hd__nand2_2
+X_62227_ _62227_/A _62227_/B VGND VGND VPWR VPWR _62227_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_14_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81061_ _81060_/CLK _73909_/Y VGND VGND VPWR VPWR _68070_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_517_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_394_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_470_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80012_ _79947_/CLK _80012_/D VGND VGND VPWR VPWR _39899_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_333_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69823_ _69792_/X _69822_/Y VGND VGND VPWR VPWR _69840_/C sky130_fd_sc_hd__nor2_2
+XFILLER_372_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50172_ _50170_/Y _50171_/X VGND VGND VPWR VPWR _50172_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_318_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62158_ _59130_/X VGND VGND VPWR VPWR _62158_/X sky130_fd_sc_hd__buf_1
+XFILLER_255_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39983_ _39980_/X _39982_/Y VGND VGND VPWR VPWR _79990_/D sky130_fd_sc_hd__nand2_2
+XPHY_9209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_615_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_435_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_353_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_192_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_685_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_667_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61109_ _61109_/A _61105_/Y _61109_/C VGND VGND VPWR VPWR _61120_/B sky130_fd_sc_hd__nor3_2
+XFILLER_413_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_126_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38934_ _38934_/A _38933_/Y VGND VGND VPWR VPWR _38934_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_696_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_195_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69754_ _69754_/A VGND VGND VPWR VPWR _55901_/C sky130_fd_sc_hd__buf_1
+XFILLER_435_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_322_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54980_ _54980_/A _54980_/B VGND VGND VPWR VPWR _54980_/X sky130_fd_sc_hd__or2_2
+XFILLER_331_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62089_ _62089_/A _62089_/B VGND VGND VPWR VPWR _62090_/C sky130_fd_sc_hd__nor2_2
+XFILLER_350_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66966_ _66294_/X _66958_/Y _66965_/Y VGND VGND VPWR VPWR _66966_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_533_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_667_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_203_0_u_core.clock clkbuf_8_203_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_406_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_255_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_138_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68705_ _68705_/A _68206_/B VGND VGND VPWR VPWR _68705_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_468_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65917_ _65917_/A _65596_/B VGND VGND VPWR VPWR _65917_/Y sky130_fd_sc_hd__nor2_2
+X_53931_ _53928_/Y _53930_/X VGND VGND VPWR VPWR _53931_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_47_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_287_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38865_ _38875_/A VGND VGND VPWR VPWR _38968_/B sky130_fd_sc_hd__buf_1
+Xclkbuf_9_263_0_u_core.clock clkbuf_9_263_0_u_core.clock/A VGND VGND VPWR VPWR _77265_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_667_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69685_ _69690_/A _69684_/Y VGND VGND VPWR VPWR _69685_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_704_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66897_ _66897_/A VGND VGND VPWR VPWR _66900_/A sky130_fd_sc_hd__buf_1
+XFILLER_464_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_233_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_330_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_116_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_546_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80914_ _80914_/CLK _74529_/Y VGND VGND VPWR VPWR _64907_/A sky130_fd_sc_hd__dfxtp_4
+X_56650_ _64954_/A _56392_/B VGND VGND VPWR VPWR _56650_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_275_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68636_ _68968_/A _68634_/Y _68636_/C VGND VGND VPWR VPWR _68636_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_692_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53862_ _53834_/A _53862_/B VGND VGND VPWR VPWR _53863_/B sky130_fd_sc_hd__or2_2
+XFILLER_214_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65848_ _64377_/X VGND VGND VPWR VPWR _66022_/A sky130_fd_sc_hd__buf_1
+XFILLER_64_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_665_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38796_ _38794_/X _38795_/Y VGND VGND VPWR VPWR _38796_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_524_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_331_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_652_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_268_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_452_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55601_ _55597_/X _55600_/X VGND VGND VPWR VPWR _55602_/B sky130_fd_sc_hd__and2_2
+XFILLER_274_146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_214_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52813_ _60588_/A _52810_/B VGND VGND VPWR VPWR _52813_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_42_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_468_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56581_ _56304_/A VGND VGND VPWR VPWR _57790_/A sky130_fd_sc_hd__buf_1
+X_80845_ _80845_/CLK _80845_/D VGND VGND VPWR VPWR _69293_/A sky130_fd_sc_hd__dfxtp_4
+X_68567_ _68392_/A _68565_/Y _68567_/C VGND VGND VPWR VPWR _68567_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_724_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_99_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53793_ _53850_/A _53793_/B VGND VGND VPWR VPWR _53793_/X sky130_fd_sc_hd__or2_2
+X_65779_ _66118_/A _65777_/Y _65778_/Y VGND VGND VPWR VPWR _65779_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_256_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_228_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_563_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_417_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_227_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_67_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58320_ _41424_/C _58563_/B VGND VGND VPWR VPWR _58320_/Y sky130_fd_sc_hd__nor2_2
+XPHY_28408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67518_ _44337_/Y _66866_/B VGND VGND VPWR VPWR _67521_/A sky130_fd_sc_hd__nor2_2
+XFILLER_83_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55532_ _55608_/C VGND VGND VPWR VPWR _55561_/C sky130_fd_sc_hd__buf_1
+XFILLER_389_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52744_ _52744_/A _52750_/B VGND VGND VPWR VPWR _52744_/X sky130_fd_sc_hd__or2_2
+XFILLER_112_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_661_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80776_ _80841_/CLK _80776_/D VGND VGND VPWR VPWR _68536_/A sky130_fd_sc_hd__dfxtp_4
+X_68498_ _68498_/A _67842_/B VGND VGND VPWR VPWR _68498_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_266_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_379_0_u_core.clock clkbuf_9_379_0_u_core.clock/A VGND VGND VPWR VPWR _77214_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_621_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_271_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_262_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39417_ _39420_/A _39431_/B _80132_/Q VGND VGND VPWR VPWR _39417_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_36_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58251_ _58568_/A _58249_/Y _58250_/Y VGND VGND VPWR VPWR _58252_/C sky130_fd_sc_hd__nor3_2
+XFILLER_129_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_215_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55463_ _71515_/A _55451_/B VGND VGND VPWR VPWR _55473_/A sky130_fd_sc_hd__or2_2
+XFILLER_145_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67449_ _67449_/A _67787_/B VGND VGND VPWR VPWR _67449_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_622_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_3072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52675_ _52675_/A _52675_/B VGND VGND VPWR VPWR _77484_/D sky130_fd_sc_hd__nand2_2
+XPHY_27729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_360_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_282_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57202_ _58399_/A VGND VGND VPWR VPWR _57540_/A sky130_fd_sc_hd__buf_1
+XFILLER_266_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_93_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_407_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54414_ _54430_/B VGND VGND VPWR VPWR _54424_/B sky130_fd_sc_hd__buf_1
+XPHY_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_344_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_620_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51626_ _51624_/Y _51625_/X VGND VGND VPWR VPWR _77763_/D sky130_fd_sc_hd__nand2_2
+XPHY_2114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39348_ _39221_/A _39340_/X VGND VGND VPWR VPWR _39351_/A sky130_fd_sc_hd__or2_2
+XFILLER_360_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70460_ _70452_/X _70454_/X _70456_/X _70460_/D VGND VGND VPWR VPWR _71325_/B sky130_fd_sc_hd__or4_2
+XFILLER_106_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58182_ _79974_/Q _57944_/X VGND VGND VPWR VPWR _58184_/B sky130_fd_sc_hd__nor2_2
+XPHY_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_71_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55394_ _55443_/A _55394_/B VGND VGND VPWR VPWR _55394_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_559_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_558_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_322_2967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69119_ _68651_/A _69119_/B _69118_/Y VGND VGND VPWR VPWR _69119_/Y sky130_fd_sc_hd__nor3_2
+X_57133_ _57133_/A _56809_/B VGND VGND VPWR VPWR _57135_/B sky130_fd_sc_hd__nor2_2
+XPHY_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_54_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_227_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54345_ _54345_/A _54345_/B VGND VGND VPWR VPWR _77044_/D sky130_fd_sc_hd__nand2_2
+XFILLER_479_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_196_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39279_ _39275_/X _39279_/B VGND VGND VPWR VPWR _39279_/Y sky130_fd_sc_hd__nand2_2
+X_51557_ _51706_/A VGND VGND VPWR VPWR _51557_/X sky130_fd_sc_hd__buf_1
+XPHY_15804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70391_ _79522_/Q VGND VGND VPWR VPWR _70391_/Y sky130_fd_sc_hd__inv_8
+XFILLER_169_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_557_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_51_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_180_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_303_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41310_ _41047_/X _41307_/B VGND VGND VPWR VPWR _41310_/X sky130_fd_sc_hd__or2_2
+XFILLER_197_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_225_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72130_ _72130_/A _71305_/B VGND VGND VPWR VPWR _72130_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_420_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50508_ _62055_/A _50514_/B VGND VGND VPWR VPWR _50510_/A sky130_fd_sc_hd__nand2_2
+XFILLER_320_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57064_ _56982_/A _57063_/Y VGND VGND VPWR VPWR _57064_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81328_ _81330_/CLK _72825_/Y VGND VGND VPWR VPWR _64602_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_418_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54276_ _54274_/Y _54275_/X VGND VGND VPWR VPWR _77063_/D sky130_fd_sc_hd__nand2_2
+X_42290_ _79565_/Q _58850_/B VGND VGND VPWR VPWR _42290_/X sky130_fd_sc_hd__xor2_2
+XFILLER_385_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51488_ _51516_/A _51491_/B VGND VGND VPWR VPWR _51488_/X sky130_fd_sc_hd__or2_2
+XFILLER_52_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_240_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_518_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_3056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_561_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56015_ _55657_/B _56014_/X VGND VGND VPWR VPWR _56016_/C sky130_fd_sc_hd__nor2_2
+XFILLER_592_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53227_ _60241_/A _53237_/B VGND VGND VPWR VPWR _53229_/A sky130_fd_sc_hd__nand2_2
+X_41241_ _41111_/X _41256_/B VGND VGND VPWR VPWR _41243_/A sky130_fd_sc_hd__or2_2
+XFILLER_88_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72061_ _70323_/A _72060_/Y VGND VGND VPWR VPWR _72061_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_570_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50439_ _62674_/A _50435_/B VGND VGND VPWR VPWR _50441_/A sky130_fd_sc_hd__nand2_2
+XFILLER_197_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81259_ _81273_/CLK _73132_/Y VGND VGND VPWR VPWR _69058_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_319_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_715_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_672_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71012_ _71244_/A _71289_/A _71213_/A _71175_/A VGND VGND VPWR VPWR _72075_/A sky130_fd_sc_hd__or4_2
+XPHY_31803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41172_ _41149_/A VGND VGND VPWR VPWR _41173_/A sky130_fd_sc_hd__buf_1
+XFILLER_69_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_414_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53158_ _53158_/A VGND VGND VPWR VPWR _54974_/A sky130_fd_sc_hd__buf_1
+XPHY_32559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_319_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_45_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_340_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40123_ _40004_/A _40114_/B VGND VGND VPWR VPWR _40123_/X sky130_fd_sc_hd__or2_2
+XPHY_9721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52109_ _77632_/Q _52102_/B VGND VGND VPWR VPWR _52111_/A sky130_fd_sc_hd__nand2_2
+XPHY_21324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75820_ _75810_/X _75712_/B _80592_/Q VGND VGND VPWR VPWR _75820_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_516_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_124_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_151_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57966_ _57731_/A _57966_/B _57965_/Y VGND VGND VPWR VPWR _57970_/B sky130_fd_sc_hd__nor3_2
+X_45980_ _79052_/Q _45974_/B VGND VGND VPWR VPWR _45980_/Y sky130_fd_sc_hd__nor2_2
+XPHY_31869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53089_ _77370_/Q _53081_/X VGND VGND VPWR VPWR _53089_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59705_ _59543_/A _59705_/B _59705_/C VGND VGND VPWR VPWR _59714_/B sky130_fd_sc_hd__nor3_2
+XPHY_21368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40054_ _40052_/X _40053_/Y VGND VGND VPWR VPWR _79971_/D sky130_fd_sc_hd__nand2_2
+X_44931_ _44931_/A _41964_/A VGND VGND VPWR VPWR _44932_/A sky130_fd_sc_hd__nand2_2
+XPHY_9776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75751_ _75992_/A _75754_/B VGND VGND VPWR VPWR _75753_/A sky130_fd_sc_hd__or2_2
+X_56917_ _56917_/A _56912_/Y _56917_/C VGND VGND VPWR VPWR _56927_/A sky130_fd_sc_hd__nor3_2
+XPHY_20634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_105_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72963_ _74802_/A VGND VGND VPWR VPWR _72963_/X sky130_fd_sc_hd__buf_1
+XPHY_9787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_614_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_10122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57897_ _57889_/Y _57897_/B VGND VGND VPWR VPWR _57898_/B sky130_fd_sc_hd__nor2_2
+XFILLER_412_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_685_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_278_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74702_ _74725_/A VGND VGND VPWR VPWR _74721_/A sky130_fd_sc_hd__buf_1
+XFILLER_609_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_644_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47650_ _47621_/A _47653_/B VGND VGND VPWR VPWR _47650_/X sky130_fd_sc_hd__or2_2
+X_59636_ _59636_/A _59630_/Y _59636_/C VGND VGND VPWR VPWR _59637_/C sky130_fd_sc_hd__nor3_2
+XFILLER_278_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_71914_ _71642_/X _71898_/X _71650_/X _42766_/B VGND VGND VPWR VPWR _71915_/A sky130_fd_sc_hd__o22a_4
+XFILLER_671_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78470_ _78474_/CLK _48913_/Y VGND VGND VPWR VPWR _63075_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_43_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44862_ _44862_/A _44821_/X VGND VGND VPWR VPWR _44862_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_134_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56848_ _57163_/A _56842_/Y _56847_/Y VGND VGND VPWR VPWR _56848_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_709_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75682_ _75552_/A _75678_/B VGND VGND VPWR VPWR _75685_/A sky130_fd_sc_hd__or2_2
+XPHY_20689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72894_ _72941_/A VGND VGND VPWR VPWR _72910_/B sky130_fd_sc_hd__buf_1
+XFILLER_8_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_265_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_24_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46601_ _46601_/A _46592_/B VGND VGND VPWR VPWR _46603_/A sky130_fd_sc_hd__nand2_2
+XPHY_10188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77421_ _77422_/CLK _52903_/Y VGND VGND VPWR VPWR _60436_/A sky130_fd_sc_hd__dfxtp_4
+X_43813_ _43815_/A _43848_/B VGND VGND VPWR VPWR _43819_/B sky130_fd_sc_hd__nor2_2
+X_74633_ _74579_/A VGND VGND VPWR VPWR _74647_/A sky130_fd_sc_hd__buf_1
+XPHY_10199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_293_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_425_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71845_ _71845_/A _71844_/X VGND VGND VPWR VPWR _71846_/B sky130_fd_sc_hd__or2_2
+XFILLER_232_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47581_ _63781_/A _47573_/X VGND VGND VPWR VPWR _47581_/Y sky130_fd_sc_hd__nand2_2
+X_59567_ _59410_/A _59559_/Y _59566_/Y VGND VGND VPWR VPWR _59568_/B sky130_fd_sc_hd__nor3_2
+XFILLER_210_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44793_ _79191_/Q _44752_/X VGND VGND VPWR VPWR _44796_/B sky130_fd_sc_hd__nor2_2
+XPHY_29610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56779_ _80948_/Q _56779_/B VGND VGND VPWR VPWR _56780_/C sky130_fd_sc_hd__nor2_2
+XFILLER_169_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_267_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_507_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_280_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49320_ _49355_/B _49431_/A VGND VGND VPWR VPWR _49320_/X sky130_fd_sc_hd__or2_2
+XFILLER_219_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_206_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_369_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_609_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_423_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46532_ _46528_/A VGND VGND VPWR VPWR _46532_/X sky130_fd_sc_hd__buf_1
+X_58518_ _58518_/A _58658_/B VGND VGND VPWR VPWR _58518_/Y sky130_fd_sc_hd__nor2_2
+XPHY_29643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77352_ _77318_/CLK _53174_/Y VGND VGND VPWR VPWR _77352_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_95_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43744_ _44038_/B _43807_/B _43744_/C VGND VGND VPWR VPWR _43744_/X sky130_fd_sc_hd__and3_2
+XFILLER_47_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74564_ _74562_/X _74563_/Y VGND VGND VPWR VPWR _74564_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_366_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_284_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_185_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40956_ _40593_/A _40963_/B VGND VGND VPWR VPWR _40958_/A sky130_fd_sc_hd__or2_2
+XFILLER_720_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59498_ _76983_/Q _59661_/B VGND VGND VPWR VPWR _59498_/Y sky130_fd_sc_hd__nor2_2
+X_71776_ _71776_/A _71512_/B VGND VGND VPWR VPWR _71776_/X sky130_fd_sc_hd__and2_2
+XFILLER_622_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_605_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_284_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_624_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_3024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76303_ _76299_/A _76303_/B _80474_/Q VGND VGND VPWR VPWR _76303_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_489_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49251_ _63031_/A _49251_/B VGND VGND VPWR VPWR _49253_/A sky130_fd_sc_hd__nand2_2
+XFILLER_284_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73515_ _73513_/X _73514_/Y VGND VGND VPWR VPWR _81165_/D sky130_fd_sc_hd__nand2_2
+XPHY_28942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_56_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46463_ _46390_/B _46375_/X _46463_/C _46462_/Y VGND VGND VPWR VPWR _46463_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_596_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58449_ _80169_/Q _58127_/B VGND VGND VPWR VPWR _58451_/B sky130_fd_sc_hd__nor2_2
+XFILLER_423_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_62_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70727_ _70727_/A VGND VGND VPWR VPWR _70757_/A sky130_fd_sc_hd__buf_1
+XFILLER_696_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77283_ _77283_/CLK _53445_/Y VGND VGND VPWR VPWR _53443_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_72_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43675_ _43665_/Y VGND VGND VPWR VPWR _43676_/A sky130_fd_sc_hd__buf_1
+XFILLER_167_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74495_ _74495_/A _74495_/B VGND VGND VPWR VPWR _80922_/D sky130_fd_sc_hd__nand2_2
+XPHY_19175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40887_ _40887_/A _40887_/B VGND VGND VPWR VPWR _40887_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_76_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48202_ _48202_/A _48201_/X VGND VGND VPWR VPWR _48205_/A sky130_fd_sc_hd__nand2_2
+XPHY_4072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79022_ _79500_/CLK _79022_/D VGND VGND VPWR VPWR _43224_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_699_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45414_ _45113_/A _55453_/B VGND VGND VPWR VPWR _45414_/Y sky130_fd_sc_hd__nor2_2
+XPHY_28986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76234_ _38235_/A _76234_/B VGND VGND VPWR VPWR _76234_/X sky130_fd_sc_hd__or2_2
+XFILLER_395_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42626_ _42626_/A _42618_/Y _42625_/Y VGND VGND VPWR VPWR _42626_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_523_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61460_ _77627_/Q _61460_/B VGND VGND VPWR VPWR _61461_/C sky130_fd_sc_hd__nor2_2
+X_73446_ _75003_/A _73438_/X VGND VGND VPWR VPWR _73447_/B sky130_fd_sc_hd__or2_2
+X_49182_ _49211_/A _49179_/B VGND VGND VPWR VPWR _49182_/X sky130_fd_sc_hd__or2_2
+XPHY_28997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46394_ _46394_/A _46388_/A _46391_/Y _46393_/Y VGND VGND VPWR VPWR _46395_/C sky130_fd_sc_hd__or4_2
+X_70658_ _70658_/A _70657_/X VGND VGND VPWR VPWR _70658_/X sky130_fd_sc_hd__and2_2
+XFILLER_584_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_655_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48133_ _47886_/A _48199_/B VGND VGND VPWR VPWR _52001_/A sky130_fd_sc_hd__or2_2
+X_60411_ _60096_/A _60409_/Y _60411_/C VGND VGND VPWR VPWR _60412_/C sky130_fd_sc_hd__nor3_2
+XFILLER_278_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_187_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45345_ _45267_/A _45345_/B VGND VGND VPWR VPWR _45345_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_163_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_180_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76165_ _76165_/A _76161_/B VGND VGND VPWR VPWR _76165_/X sky130_fd_sc_hd__or2_2
+XPHY_17773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_493_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42557_ _42579_/A _42556_/X VGND VGND VPWR VPWR _42557_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_378_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61391_ _59810_/A VGND VGND VPWR VPWR _61538_/B sky130_fd_sc_hd__buf_1
+XFILLER_638_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73377_ _73377_/A VGND VGND VPWR VPWR _73387_/A sky130_fd_sc_hd__buf_1
+XPHY_35141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70589_ _70589_/A _70061_/A VGND VGND VPWR VPWR _70589_/X sky130_fd_sc_hd__or2_2
+XFILLER_726_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_358_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63130_ _48358_/A _62984_/B VGND VGND VPWR VPWR _63131_/C sky130_fd_sc_hd__nor2_2
+X_75116_ _75355_/A _75119_/B VGND VGND VPWR VPWR _75116_/X sky130_fd_sc_hd__or2_2
+XFILLER_436_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41508_ _41505_/X _41508_/B VGND VGND VPWR VPWR _41508_/Y sky130_fd_sc_hd__nand2_2
+XPHY_35174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48064_ _48064_/A _48063_/X VGND VGND VPWR VPWR _48064_/Y sky130_fd_sc_hd__nand2_2
+X_60342_ _53680_/A _60012_/B VGND VGND VPWR VPWR _60342_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_363_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_509_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72328_ _72972_/A VGND VGND VPWR VPWR _72329_/A sky130_fd_sc_hd__buf_1
+XFILLER_198_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_458_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_3030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45276_ _45128_/X _45276_/B _45275_/Y VGND VGND VPWR VPWR _79164_/D sky130_fd_sc_hd__nor3_2
+XPHY_35185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76096_ _76146_/A VGND VGND VPWR VPWR _76118_/B sky130_fd_sc_hd__buf_1
+XFILLER_536_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42488_ _42558_/A VGND VGND VPWR VPWR _42528_/A sky130_fd_sc_hd__buf_1
+XFILLER_713_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_176_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_174_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_106_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47015_ _46972_/A VGND VGND VPWR VPWR _47015_/X sky130_fd_sc_hd__buf_1
+XFILLER_673_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_356_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44227_ _44224_/Y _44227_/B VGND VGND VPWR VPWR _44227_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_89_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75047_ _75047_/A _75046_/X VGND VGND VPWR VPWR _80787_/D sky130_fd_sc_hd__nand2_2
+XFILLER_390_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79924_ _79925_/CLK _79924_/D VGND VGND VPWR VPWR _40228_/C sky130_fd_sc_hd__dfxtp_4
+X_63061_ _49193_/A _62909_/B VGND VGND VPWR VPWR _63062_/C sky130_fd_sc_hd__nor2_2
+XFILLER_651_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_612_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41439_ _41056_/X _41430_/B VGND VGND VPWR VPWR _41441_/A sky130_fd_sc_hd__or2_2
+XPHY_34484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60273_ _55741_/A _59633_/X VGND VGND VPWR VPWR _60274_/C sky130_fd_sc_hd__nor2_2
+XFILLER_363_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72259_ _75515_/A VGND VGND VPWR VPWR _74755_/A sky130_fd_sc_hd__buf_1
+XFILLER_518_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_155_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_139_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62012_ _78255_/Q _62012_/B VGND VGND VPWR VPWR _62015_/B sky130_fd_sc_hd__nor2_2
+XFILLER_616_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_655_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44158_ _44049_/X VGND VGND VPWR VPWR _44159_/C sky130_fd_sc_hd__inv_8
+XFILLER_115_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79855_ _80013_/CLK _40517_/Y VGND VGND VPWR VPWR _79855_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_135_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_350_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_694_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_227_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_259_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_217_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_3028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_315_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_83_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43109_ _43113_/A _43109_/B _43109_/C VGND VGND VPWR VPWR _43209_/C sky130_fd_sc_hd__nor3_2
+X_66820_ _66162_/A _66819_/Y VGND VGND VPWR VPWR _66820_/Y sky130_fd_sc_hd__nor2_2
+X_78806_ _78307_/CLK _47596_/Y VGND VGND VPWR VPWR _63205_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_288_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48966_ _48957_/A VGND VGND VPWR VPWR _48967_/B sky130_fd_sc_hd__buf_1
+X_44089_ _44073_/X _44088_/Y VGND VGND VPWR VPWR _44089_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_288_238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79786_ _79778_/CLK _40779_/Y VGND VGND VPWR VPWR _58520_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_44_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2930 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76998_ _76998_/CLK _54513_/Y VGND VGND VPWR VPWR _59334_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_389_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_469_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_582_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47917_ _47926_/A VGND VGND VPWR VPWR _47929_/B sky130_fd_sc_hd__buf_1
+XFILLER_26_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66751_ _80989_/Q _67254_/B VGND VGND VPWR VPWR _66753_/B sky130_fd_sc_hd__nor2_2
+XFILLER_297_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78737_ _78737_/CLK _47873_/Y VGND VGND VPWR VPWR _63610_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_332_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63963_ _59384_/A _63963_/B _63962_/Y VGND VGND VPWR VPWR _63964_/C sky130_fd_sc_hd__nor3_2
+XFILLER_693_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75949_ _76068_/A _75948_/X VGND VGND VPWR VPWR _75949_/X sky130_fd_sc_hd__or2_2
+XFILLER_586_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_524_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48897_ _48897_/A _48896_/X VGND VGND VPWR VPWR _48897_/Y sky130_fd_sc_hd__nand2_2
+XPHY_21880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_297_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65702_ _65702_/A _65693_/Y _65702_/C VGND VGND VPWR VPWR _65703_/B sky130_fd_sc_hd__nor3_2
+XFILLER_233_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38650_ _38648_/X _38649_/Y VGND VGND VPWR VPWR _80328_/D sky130_fd_sc_hd__nand2_2
+XFILLER_285_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62914_ _62447_/A _62914_/B _62913_/Y VGND VGND VPWR VPWR _62915_/C sky130_fd_sc_hd__nor3_2
+X_69470_ _69330_/A _69470_/B _69470_/C VGND VGND VPWR VPWR _69471_/C sky130_fd_sc_hd__nor3_2
+XFILLER_674_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_233_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47848_ _47848_/A _47847_/X VGND VGND VPWR VPWR _47848_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_116_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66682_ _66849_/A _66680_/Y _66682_/C VGND VGND VPWR VPWR _66686_/B sky130_fd_sc_hd__nor3_2
+XPHY_11390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_243_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_647_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78668_ _78667_/CLK _78668_/D VGND VGND VPWR VPWR _48136_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_250_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_449_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63894_ _48110_/A _59241_/X VGND VGND VPWR VPWR _63896_/B sky130_fd_sc_hd__nor2_2
+XFILLER_586_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68421_ _68758_/A _68417_/Y _68421_/C VGND VGND VPWR VPWR _68422_/C sky130_fd_sc_hd__nor3_2
+XFILLER_367_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65633_ _65955_/A _65633_/B _65633_/C VGND VGND VPWR VPWR _65706_/B sky130_fd_sc_hd__nor3_2
+X_77619_ _77628_/CLK _52162_/Y VGND VGND VPWR VPWR _61458_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_84_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_560_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38581_ _76187_/A VGND VGND VPWR VPWR _38581_/X sky130_fd_sc_hd__buf_1
+XFILLER_26_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62845_ _78220_/Q _62531_/B VGND VGND VPWR VPWR _62847_/B sky130_fd_sc_hd__nor2_2
+XFILLER_94_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47779_ _47686_/X _47776_/B VGND VGND VPWR VPWR _47780_/B sky130_fd_sc_hd__or2_2
+XFILLER_38_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78599_ _78599_/CLK _78599_/D VGND VGND VPWR VPWR _78599_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_721_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_662_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_20_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_365_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49518_ _49514_/Y _49517_/X VGND VGND VPWR VPWR _78319_/D sky130_fd_sc_hd__nand2_2
+X_80630_ _80630_/CLK _75681_/Y VGND VGND VPWR VPWR _65559_/A sky130_fd_sc_hd__dfxtp_4
+X_68352_ _68352_/A _67864_/B VGND VGND VPWR VPWR _68352_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_345_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_77_0_u_core.clock clkbuf_7_77_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_77_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_460_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65564_ _75275_/C _66219_/B VGND VGND VPWR VPWR _65565_/C sky130_fd_sc_hd__nor2_2
+XFILLER_442_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50790_ _50813_/B VGND VGND VPWR VPWR _50794_/B sky130_fd_sc_hd__buf_1
+XFILLER_643_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62776_ _62776_/A _62149_/X VGND VGND VPWR VPWR _62776_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_697_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67303_ _67631_/A _67298_/Y _67303_/C VGND VGND VPWR VPWR _67303_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_482_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64515_ _64515_/A _64515_/B VGND VGND VPWR VPWR _64516_/B sky130_fd_sc_hd__nor2_2
+XFILLER_441_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_605_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61727_ _61708_/X _61719_/Y _61726_/Y VGND VGND VPWR VPWR _61727_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_142_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49449_ _61857_/A _49446_/B VGND VGND VPWR VPWR _49451_/A sky130_fd_sc_hd__nand2_2
+X_80561_ _80535_/CLK _75939_/Y VGND VGND VPWR VPWR _75938_/C sky130_fd_sc_hd__dfxtp_4
+X_68283_ _68454_/A _68281_/Y _68282_/Y VGND VGND VPWR VPWR _68284_/C sky130_fd_sc_hd__nor3_2
+XFILLER_266_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65495_ _64802_/A _65491_/Y _65495_/C VGND VGND VPWR VPWR _65495_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_129_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_498_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_18_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_224_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_463_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39202_ _39202_/A VGND VGND VPWR VPWR _39203_/A sky130_fd_sc_hd__buf_1
+XFILLER_90_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_252_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_604_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67234_ _66735_/A _67230_/Y _67233_/Y VGND VGND VPWR VPWR _67234_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_398_378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52460_ _52758_/A VGND VGND VPWR VPWR _52553_/A sky130_fd_sc_hd__buf_1
+X_64446_ _65037_/A _64442_/Y _64445_/Y VGND VGND VPWR VPWR _64447_/C sky130_fd_sc_hd__nor3_2
+XFILLER_90_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61658_ _52998_/A _61347_/B VGND VGND VPWR VPWR _61659_/C sky130_fd_sc_hd__nor2_2
+XFILLER_587_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80492_ _80465_/CLK _76236_/Y VGND VGND VPWR VPWR _80492_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_326_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_639_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_558_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_37_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39133_ _39133_/A _39138_/B VGND VGND VPWR VPWR _39133_/X sky130_fd_sc_hd__or2_2
+X_51411_ _51715_/A VGND VGND VPWR VPWR _51479_/A sky130_fd_sc_hd__buf_1
+XFILLER_261_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_695_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_719_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60609_ _60609_/A _60756_/B VGND VGND VPWR VPWR _60609_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_691_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67165_ _67165_/A _67485_/B VGND VGND VPWR VPWR _67165_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_205_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_181_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_241_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_124_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52391_ _77559_/Q _52394_/B VGND VGND VPWR VPWR _52393_/A sky130_fd_sc_hd__nand2_2
+XFILLER_459_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64377_ _68027_/A VGND VGND VPWR VPWR _64377_/X sky130_fd_sc_hd__buf_1
+XFILLER_421_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_617_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61589_ _62370_/A VGND VGND VPWR VPWR _61911_/A sky130_fd_sc_hd__buf_1
+XANTENNA_11 io_in[28] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_402_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_138_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_22 io_in[30] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_142_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_516_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_656_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54130_ _77101_/Q _54124_/B VGND VGND VPWR VPWR _54130_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_359_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66116_ _81401_/Q _66116_/B VGND VGND VPWR VPWR _66118_/B sky130_fd_sc_hd__nor2_2
+XFILLER_292_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_33 wb_rst_i VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_558_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_507_0_u_core.clock clkbuf_9_507_0_u_core.clock/A VGND VGND VPWR VPWR _80421_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+Xclkbuf_7_102_0_u_core.clock clkbuf_6_51_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_102_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_51342_ _51372_/A _51363_/B VGND VGND VPWR VPWR _51343_/B sky130_fd_sc_hd__or2_2
+X_39064_ _39054_/A _39075_/B _39064_/C VGND VGND VPWR VPWR _39064_/Y sky130_fd_sc_hd__nand3_2
+X_63328_ _63483_/A _63328_/B _63328_/C VGND VGND VPWR VPWR _63347_/A sky130_fd_sc_hd__nor3_2
+XFILLER_394_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_634_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_123_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_705_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_44 wbs_adr_i[4] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_536_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_472_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67096_ _65249_/A VGND VGND VPWR VPWR _67096_/X sky130_fd_sc_hd__buf_1
+Xclkbuf_8_162_0_u_core.clock clkbuf_7_81_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_325_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_50_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_55 wbs_adr_i[7] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_105_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_716_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_673_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_66 _56496_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_517_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_591_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_296_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81113_ _81144_/CLK _73711_/Y VGND VGND VPWR VPWR _66093_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_21_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_77 _59275_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_198_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54061_ _52150_/A _54033_/B VGND VGND VPWR VPWR _54062_/A sky130_fd_sc_hd__or2_2
+XFILLER_372_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_88 _60576_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_66047_ _65209_/A VGND VGND VPWR VPWR _66048_/B sky130_fd_sc_hd__buf_1
+XFILLER_257_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_457_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51273_ _51273_/A _51391_/A VGND VGND VPWR VPWR _51273_/X sky130_fd_sc_hd__or2_2
+XFILLER_391_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63259_ _59373_/A VGND VGND VPWR VPWR _63259_/X sky130_fd_sc_hd__buf_1
+XPHY_13709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_99 _61671_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_710_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_374_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_574_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53012_ _77392_/Q _52997_/X VGND VGND VPWR VPWR _53014_/A sky130_fd_sc_hd__nand2_2
+Xclkbuf_8_109_0_u_core.clock clkbuf_7_54_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_219_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_66_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_192_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_284_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50224_ _78129_/Q _50220_/B VGND VGND VPWR VPWR _50224_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_296_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81044_ _81166_/CLK _73972_/Y VGND VGND VPWR VPWR _81044_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_175_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_669_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_169_0_u_core.clock clkbuf_8_84_0_u_core.clock/X VGND VGND VPWR VPWR _76848_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_333_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_307_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_418_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_391_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_517_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_273_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57820_ _57022_/A VGND VGND VPWR VPWR _58180_/A sky130_fd_sc_hd__buf_1
+XFILLER_689_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_57_0_u_core.clock clkbuf_7_28_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_57_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_69806_ _69639_/B VGND VGND VPWR VPWR _69806_/X sky130_fd_sc_hd__buf_1
+XFILLER_432_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_106_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50155_ _50180_/B VGND VGND VPWR VPWR _50170_/B sky130_fd_sc_hd__buf_1
+XFILLER_133_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_27_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39966_ _39831_/X _39957_/X VGND VGND VPWR VPWR _39969_/A sky130_fd_sc_hd__or2_2
+XFILLER_118_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67998_ _68821_/A VGND VGND VPWR VPWR _67999_/B sky130_fd_sc_hd__buf_1
+XFILLER_88_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_495_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38917_ _38676_/A _38920_/B VGND VGND VPWR VPWR _38919_/A sky130_fd_sc_hd__or2_2
+X_57751_ _57423_/X _57751_/B _57751_/C VGND VGND VPWR VPWR _57755_/B sky130_fd_sc_hd__nor3_2
+XFILLER_161_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69737_ _55948_/A _55908_/B _69575_/Y VGND VGND VPWR VPWR _69738_/B sky130_fd_sc_hd__and3_2
+XFILLER_350_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50086_ _50084_/Y _50085_/X VGND VGND VPWR VPWR _50086_/Y sky130_fd_sc_hd__nand2_2
+X_54963_ _54963_/A _54935_/B VGND VGND VPWR VPWR _54973_/A sky130_fd_sc_hd__or2_2
+XFILLER_511_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_487_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66949_ _64249_/A VGND VGND VPWR VPWR _67026_/A sky130_fd_sc_hd__buf_1
+XFILLER_290_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_434_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39897_ _39897_/A _39897_/B VGND VGND VPWR VPWR _80013_/D sky130_fd_sc_hd__nand2_2
+XFILLER_102_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_173_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56702_ _56702_/A _56702_/B VGND VGND VPWR VPWR _56702_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_118_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_483_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_135_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53914_ _77156_/Q _53932_/B VGND VGND VPWR VPWR _53918_/A sky130_fd_sc_hd__nand2_2
+XFILLER_653_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38848_ _38851_/A _38837_/X _38848_/C VGND VGND VPWR VPWR _38849_/B sky130_fd_sc_hd__nand3_2
+XFILLER_87_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57682_ _80255_/Q _57514_/B VGND VGND VPWR VPWR _57683_/C sky130_fd_sc_hd__nor2_2
+XPHY_6903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69668_ _69668_/A _69662_/X _69668_/C VGND VGND VPWR VPWR _69668_/X sky130_fd_sc_hd__or3_2
+XFILLER_628_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54894_ _54892_/Y _54894_/B VGND VGND VPWR VPWR _54894_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_511_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_667_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59421_ _59421_/A VGND VGND VPWR VPWR _59905_/B sky130_fd_sc_hd__buf_1
+XFILLER_388_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68619_ _68454_/A _68619_/B _68619_/C VGND VGND VPWR VPWR _68620_/C sky130_fd_sc_hd__nor3_2
+X_56633_ _64969_/A _56633_/B VGND VGND VPWR VPWR _56633_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_268_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_641_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53845_ _53843_/Y _53844_/X VGND VGND VPWR VPWR _53845_/Y sky130_fd_sc_hd__nand2_2
+XPHY_39429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_275_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38779_ _38512_/A _38789_/B VGND VGND VPWR VPWR _38779_/X sky130_fd_sc_hd__or2_2
+XFILLER_448_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69599_ _69577_/A _69587_/B VGND VGND VPWR VPWR _69600_/A sky130_fd_sc_hd__or2_2
+XPHY_6958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_216 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40810_ _40772_/X VGND VGND VPWR VPWR _40814_/B sky130_fd_sc_hd__buf_1
+XFILLER_249_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59352_ _59352_/A _59677_/B VGND VGND VPWR VPWR _59354_/B sky130_fd_sc_hd__nor2_2
+X_71630_ _70499_/Y _71630_/B VGND VGND VPWR VPWR _71633_/B sky130_fd_sc_hd__nor2_2
+XPHY_38728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56564_ _56564_/A _56395_/B VGND VGND VPWR VPWR _56564_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_288_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80828_ _80826_/CLK _74893_/Y VGND VGND VPWR VPWR _66544_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_229_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_598_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41790_ _41789_/Y _41690_/B VGND VGND VPWR VPWR _41792_/B sky130_fd_sc_hd__and2_2
+X_53776_ _53797_/B VGND VGND VPWR VPWR _53793_/B sky130_fd_sc_hd__buf_1
+XFILLER_216_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50988_ _50988_/A _50988_/B VGND VGND VPWR VPWR _50988_/X sky130_fd_sc_hd__or2_2
+XFILLER_606_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58303_ _58473_/A _58303_/B _58302_/Y VGND VGND VPWR VPWR _58307_/B sky130_fd_sc_hd__nor3_2
+XFILLER_426_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55515_ _55530_/B _55514_/X VGND VGND VPWR VPWR _55516_/A sky130_fd_sc_hd__nand2_2
+X_52727_ _54638_/A _52791_/B VGND VGND VPWR VPWR _52730_/A sky130_fd_sc_hd__or2_2
+X_40741_ _40497_/X _40741_/B VGND VGND VPWR VPWR _40741_/X sky130_fd_sc_hd__or2_2
+XFILLER_44_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71561_ _71693_/A _71561_/B _71560_/Y VGND VGND VPWR VPWR _71561_/X sky130_fd_sc_hd__or3_2
+X_59283_ _58887_/A VGND VGND VPWR VPWR _59457_/A sky130_fd_sc_hd__buf_1
+XFILLER_71_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_147_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_661_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80759_ _80852_/CLK _80759_/D VGND VGND VPWR VPWR _65726_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56495_ _56495_/A _56495_/B _56494_/Y VGND VGND VPWR VPWR _56495_/X sky130_fd_sc_hd__or3_2
+Xclkbuf_9_90_0_u_core.clock clkbuf_9_91_0_u_core.clock/A VGND VGND VPWR VPWR _77365_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_38_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_621_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73300_ _74978_/A _73308_/B VGND VGND VPWR VPWR _73300_/X sky130_fd_sc_hd__or2_2
+XFILLER_696_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70512_ _70589_/A _70433_/X VGND VGND VPWR VPWR _70512_/X sky130_fd_sc_hd__or2_2
+X_58234_ _57037_/A VGND VGND VPWR VPWR _58234_/X sky130_fd_sc_hd__buf_1
+XPHY_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43460_ _43463_/A _43473_/B _43460_/C VGND VGND VPWR VPWR _43461_/B sky130_fd_sc_hd__nand3_2
+XFILLER_243_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55446_ _55416_/A _55446_/B VGND VGND VPWR VPWR _55446_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_721_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74280_ _74277_/A VGND VGND VPWR VPWR _74394_/B sky130_fd_sc_hd__buf_1
+XFILLER_377_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40672_ _40669_/X _40672_/B VGND VGND VPWR VPWR _79815_/D sky130_fd_sc_hd__nand2_2
+XFILLER_566_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52658_ _77488_/Q _52643_/X VGND VGND VPWR VPWR _52660_/A sky130_fd_sc_hd__nand2_2
+XFILLER_674_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71492_ _71491_/A _71453_/X VGND VGND VPWR VPWR _71492_/X sky130_fd_sc_hd__and2_2
+XFILLER_240_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_125_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_62_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_37_0_u_core.clock clkbuf_9_37_0_u_core.clock/A VGND VGND VPWR VPWR _78067_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_596_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42411_ _46652_/A _42411_/B VGND VGND VPWR VPWR _42411_/X sky130_fd_sc_hd__or2_2
+XPHY_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_459_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_401_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73231_ _73235_/A _73245_/B _65447_/A VGND VGND VPWR VPWR _73231_/Y sky130_fd_sc_hd__nand3_2
+XPHY_26847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51609_ _77767_/Q _51615_/B VGND VGND VPWR VPWR _51609_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_125_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58165_ _41552_/C _58165_/B VGND VGND VPWR VPWR _58166_/C sky130_fd_sc_hd__nor2_2
+XFILLER_30_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70443_ _70431_/Y _70442_/X VGND VGND VPWR VPWR _70445_/A sky130_fd_sc_hd__nor2_2
+XPHY_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43391_ _43391_/A _43391_/B VGND VGND VPWR VPWR _43391_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_93_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55377_ _55377_/A VGND VGND VPWR VPWR _55416_/A sky130_fd_sc_hd__buf_1
+XPHY_16335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52589_ _52560_/A _52601_/B VGND VGND VPWR VPWR _52589_/X sky130_fd_sc_hd__or2_2
+XPHY_26869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_178_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_502_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_379_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_592_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57116_ _57194_/A _57113_/Y _57116_/C VGND VGND VPWR VPWR _57120_/B sky130_fd_sc_hd__nor3_2
+XFILLER_494_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45130_ _45130_/A _45341_/B VGND VGND VPWR VPWR _45130_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54328_ _54240_/X _54322_/B VGND VGND VPWR VPWR _54329_/B sky130_fd_sc_hd__or2_2
+XPHY_16368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42342_ _42331_/B _42328_/B VGND VGND VPWR VPWR _42347_/B sky130_fd_sc_hd__nor2_2
+XFILLER_157_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73162_ _73148_/A _73161_/X _67921_/A VGND VGND VPWR VPWR _73165_/A sky130_fd_sc_hd__nand3_2
+XFILLER_715_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_392_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_357_275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58096_ _58096_/A _58330_/B VGND VGND VPWR VPWR _58096_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_16_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70374_ _70199_/A _70373_/X VGND VGND VPWR VPWR _70375_/B sky130_fd_sc_hd__nand2_2
+XFILLER_177_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72113_ _43660_/B VGND VGND VPWR VPWR _72113_/Y sky130_fd_sc_hd__inv_8
+XFILLER_535_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45061_ _45061_/A _45060_/Y VGND VGND VPWR VPWR _45061_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_506_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57047_ _57047_/A _57046_/X VGND VGND VPWR VPWR _57047_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_633_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42273_ _41663_/A _41663_/B wbs_adr_i[25] wbs_adr_i[24] VGND VGND VPWR VPWR _42273_/X
++ sky130_fd_sc_hd__or4_2
+XFILLER_531_3028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54259_ _54344_/A _54262_/B VGND VGND VPWR VPWR _54260_/B sky130_fd_sc_hd__or2_2
+XFILLER_535_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73093_ _71981_/B _73093_/B VGND VGND VPWR VPWR _73094_/B sky130_fd_sc_hd__or2_2
+X_77970_ _78494_/CLK _50828_/Y VGND VGND VPWR VPWR _62455_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_158_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44012_ _44133_/A VGND VGND VPWR VPWR _68025_/A sky130_fd_sc_hd__inv_8
+XFILLER_613_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41224_ _41209_/X _41228_/B _57455_/A VGND VGND VPWR VPWR _41225_/B sky130_fd_sc_hd__nand3_2
+XPHY_32334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76921_ _76921_/CLK _54802_/Y VGND VGND VPWR VPWR _76921_/Q sky130_fd_sc_hd__dfxtp_4
+X_72044_ _72042_/X _72044_/B VGND VGND VPWR VPWR _72044_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_316_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_715_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_531_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_408_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48820_ _48880_/A _48810_/X VGND VGND VPWR VPWR _48821_/B sky130_fd_sc_hd__or2_2
+XPHY_21110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79640_ _79703_/CLK _41361_/Y VGND VGND VPWR VPWR _57134_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_414_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41155_ _42883_/A _41270_/B VGND VGND VPWR VPWR _41155_/X sky130_fd_sc_hd__or2_2
+XFILLER_171_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76852_ _76720_/CLK _76852_/D VGND VGND VPWR VPWR _76852_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_516_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_512_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_49_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58998_ _76853_/Q _58998_/B VGND VGND VPWR VPWR _58999_/C sky130_fd_sc_hd__nor2_2
+XFILLER_502_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_414_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_685_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40106_ _40106_/A _40105_/Y VGND VGND VPWR VPWR _79957_/D sky130_fd_sc_hd__nand2_2
+XPHY_21154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75803_ _75803_/A _75811_/B _80597_/Q VGND VGND VPWR VPWR _75803_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_685_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48751_ _48751_/A _48751_/B VGND VGND VPWR VPWR _48751_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79571_ _79635_/CLK _41617_/Y VGND VGND VPWR VPWR _65116_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_3_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_212_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45963_ _42691_/B _45968_/B VGND VGND VPWR VPWR _45964_/C sky130_fd_sc_hd__nor2_2
+X_41086_ _41081_/X _41076_/X _66788_/A VGND VGND VPWR VPWR _41087_/B sky130_fd_sc_hd__nand3_2
+XFILLER_675_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57949_ _58348_/A VGND VGND VPWR VPWR _58111_/B sky130_fd_sc_hd__buf_1
+XPHY_31699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76783_ _78660_/CLK _55763_/Y VGND VGND VPWR VPWR _59299_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_344_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_267_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_26_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73995_ _73999_/A _74015_/B _69469_/A VGND VGND VPWR VPWR _73996_/B sky130_fd_sc_hd__nand3_2
+XPHY_30965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47702_ _78780_/Q _47706_/B VGND VGND VPWR VPWR _47702_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_349_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_388_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78522_ _78102_/CLK _48716_/Y VGND VGND VPWR VPWR _63692_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_568_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44914_ _45210_/A _44913_/X VGND VGND VPWR VPWR _44914_/X sky130_fd_sc_hd__or2_2
+X_40037_ _40277_/A _40034_/B VGND VGND VPWR VPWR _40037_/X sky130_fd_sc_hd__or2_2
+XFILLER_656_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75734_ _75726_/A _75734_/B _80616_/Q VGND VGND VPWR VPWR _75734_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_428_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_455_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72946_ _73962_/A _72956_/B VGND VGND VPWR VPWR _72949_/A sky130_fd_sc_hd__or2_2
+X_48682_ _48711_/A _48682_/B VGND VGND VPWR VPWR _48682_/X sky130_fd_sc_hd__or2_2
+XPHY_8872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60960_ _60960_/A _60648_/B VGND VGND VPWR VPWR _60960_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_488_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_3194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45894_ _41814_/Y _45891_/X _45734_/Y _45888_/X VGND VGND VPWR VPWR _45895_/B sky130_fd_sc_hd__o22a_4
+XFILLER_310_1411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_368_2967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_581_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_722_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47633_ _47656_/B VGND VGND VPWR VPWR _47655_/B sky130_fd_sc_hd__buf_1
+X_59619_ _58903_/A VGND VGND VPWR VPWR _60107_/B sky130_fd_sc_hd__buf_1
+XFILLER_364_2809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78453_ _77506_/CLK _78453_/D VGND VGND VPWR VPWR _62931_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_568_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44845_ _75536_/A _44816_/X VGND VGND VPWR VPWR _44846_/B sky130_fd_sc_hd__or2_2
+XFILLER_78_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75665_ _75647_/A VGND VGND VPWR VPWR _75665_/X sky130_fd_sc_hd__buf_1
+XFILLER_671_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60891_ _63467_/A VGND VGND VPWR VPWR _60898_/A sky130_fd_sc_hd__buf_1
+XFILLER_120_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72877_ _72792_/X VGND VGND VPWR VPWR _72883_/A sky130_fd_sc_hd__buf_1
+XFILLER_1_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_640_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_286_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_78_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_267_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_698_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77404_ _77387_/CLK _77404_/D VGND VGND VPWR VPWR _52970_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_169_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62630_ _60284_/A VGND VGND VPWR VPWR _62630_/X sky130_fd_sc_hd__buf_1
+X_74616_ _74615_/X _74605_/B _80892_/Q VGND VGND VPWR VPWR _74616_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_267_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_542_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47564_ _47627_/A _47538_/X VGND VGND VPWR VPWR _47564_/X sky130_fd_sc_hd__or2_2
+XFILLER_726_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_662_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71828_ _71699_/A _71828_/B VGND VGND VPWR VPWR _71828_/X sky130_fd_sc_hd__or2_2
+XFILLER_605_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78384_ _78384_/CLK _49244_/Y VGND VGND VPWR VPWR _63495_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_625_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44776_ _44776_/A _44759_/X _44681_/B VGND VGND VPWR VPWR _44776_/X sky130_fd_sc_hd__or3_2
+X_75596_ _75581_/X _75605_/B _80652_/Q VGND VGND VPWR VPWR _75596_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_35_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_93_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_281_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41988_ wbs_dat_i[22] VGND VGND VPWR VPWR _41988_/Y sky130_fd_sc_hd__inv_8
+XFILLER_546_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_510_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_409_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_499_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_679_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49303_ _49303_/A _49291_/B VGND VGND VPWR VPWR _49303_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_185_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_581_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46515_ _41863_/A VGND VGND VPWR VPWR _46528_/A sky130_fd_sc_hd__buf_1
+XFILLER_245_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77335_ _77333_/CLK _77335_/D VGND VGND VPWR VPWR _59589_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43727_ _43727_/A VGND VGND VPWR VPWR _43727_/X sky130_fd_sc_hd__buf_1
+X_74547_ _74659_/B VGND VGND VPWR VPWR _74554_/B sky130_fd_sc_hd__buf_1
+X_62561_ _78170_/Q _62401_/B VGND VGND VPWR VPWR _62563_/B sky130_fd_sc_hd__nor2_2
+XFILLER_362_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_264_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40939_ _40936_/A _40924_/B _40939_/C VGND VGND VPWR VPWR _40939_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_245_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47495_ _47495_/A VGND VGND VPWR VPWR _47979_/A sky130_fd_sc_hd__buf_1
+XFILLER_108_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71759_ _71725_/X VGND VGND VPWR VPWR _71759_/X sky130_fd_sc_hd__buf_1
+XFILLER_605_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_235_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_523_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_479_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_34_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64300_ _64300_/A _69465_/B VGND VGND VPWR VPWR _64305_/B sky130_fd_sc_hd__nor2_2
+XFILLER_234_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49234_ _49234_/A _49233_/X VGND VGND VPWR VPWR _78387_/D sky130_fd_sc_hd__nand2_2
+X_61512_ _61512_/A _61201_/B VGND VGND VPWR VPWR _61514_/B sky130_fd_sc_hd__nor2_2
+XFILLER_56_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46446_ _42853_/A _46446_/B VGND VGND VPWR VPWR _46446_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_542_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65280_ _65280_/A _65280_/B _65279_/Y VGND VGND VPWR VPWR _65280_/Y sky130_fd_sc_hd__nor3_2
+XPHY_28783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77266_ _77265_/CLK _77266_/D VGND VGND VPWR VPWR _53510_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_703_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43658_ _46051_/B _43549_/A VGND VGND VPWR VPWR _43658_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_222_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62492_ _59787_/A VGND VGND VPWR VPWR _62963_/B sky130_fd_sc_hd__buf_1
+X_74478_ _74610_/A _74486_/B VGND VGND VPWR VPWR _74481_/A sky130_fd_sc_hd__or2_2
+XFILLER_280_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_597_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_403_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_304_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79005_ _79557_/CLK _79005_/D _46540_/X VGND VGND VPWR VPWR _79005_/Q sky130_fd_sc_hd__dfrtp_4
+XFILLER_206_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64231_ _64070_/X VGND VGND VPWR VPWR _64240_/A sky130_fd_sc_hd__buf_1
+XFILLER_143_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76217_ _76217_/A VGND VGND VPWR VPWR _38221_/A sky130_fd_sc_hd__buf_1
+XFILLER_343_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_716_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42609_ _42493_/X _70368_/X _70373_/X _42494_/X VGND VGND VPWR VPWR _42609_/X sky130_fd_sc_hd__o22a_4
+XFILLER_538_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61443_ _59113_/A VGND VGND VPWR VPWR _61759_/B sky130_fd_sc_hd__buf_1
+X_49165_ _49107_/A _49139_/X VGND VGND VPWR VPWR _49166_/B sky130_fd_sc_hd__or2_2
+XFILLER_679_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73429_ _73417_/X _73432_/B _73429_/C VGND VGND VPWR VPWR _73431_/A sky130_fd_sc_hd__nand3_2
+XFILLER_37_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46377_ _44935_/A _47115_/A VGND VGND VPWR VPWR _46378_/A sky130_fd_sc_hd__or2_2
+XFILLER_657_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77197_ _77214_/CLK _53762_/Y VGND VGND VPWR VPWR _77197_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_305_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43589_ _42604_/B _43593_/B VGND VGND VPWR VPWR _43589_/Y sky130_fd_sc_hd__nor2_2
+XPHY_17570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_501_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_537_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_301_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48116_ _48116_/A _48116_/B VGND VGND VPWR VPWR _78674_/D sky130_fd_sc_hd__nand2_2
+XPHY_17592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_15_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45328_ _45328_/A _45303_/Y _45327_/Y VGND VGND VPWR VPWR _45328_/Y sky130_fd_sc_hd__nor3_2
+X_76148_ _76145_/X _76148_/B VGND VGND VPWR VPWR _80511_/D sky130_fd_sc_hd__nand2_2
+X_64162_ _76064_/C _64862_/B VGND VGND VPWR VPWR _64164_/B sky130_fd_sc_hd__nor2_2
+XFILLER_403_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_102_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61374_ _61374_/A _61374_/B _61374_/C VGND VGND VPWR VPWR _61375_/C sky130_fd_sc_hd__nor3_2
+XFILLER_356_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49096_ _49096_/A _49096_/B VGND VGND VPWR VPWR _78424_/D sky130_fd_sc_hd__nand2_2
+XFILLER_635_2841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_30_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_296_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_102_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_419_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63113_ _63580_/A _63108_/Y _63112_/Y VGND VGND VPWR VPWR _63122_/B sky130_fd_sc_hd__nor3_2
+XFILLER_200_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_692_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48047_ _48018_/A _48068_/B VGND VGND VPWR VPWR _48048_/B sky130_fd_sc_hd__or2_2
+X_60325_ _60325_/A _60325_/B _60325_/C VGND VGND VPWR VPWR _60336_/B sky130_fd_sc_hd__nor3_2
+XFILLER_321_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45259_ _45252_/X _45258_/X VGND VGND VPWR VPWR _45259_/X sky130_fd_sc_hd__and2_2
+XPHY_34270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68970_ _79978_/Q _68304_/B VGND VGND VPWR VPWR _68971_/C sky130_fd_sc_hd__nor2_2
+XFILLER_356_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64093_ _69420_/B VGND VGND VPWR VPWR _65024_/B sky130_fd_sc_hd__buf_1
+XFILLER_102_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76079_ _74679_/A VGND VGND VPWR VPWR _38235_/A sky130_fd_sc_hd__buf_1
+XFILLER_106_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_85_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_436_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_713_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67921_ _67921_/A _67920_/X VGND VGND VPWR VPWR _67921_/Y sky130_fd_sc_hd__nor2_2
+X_63044_ _47693_/A _62577_/B VGND VGND VPWR VPWR _63046_/B sky130_fd_sc_hd__nor2_2
+X_79907_ _79907_/CLK _79907_/D VGND VGND VPWR VPWR _67800_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_190_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_651_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60256_ _60098_/A _60248_/Y _60255_/Y VGND VGND VPWR VPWR _60256_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_278_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_356_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_344_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_100_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_553_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39820_ _39816_/A _39816_/B _80029_/Q VGND VGND VPWR VPWR _39820_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_569_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_373_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_115_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67852_ _67852_/A _67688_/Y _67695_/X _67852_/D VGND VGND VPWR VPWR _76705_/D sky130_fd_sc_hd__or4_2
+XFILLER_135_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79838_ _79809_/CLK _40585_/Y VGND VGND VPWR VPWR _67000_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_612_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60187_ _53656_/A _60187_/B VGND VGND VPWR VPWR _60187_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_131_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49998_ _49996_/Y _49997_/X VGND VGND VPWR VPWR _49998_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_547_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_304_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_193_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_315_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66803_ _65142_/A VGND VGND VPWR VPWR _66803_/X sky130_fd_sc_hd__buf_1
+XFILLER_351_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39751_ _76083_/A VGND VGND VPWR VPWR _40142_/A sky130_fd_sc_hd__buf_1
+XFILLER_512_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_432_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_569_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_88_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_350_0_u_core.clock clkbuf_9_351_0_u_core.clock/A VGND VGND VPWR VPWR _78149_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_530_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48949_ _49066_/A _48937_/B VGND VGND VPWR VPWR _48949_/X sky130_fd_sc_hd__or2_2
+XFILLER_367_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67783_ _58019_/A _68268_/B VGND VGND VPWR VPWR _67783_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_710_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79769_ _79772_/CLK _79769_/D VGND VGND VPWR VPWR _57161_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_586_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_487_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_154_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64995_ _56584_/A _65682_/B VGND VGND VPWR VPWR _64995_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_389_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_97_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38702_ _38699_/X _38701_/Y VGND VGND VPWR VPWR _80314_/D sky130_fd_sc_hd__nand2_2
+XFILLER_6_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69522_ _64736_/A _69522_/B VGND VGND VPWR VPWR _69555_/B sky130_fd_sc_hd__nor2_2
+XFILLER_332_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_189_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66734_ _66734_/A _66734_/B _66733_/Y VGND VGND VPWR VPWR _66735_/C sky130_fd_sc_hd__nor3_2
+XFILLER_404_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_26_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39682_ _39680_/X _39681_/Y VGND VGND VPWR VPWR _39682_/Y sky130_fd_sc_hd__nand2_2
+X_51960_ _77672_/Q _51950_/B VGND VGND VPWR VPWR _51960_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_69_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63946_ _55825_/A _59008_/B VGND VGND VPWR VPWR _63947_/C sky130_fd_sc_hd__nor2_2
+XFILLER_569_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_229_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_233_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_465_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_332_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38633_ _38631_/X _38633_/B VGND VGND VPWR VPWR _38633_/Y sky130_fd_sc_hd__nand2_2
+X_50911_ _50908_/X VGND VGND VPWR VPWR _50933_/B sky130_fd_sc_hd__buf_1
+XFILLER_484_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69453_ _69313_/A _69451_/Y _69453_/C VGND VGND VPWR VPWR _69453_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_623_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66665_ _57393_/A _67327_/B VGND VGND VPWR VPWR _66665_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_465_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51891_ _51891_/A VGND VGND VPWR VPWR _51910_/B sky130_fd_sc_hd__buf_1
+XFILLER_484_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_645_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63877_ _63877_/A _63877_/B VGND VGND VPWR VPWR _63878_/C sky130_fd_sc_hd__nor2_2
+XFILLER_446_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_123_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_603_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68404_ _65740_/A VGND VGND VPWR VPWR _68916_/A sky130_fd_sc_hd__buf_1
+XFILLER_61_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53630_ _77233_/Q _53630_/B VGND VGND VPWR VPWR _53633_/A sky130_fd_sc_hd__nand2_2
+XFILLER_443_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_3055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65616_ _65616_/A _65616_/B VGND VGND VPWR VPWR _65616_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_387_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50842_ _50785_/A _50817_/A VGND VGND VPWR VPWR _50842_/X sky130_fd_sc_hd__or2_2
+X_38564_ _38550_/A _38579_/B _66240_/A VGND VGND VPWR VPWR _38565_/B sky130_fd_sc_hd__nand3_2
+X_62828_ _62350_/A _62828_/B _62828_/C VGND VGND VPWR VPWR _62828_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_0_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69384_ _39745_/C _64262_/X VGND VGND VPWR VPWR _69384_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_6_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66596_ _66596_/A _66274_/X VGND VGND VPWR VPWR _66596_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_721_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_466_0_u_core.clock clkbuf_9_467_0_u_core.clock/A VGND VGND VPWR VPWR _80841_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_420_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_705_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_622_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_3088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_506_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80613_ _81005_/CLK _80613_/D VGND VGND VPWR VPWR _80613_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_16_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68335_ _67809_/X _68335_/B VGND VGND VPWR VPWR _68336_/C sky130_fd_sc_hd__nor2_2
+XFILLER_285_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_57_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53561_ _53505_/A _53579_/B VGND VGND VPWR VPWR _53562_/B sky130_fd_sc_hd__or2_2
+XFILLER_59_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_587_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65547_ _65526_/Y _65547_/B VGND VGND VPWR VPWR _65548_/B sky130_fd_sc_hd__nor2_2
+XFILLER_65_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38495_ _38474_/X _38509_/B _68709_/A VGND VGND VPWR VPWR _38496_/B sky130_fd_sc_hd__nand3_2
+XFILLER_541_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50773_ _50830_/A _50779_/B VGND VGND VPWR VPWR _50774_/B sky130_fd_sc_hd__or2_2
+XFILLER_253_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62759_ _62363_/X _62759_/B _62758_/Y VGND VGND VPWR VPWR _62760_/B sky130_fd_sc_hd__nor3_2
+XFILLER_598_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_263_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55300_ _69877_/A _55248_/B VGND VGND VPWR VPWR _55321_/A sky130_fd_sc_hd__or2_2
+XFILLER_588_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52512_ _60772_/A _52518_/B VGND VGND VPWR VPWR _52512_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_623_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_241_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_506_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56280_ _56295_/A VGND VGND VPWR VPWR _57333_/A sky130_fd_sc_hd__buf_1
+XFILLER_298_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_280_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80544_ _80543_/CLK _80544_/D VGND VGND VPWR VPWR _80544_/Q sky130_fd_sc_hd__dfxtp_4
+X_68266_ _68431_/A _68266_/B _68265_/Y VGND VGND VPWR VPWR _68266_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_678_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53492_ _53489_/Y _53492_/B VGND VGND VPWR VPWR _53492_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_575_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65478_ _65478_/A _65123_/B VGND VGND VPWR VPWR _65478_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_478_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_129_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_396_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_90_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55231_ _42789_/A _44978_/B _79456_/Q VGND VGND VPWR VPWR _55232_/B sky130_fd_sc_hd__and3_2
+X_67217_ _67217_/A _67217_/B _67216_/Y VGND VGND VPWR VPWR _67217_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_502_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_90_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52443_ _52358_/A _52439_/X VGND VGND VPWR VPWR _52444_/B sky130_fd_sc_hd__or2_2
+XPHY_25409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64429_ _64119_/A VGND VGND VPWR VPWR _66051_/A sky130_fd_sc_hd__buf_1
+XFILLER_12_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80475_ _80508_/CLK _76300_/Y VGND VGND VPWR VPWR _80475_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_459_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_322_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68197_ _68197_/A _68360_/B VGND VGND VPWR VPWR _68197_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_519_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_478_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_205_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_55_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_675_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39116_ _76083_/A VGND VGND VPWR VPWR _39119_/A sky130_fd_sc_hd__buf_1
+XFILLER_617_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_558_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55162_ _55158_/X _55161_/Y VGND VGND VPWR VPWR _76839_/D sky130_fd_sc_hd__or2_2
+X_67148_ _80255_/Q _67148_/B VGND VGND VPWR VPWR _67150_/B sky130_fd_sc_hd__nor2_2
+XFILLER_279_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_33_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52374_ _52374_/A _52373_/X VGND VGND VPWR VPWR _52374_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_719_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_240_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_437_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_374_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_138_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_656_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54113_ _54113_/A _54113_/B VGND VGND VPWR VPWR _77107_/D sky130_fd_sc_hd__nand2_2
+XFILLER_21_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_437_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39047_ _38688_/A _39047_/B VGND VGND VPWR VPWR _39049_/A sky130_fd_sc_hd__or2_2
+XFILLER_437_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51325_ _51323_/Y _51325_/B VGND VGND VPWR VPWR _77841_/D sky130_fd_sc_hd__nand2_2
+XPHY_14229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59970_ _59002_/A VGND VGND VPWR VPWR _59973_/A sky130_fd_sc_hd__buf_1
+X_55093_ _70706_/A _70019_/A _55093_/C VGND VGND VPWR VPWR _55094_/A sky130_fd_sc_hd__or3_2
+XFILLER_652_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67079_ _81087_/Q _66425_/X VGND VGND VPWR VPWR _67080_/C sky130_fd_sc_hd__nor2_2
+XFILLER_415_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_705_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_359_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_370_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58921_ _58990_/A VGND VGND VPWR VPWR _58921_/X sky130_fd_sc_hd__buf_1
+XFILLER_359_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54044_ _53926_/A _54044_/B VGND VGND VPWR VPWR _54045_/B sky130_fd_sc_hd__or2_2
+XFILLER_571_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_712_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51256_ _49363_/A VGND VGND VPWR VPWR _51256_/X sky130_fd_sc_hd__buf_1
+XFILLER_118_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70090_ _70090_/A VGND VGND VPWR VPWR _70093_/A sky130_fd_sc_hd__inv_8
+XFILLER_307_161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_352_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50207_ _78133_/Q _50201_/B VGND VGND VPWR VPWR _50209_/A sky130_fd_sc_hd__nand2_2
+XFILLER_317_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81027_ _80809_/CLK _74053_/Y VGND VGND VPWR VPWR _81027_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_66_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58852_ _45107_/A _46650_/B VGND VGND VPWR VPWR _58877_/A sky130_fd_sc_hd__nand2_2
+XFILLER_323_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_532_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51187_ _51187_/A _51186_/X VGND VGND VPWR VPWR _51187_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_157_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_1411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_435_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_133_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57803_ _57731_/A _57803_/B _57802_/Y VGND VGND VPWR VPWR _57807_/B sky130_fd_sc_hd__nor3_2
+XPHY_30239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50138_ _50138_/A _50138_/B VGND VGND VPWR VPWR _78154_/D sky130_fd_sc_hd__nand2_2
+XFILLER_372_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39949_ _39949_/A _39964_/B _79999_/Q VGND VGND VPWR VPWR _39949_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_612_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_484_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58783_ _40132_/C _56404_/X VGND VGND VPWR VPWR _58783_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_164_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_2967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55995_ _55988_/A _55995_/B _55994_/Y VGND VGND VPWR VPWR _55996_/B sky130_fd_sc_hd__or3_2
+XFILLER_251_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_368_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_667_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72800_ _72677_/A _72810_/B VGND VGND VPWR VPWR _72800_/X sky130_fd_sc_hd__or2_2
+XFILLER_431_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_66_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_270_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57734_ _67332_/A _57656_/X VGND VGND VPWR VPWR _57734_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_76_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42960_ _42949_/A _42968_/B _66323_/A VGND VGND VPWR VPWR _42961_/B sky130_fd_sc_hd__nand3_2
+XFILLER_287_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50069_ _50012_/A _50084_/B VGND VGND VPWR VPWR _50069_/X sky130_fd_sc_hd__or2_2
+X_54946_ _54946_/A _54955_/B VGND VGND VPWR VPWR _54946_/X sky130_fd_sc_hd__or2_2
+XFILLER_628_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73780_ _73780_/A _73779_/Y VGND VGND VPWR VPWR _73780_/Y sky130_fd_sc_hd__nand2_2
+XPHY_7434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70992_ _70906_/C _70992_/B VGND VGND VPWR VPWR _71003_/B sky130_fd_sc_hd__nor2_2
+XFILLER_62_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_44_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_196_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_483_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_3100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41911_ wbs_stb_i wbs_cyc_i wbs_adr_i[8] _55959_/A VGND VGND VPWR VPWR _41912_/C
++ sky130_fd_sc_hd__nand4_2
+XFILLER_212_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72731_ _72188_/A _72728_/B VGND VGND VPWR VPWR _72735_/A sky130_fd_sc_hd__or2_2
+XFILLER_291_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57665_ _57665_/A VGND VGND VPWR VPWR _57666_/B sky130_fd_sc_hd__buf_1
+XFILLER_87_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54877_ _54961_/A _54861_/A VGND VGND VPWR VPWR _54877_/X sky130_fd_sc_hd__or2_2
+X_42891_ _42891_/A _42890_/Y VGND VGND VPWR VPWR _79442_/D sky130_fd_sc_hd__nand2_2
+XFILLER_229_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_444_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59404_ _77150_/Q _59404_/B VGND VGND VPWR VPWR _59405_/C sky130_fd_sc_hd__nor2_2
+XFILLER_229_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56616_ _56616_/A VGND VGND VPWR VPWR _56617_/A sky130_fd_sc_hd__buf_1
+XFILLER_309_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44630_ _72040_/A _44457_/X VGND VGND VPWR VPWR _44631_/B sky130_fd_sc_hd__nor2_2
+XFILLER_268_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_75450_ _75450_/A VGND VGND VPWR VPWR _76093_/A sky130_fd_sc_hd__buf_1
+XFILLER_422_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53828_ _53837_/A VGND VGND VPWR VPWR _53840_/B sky130_fd_sc_hd__buf_1
+X_41842_ _46670_/A _41813_/X _41841_/Y _41815_/X VGND VGND VPWR VPWR _41843_/B sky130_fd_sc_hd__o22a_4
+XPHY_39259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72662_ _72662_/A _72662_/B VGND VGND VPWR VPWR _72662_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_418_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_606_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57596_ _66975_/A _57517_/B VGND VGND VPWR VPWR _57596_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_406_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_28_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_452_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_381_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_228_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_483_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74401_ _74667_/A _74412_/B VGND VGND VPWR VPWR _74407_/A sky130_fd_sc_hd__or2_2
+XFILLER_452_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71613_ _71613_/A VGND VGND VPWR VPWR _71640_/B sky130_fd_sc_hd__buf_1
+X_59335_ _59335_/A VGND VGND VPWR VPWR _59496_/B sky130_fd_sc_hd__buf_1
+XFILLER_2_2997 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44561_ _71053_/A VGND VGND VPWR VPWR _44562_/A sky130_fd_sc_hd__buf_1
+X_56547_ _75817_/C _56346_/B VGND VGND VPWR VPWR _56547_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_405_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_328_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75381_ _75363_/A VGND VGND VPWR VPWR _75382_/B sky130_fd_sc_hd__buf_1
+XFILLER_543_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41773_ wbs_dat_i[9] VGND VGND VPWR VPWR _46271_/A sky130_fd_sc_hd__inv_8
+XFILLER_125_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53759_ _53757_/Y _53758_/X VGND VGND VPWR VPWR _77198_/D sky130_fd_sc_hd__nand2_2
+XFILLER_90_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72593_ _72584_/A _72592_/X _81390_/Q VGND VGND VPWR VPWR _72594_/B sky130_fd_sc_hd__nand3_2
+XPHY_28046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_264_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46300_ _79021_/Q _46291_/B VGND VGND VPWR VPWR _46300_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_244_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77120_ _77120_/CLK _77120_/D VGND VGND VPWR VPWR _54049_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_243_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43512_ _55304_/A _44907_/B VGND VGND VPWR VPWR _43513_/B sky130_fd_sc_hd__or2_2
+XFILLER_229_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_598_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74332_ _74600_/A _74328_/X VGND VGND VPWR VPWR _74332_/X sky130_fd_sc_hd__or2_2
+XPHY_37857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40724_ _40670_/A VGND VGND VPWR VPWR _40724_/X sky130_fd_sc_hd__buf_1
+XPHY_27334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47280_ _47569_/B VGND VGND VPWR VPWR _60054_/A sky130_fd_sc_hd__buf_1
+XFILLER_188_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59266_ _59266_/A VGND VGND VPWR VPWR _59267_/A sky130_fd_sc_hd__buf_1
+XFILLER_53_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71544_ _71235_/X VGND VGND VPWR VPWR _71544_/X sky130_fd_sc_hd__buf_1
+XFILLER_700_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56478_ _56478_/A _56478_/B VGND VGND VPWR VPWR _56495_/B sky130_fd_sc_hd__nor2_2
+X_44492_ _44539_/A VGND VGND VPWR VPWR _44536_/A sky130_fd_sc_hd__buf_1
+XPHY_27345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_426_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_6_15_0_u_core.clock clkbuf_5_7_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_31_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_305_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_25_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58217_ _58217_/A _58217_/B VGND VGND VPWR VPWR _58218_/C sky130_fd_sc_hd__nor2_2
+X_46231_ _46561_/B _46231_/B VGND VGND VPWR VPWR _46232_/B sky130_fd_sc_hd__nor2_2
+X_77051_ _77060_/CLK _77051_/D VGND VGND VPWR VPWR _60173_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_199_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_224_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43443_ _43440_/X _43442_/Y VGND VGND VPWR VPWR _79348_/D sky130_fd_sc_hd__nand2_2
+XFILLER_658_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74263_ _74260_/X _74263_/B VGND VGND VPWR VPWR _80979_/D sky130_fd_sc_hd__nand2_2
+XPHY_16110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55429_ _55429_/A _55428_/X VGND VGND VPWR VPWR _55429_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_169_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40655_ _40386_/A _40658_/B VGND VGND VPWR VPWR _40655_/X sky130_fd_sc_hd__or2_2
+XPHY_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59197_ _61598_/A VGND VGND VPWR VPWR _63879_/B sky130_fd_sc_hd__buf_1
+XFILLER_442_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71475_ _75485_/A VGND VGND VPWR VPWR _73172_/A sky130_fd_sc_hd__buf_1
+XFILLER_319_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_90_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_3095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76002_ _76012_/A _76002_/B _80545_/Q VGND VGND VPWR VPWR _76002_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_652_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73214_ _75025_/A _73219_/B VGND VGND VPWR VPWR _73214_/X sky130_fd_sc_hd__or2_2
+XFILLER_262_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46162_ _46141_/X _46161_/Y VGND VGND VPWR VPWR _46162_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_537_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58148_ _68125_/A _58222_/B VGND VGND VPWR VPWR _58150_/B sky130_fd_sc_hd__nor2_2
+X_70426_ _70384_/A _70426_/B _70425_/Y VGND VGND VPWR VPWR _70426_/X sky130_fd_sc_hd__or3_2
+XFILLER_494_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43374_ _43373_/X _43366_/X _58700_/A VGND VGND VPWR VPWR _43374_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_373_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74194_ _74192_/X _74193_/Y VGND VGND VPWR VPWR _74194_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40586_ _40586_/A _40572_/B VGND VGND VPWR VPWR _40586_/X sky130_fd_sc_hd__or2_2
+XPHY_25954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_201_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45113_ _45113_/A VGND VGND VPWR VPWR _45207_/A sky130_fd_sc_hd__buf_1
+XPHY_25976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_613_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42325_ _46558_/A _42325_/B _42325_/C VGND VGND VPWR VPWR _42336_/A sky130_fd_sc_hd__nor3_2
+XFILLER_106_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_200_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73145_ _74971_/A _73135_/B VGND VGND VPWR VPWR _73145_/X sky130_fd_sc_hd__or2_2
+XPHY_25987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_258_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_11_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58079_ _58307_/A _58079_/B _58078_/Y VGND VGND VPWR VPWR _58087_/A sky130_fd_sc_hd__nor3_2
+XFILLER_373_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46093_ _43034_/Y _43035_/A _43029_/Y _43037_/B VGND VGND VPWR VPWR _46191_/A sky130_fd_sc_hd__o22a_4
+XFILLER_200_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70357_ _70384_/A _70357_/B _70357_/C VGND VGND VPWR VPWR _70357_/X sky130_fd_sc_hd__or3_2
+XFILLER_455_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_715_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_297_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60110_ _60110_/A _60110_/B _60110_/C VGND VGND VPWR VPWR _60118_/B sky130_fd_sc_hd__nor3_2
+XFILLER_156_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49921_ _49921_/A _49920_/X VGND VGND VPWR VPWR _49921_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_22_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45044_ _45204_/A VGND VGND VPWR VPWR _45045_/A sky130_fd_sc_hd__buf_1
+XPHY_32120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42256_ wbs_dat_i[24] VGND VGND VPWR VPWR _42256_/Y sky130_fd_sc_hd__inv_8
+XFILLER_550_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61090_ _60766_/X _61088_/Y _61090_/C VGND VGND VPWR VPWR _61091_/C sky130_fd_sc_hd__nor3_2
+XFILLER_67_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73076_ _71828_/B _73090_/B VGND VGND VPWR VPWR _73076_/X sky130_fd_sc_hd__or2_2
+X_77953_ _77956_/CLK _77953_/D VGND VGND VPWR VPWR _62301_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_49_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70288_ _70285_/X _70287_/Y VGND VGND VPWR VPWR _70288_/X sky130_fd_sc_hd__xor2_2
+XPHY_32142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_136_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41207_ _41205_/X _41207_/B VGND VGND VPWR VPWR _41207_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60041_ _60833_/A VGND VGND VPWR VPWR _60046_/A sky130_fd_sc_hd__buf_1
+XFILLER_373_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_648_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76904_ _76911_/CLK _76904_/D VGND VGND VPWR VPWR _59650_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_338_1377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72027_ _72036_/C _72027_/B VGND VGND VPWR VPWR _72027_/X sky130_fd_sc_hd__and2_2
+XFILLER_171_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49852_ _49850_/Y _49851_/X VGND VGND VPWR VPWR _78230_/D sky130_fd_sc_hd__nand2_2
+XFILLER_488_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42187_ _42187_/A _42187_/B VGND VGND VPWR VPWR _79505_/D sky130_fd_sc_hd__nor2_2
+XFILLER_238_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77884_ _78384_/CLK _51146_/Y VGND VGND VPWR VPWR _62874_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_119_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48803_ _48803_/A VGND VGND VPWR VPWR _48804_/B sky130_fd_sc_hd__buf_1
+XFILLER_652_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79623_ _79635_/CLK _79623_/D VGND VGND VPWR VPWR _41424_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_112_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41138_ _39238_/A VGND VGND VPWR VPWR _41383_/A sky130_fd_sc_hd__buf_1
+XPHY_31474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76835_ _76843_/CLK _76835_/D VGND VGND VPWR VPWR _76835_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_724_3109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_488_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49783_ _78247_/Q _49789_/B VGND VGND VPWR VPWR _49783_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_49_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_390_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46995_ _46018_/A VGND VGND VPWR VPWR _47023_/A sky130_fd_sc_hd__buf_1
+XPHY_31496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_431_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_687_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63800_ _63800_/A _63799_/Y VGND VGND VPWR VPWR _63801_/B sky130_fd_sc_hd__nor2_2
+XFILLER_691_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_140_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48734_ _48733_/X VGND VGND VPWR VPWR _48742_/B sky130_fd_sc_hd__buf_1
+XPHY_9392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79554_ _79559_/CLK _41844_/Y _41837_/X VGND VGND VPWR VPWR _79554_/Q sky130_fd_sc_hd__dfrtp_4
+XFILLER_79_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45946_ _45946_/A _45946_/B _45946_/C VGND VGND VPWR VPWR _79062_/D sky130_fd_sc_hd__nor3_2
+X_41069_ _41067_/X _41068_/Y VGND VGND VPWR VPWR _79713_/D sky130_fd_sc_hd__nand2_2
+XPHY_30784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64780_ _64613_/X _64778_/Y _64780_/C VGND VGND VPWR VPWR _64780_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_114_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76766_ _76768_/CLK _55822_/Y VGND VGND VPWR VPWR _61684_/A sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_2_1_0_u_core.clock clkbuf_1_0_3_u_core.clock/X VGND VGND VPWR VPWR clkbuf_2_1_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_20261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61992_ _59626_/A VGND VGND VPWR VPWR _62002_/A sky130_fd_sc_hd__buf_1
+XFILLER_80_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73978_ _73976_/X _73977_/Y VGND VGND VPWR VPWR _81042_/D sky130_fd_sc_hd__nand2_2
+XFILLER_132_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_286_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78505_ _78514_/CLK _48782_/Y VGND VGND VPWR VPWR _78505_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_227_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_507_3030 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63731_ _63731_/A _59221_/B VGND VGND VPWR VPWR _63731_/Y sky130_fd_sc_hd__nor2_2
+X_75717_ _75716_/X VGND VGND VPWR VPWR _75726_/A sky130_fd_sc_hd__buf_1
+XFILLER_456_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60943_ _52445_/A _60943_/B VGND VGND VPWR VPWR _60944_/C sky130_fd_sc_hd__nor2_2
+X_48665_ _63283_/A _48650_/B VGND VGND VPWR VPWR _48665_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_529_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_72929_ _72952_/A VGND VGND VPWR VPWR _72948_/B sky130_fd_sc_hd__buf_1
+XFILLER_93_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79485_ _79559_/CLK _79485_/D VGND VGND VPWR VPWR _42417_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_456_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_384_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45877_ _45767_/B _45877_/B _45885_/C VGND VGND VPWR VPWR _45878_/C sky130_fd_sc_hd__nor3_2
+X_76697_ _79302_/CLK _76697_/D VGND VGND VPWR VPWR _70527_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_255_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47616_ _47614_/Y _47615_/X VGND VGND VPWR VPWR _78801_/D sky130_fd_sc_hd__nand2_2
+XFILLER_607_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66450_ _81371_/Q _66287_/B VGND VGND VPWR VPWR _66451_/C sky130_fd_sc_hd__nor2_2
+X_78436_ _77584_/CLK _49048_/Y VGND VGND VPWR VPWR _63945_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_561_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44828_ _44324_/A VGND VGND VPWR VPWR _44883_/B sky130_fd_sc_hd__buf_1
+XFILLER_95_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75648_ _75651_/A _75651_/B _75648_/C VGND VGND VPWR VPWR _75649_/B sky130_fd_sc_hd__nand3_2
+X_63662_ _78401_/Q _59054_/X VGND VGND VPWR VPWR _63663_/C sky130_fd_sc_hd__nor2_2
+XFILLER_364_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_23_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60874_ _58910_/A VGND VGND VPWR VPWR _61040_/A sky130_fd_sc_hd__buf_1
+XFILLER_304_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48596_ _48474_/X _48590_/B VGND VGND VPWR VPWR _48596_/X sky130_fd_sc_hd__or2_2
+XFILLER_466_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_1_3186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_3053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_78_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_408_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65401_ _65893_/A _65401_/B _65400_/Y VGND VGND VPWR VPWR _65420_/A sky130_fd_sc_hd__nor3_2
+XFILLER_424_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62613_ _62613_/A _62613_/B VGND VGND VPWR VPWR _62614_/C sky130_fd_sc_hd__nor2_2
+XFILLER_282_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_599_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47547_ _63795_/A _47544_/B VGND VGND VPWR VPWR _47550_/A sky130_fd_sc_hd__nand2_2
+X_66381_ _66381_/A VGND VGND VPWR VPWR _67043_/A sky130_fd_sc_hd__buf_1
+XFILLER_208_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78367_ _78523_/CLK _78367_/D VGND VGND VPWR VPWR _49303_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_130_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44759_ _44324_/A VGND VGND VPWR VPWR _44759_/X sky130_fd_sc_hd__buf_1
+XFILLER_93_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63593_ _78617_/Q _63295_/X VGND VGND VPWR VPWR _63594_/C sky130_fd_sc_hd__nor2_2
+XFILLER_165_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75579_ _76217_/A VGND VGND VPWR VPWR _75944_/A sky130_fd_sc_hd__buf_1
+XFILLER_424_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_624_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_381_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_245_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_542_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_507_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68120_ _68120_/A _67621_/X VGND VGND VPWR VPWR _68122_/B sky130_fd_sc_hd__nor2_2
+XFILLER_408_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_263_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65332_ _69455_/A VGND VGND VPWR VPWR _65351_/A sky130_fd_sc_hd__buf_1
+XFILLER_679_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77318_ _77318_/CLK _77318_/D VGND VGND VPWR VPWR _53301_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_444_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38280_ _38278_/X _38279_/Y VGND VGND VPWR VPWR _38280_/Y sky130_fd_sc_hd__nand2_2
+X_62544_ _78194_/Q _62544_/B VGND VGND VPWR VPWR _62545_/C sky130_fd_sc_hd__nor2_2
+XFILLER_50_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_225_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_35_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_108_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47478_ _47446_/A _47481_/B VGND VGND VPWR VPWR _47479_/B sky130_fd_sc_hd__or2_2
+X_78298_ _78301_/CLK _78298_/D VGND VGND VPWR VPWR _62582_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_241_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_425_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_395_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_140_0_u_core.clock clkbuf_8_70_0_u_core.clock/X VGND VGND VPWR VPWR _76689_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_677_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49217_ _49099_/A _49208_/B VGND VGND VPWR VPWR _49217_/X sky130_fd_sc_hd__or2_2
+XFILLER_143_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_68051_ _67550_/A _68051_/B _68051_/C VGND VGND VPWR VPWR _68059_/B sky130_fd_sc_hd__nor3_2
+XFILLER_594_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46429_ _46408_/Y _46428_/Y VGND VGND VPWR VPWR _46429_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_677_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65263_ _64748_/A _65263_/B _65263_/C VGND VGND VPWR VPWR _65264_/C sky130_fd_sc_hd__nor3_2
+XFILLER_206_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77249_ _77120_/CLK _53572_/Y VGND VGND VPWR VPWR _77249_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_304_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_222_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62475_ _61854_/A _62473_/Y _62474_/Y VGND VGND VPWR VPWR _62475_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_477_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_555_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_337_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67002_ _67658_/A _67000_/Y _67002_/C VGND VGND VPWR VPWR _67002_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_692_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_91_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64214_ _69313_/A _64211_/Y _64214_/C VGND VGND VPWR VPWR _64214_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_309_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_492_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_538_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61426_ _77579_/Q _61113_/X VGND VGND VPWR VPWR _61427_/C sky130_fd_sc_hd__nor2_2
+X_49148_ _78410_/Q _49140_/X VGND VGND VPWR VPWR _49148_/Y sky130_fd_sc_hd__nand2_2
+X_80260_ _80259_/CLK _80260_/D VGND VGND VPWR VPWR _80260_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_379_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65194_ _65194_/A _65194_/B _65193_/Y VGND VGND VPWR VPWR _65195_/C sky130_fd_sc_hd__nor3_2
+XFILLER_473_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_202_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_520_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_726_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_206_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_558_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_15_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_297_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64145_ _64145_/A _64704_/B VGND VGND VPWR VPWR _64146_/C sky130_fd_sc_hd__nor2_2
+XFILLER_11_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61357_ _61357_/A _61357_/B VGND VGND VPWR VPWR _76739_/D sky130_fd_sc_hd__nor2_2
+XFILLER_631_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80191_ _80187_/CLK _39178_/Y VGND VGND VPWR VPWR _57661_/A sky130_fd_sc_hd__dfxtp_4
+X_49079_ _49075_/Y _49078_/X VGND VGND VPWR VPWR _49079_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_102_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_699_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51110_ _77893_/Q _51110_/B VGND VGND VPWR VPWR _51112_/A sky130_fd_sc_hd__nand2_2
+XFILLER_391_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_352_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60308_ _59502_/X VGND VGND VPWR VPWR _60311_/A sky130_fd_sc_hd__buf_1
+XFILLER_254_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_301_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52090_ _52090_/A _52087_/B VGND VGND VPWR VPWR _52092_/A sky130_fd_sc_hd__nand2_2
+Xclkbuf_9_256_0_u_core.clock clkbuf_8_128_0_u_core.clock/X VGND VGND VPWR VPWR _77278_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_68953_ _64579_/X VGND VGND VPWR VPWR _69235_/B sky130_fd_sc_hd__buf_1
+X_64076_ _64085_/A VGND VGND VPWR VPWR _64249_/A sky130_fd_sc_hd__inv_8
+XFILLER_30_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_89_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61288_ _60811_/A _61286_/Y _61288_/C VGND VGND VPWR VPWR _61289_/C sky130_fd_sc_hd__nor3_2
+XFILLER_391_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_85_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_506_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51041_ _51041_/A _51040_/X VGND VGND VPWR VPWR _51041_/Y sky130_fd_sc_hd__nand2_2
+X_67904_ _67744_/A _67902_/Y _67904_/C VGND VGND VPWR VPWR _67904_/Y sky130_fd_sc_hd__nor3_2
+X_63027_ _62400_/A _63027_/B _63026_/Y VGND VGND VPWR VPWR _63033_/B sky130_fd_sc_hd__nor3_2
+XFILLER_144_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60239_ _60390_/A _60239_/B _60239_/C VGND VGND VPWR VPWR _60240_/C sky130_fd_sc_hd__nor3_2
+XFILLER_478_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68884_ _68717_/A _68884_/B _68884_/C VGND VGND VPWR VPWR _68884_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_514_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_176_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39803_ _39803_/A _39802_/Y VGND VGND VPWR VPWR _80033_/D sky130_fd_sc_hd__nand2_2
+XFILLER_236_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67835_ _67667_/A _67835_/B _67835_/C VGND VGND VPWR VPWR _67836_/C sky130_fd_sc_hd__nor3_2
+XFILLER_232_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_639_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_83_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54800_ _76921_/Q _54803_/B VGND VGND VPWR VPWR _54800_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_315_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_647_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39734_ _38621_/A _39888_/B VGND VGND VPWR VPWR _39763_/A sky130_fd_sc_hd__or2_2
+XFILLER_83_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55780_ _61058_/A _55768_/X VGND VGND VPWR VPWR _55780_/Y sky130_fd_sc_hd__nand2_2
+X_67766_ _67766_/A _67108_/X VGND VGND VPWR VPWR _67766_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_63_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52992_ _52992_/A _52991_/X VGND VGND VPWR VPWR _77398_/D sky130_fd_sc_hd__nand2_2
+X_64978_ _64816_/A _64977_/Y VGND VGND VPWR VPWR _64978_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_312_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_607_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_467_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_707_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69505_ _64879_/A _69497_/Y _69504_/Y VGND VGND VPWR VPWR _69505_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_213_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54731_ _54756_/B VGND VGND VPWR VPWR _54731_/X sky130_fd_sc_hd__buf_1
+XFILLER_273_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66717_ _65894_/A VGND VGND VPWR VPWR _66727_/A sky130_fd_sc_hd__buf_1
+XFILLER_562_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51943_ _51943_/A _51942_/X VGND VGND VPWR VPWR _77677_/D sky130_fd_sc_hd__nand2_2
+XFILLER_69_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39665_ _39665_/A VGND VGND VPWR VPWR _39677_/B sky130_fd_sc_hd__buf_1
+XFILLER_44_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63929_ _59082_/A _63925_/Y _63928_/Y VGND VGND VPWR VPWR _63929_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_635_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67697_ _66873_/A VGND VGND VPWR VPWR _67876_/A sky130_fd_sc_hd__buf_1
+XFILLER_386_2875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_328_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_73_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38616_ _38616_/A _38616_/B VGND VGND VPWR VPWR _38616_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_113_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57450_ _56252_/X VGND VGND VPWR VPWR _57450_/X sky130_fd_sc_hd__buf_1
+X_69436_ _75065_/C _69158_/B VGND VGND VPWR VPWR _69438_/B sky130_fd_sc_hd__nor2_2
+XFILLER_462_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54662_ _54662_/A _54661_/X VGND VGND VPWR VPWR _54662_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_650_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66648_ _66162_/A _66647_/Y VGND VGND VPWR VPWR _66690_/B sky130_fd_sc_hd__nor2_2
+XFILLER_2_1515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_525_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51874_ _51933_/A _51882_/B VGND VGND VPWR VPWR _51875_/B sky130_fd_sc_hd__or2_2
+X_39596_ _39594_/X _39595_/Y VGND VGND VPWR VPWR _80084_/D sky130_fd_sc_hd__nand2_2
+XFILLER_363_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_26_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_233_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56401_ _56400_/X VGND VGND VPWR VPWR _56402_/B sky130_fd_sc_hd__buf_1
+XANTENNA_507 _65251_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_521_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53613_ _53613_/A _53612_/X VGND VGND VPWR VPWR _77237_/D sky130_fd_sc_hd__nand2_2
+XFILLER_260_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_651_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38547_ _38544_/X _38547_/B VGND VGND VPWR VPWR _38547_/Y sky130_fd_sc_hd__nand2_2
+X_50825_ _62455_/A _50822_/B VGND VGND VPWR VPWR _50828_/A sky130_fd_sc_hd__nand2_2
+X_57381_ _57540_/A _57380_/Y VGND VGND VPWR VPWR _57381_/Y sky130_fd_sc_hd__nor2_2
+XANTENNA_518 _64852_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_208_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69367_ _69367_/A _64724_/B VGND VGND VPWR VPWR _69368_/C sky130_fd_sc_hd__nor2_2
+XFILLER_423_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_96_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_214_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54593_ _54590_/Y _54592_/X VGND VGND VPWR VPWR _54593_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_285_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66579_ _66427_/A _66579_/B _66579_/C VGND VGND VPWR VPWR _66580_/C sky130_fd_sc_hd__nor3_2
+XFILLER_282_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_529 _66859_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_96_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_705_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_341_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59120_ _61597_/A VGND VGND VPWR VPWR _59390_/A sky130_fd_sc_hd__buf_1
+XFILLER_225_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_96_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56332_ _56332_/A VGND VGND VPWR VPWR _56333_/B sky130_fd_sc_hd__buf_1
+XPHY_3926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68318_ _68318_/A _68156_/B VGND VGND VPWR VPWR _68320_/B sky130_fd_sc_hd__nor2_2
+XFILLER_241_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53544_ _53544_/A _53543_/X VGND VGND VPWR VPWR _53544_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_298_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_359_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38478_ _38478_/A _38466_/X VGND VGND VPWR VPWR _38478_/X sky130_fd_sc_hd__or2_2
+X_50756_ _77989_/Q _50756_/B VGND VGND VPWR VPWR _50756_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_39_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69298_ _69160_/A _69296_/Y _69297_/Y VGND VGND VPWR VPWR _69298_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_408_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_224_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_263_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_243_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59051_ _59355_/A VGND VGND VPWR VPWR _59052_/B sky130_fd_sc_hd__buf_1
+XFILLER_241_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_675_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_587_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56263_ _58837_/A _56250_/Y _56262_/Y VGND VGND VPWR VPWR _56263_/Y sky130_fd_sc_hd__nor3_2
+X_80527_ _80685_/CLK _80527_/D VGND VGND VPWR VPWR _76064_/C sky130_fd_sc_hd__dfxtp_4
+X_68249_ _65780_/A VGND VGND VPWR VPWR _68249_/X sky130_fd_sc_hd__buf_1
+XFILLER_548_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53475_ _53475_/A _53474_/X VGND VGND VPWR VPWR _53475_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_161_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_298_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50687_ _50658_/A _50677_/X VGND VGND VPWR VPWR _50687_/X sky130_fd_sc_hd__or2_2
+XFILLER_658_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_224_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_3071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58002_ _58002_/A _58164_/B VGND VGND VPWR VPWR _58002_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_558_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_529_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_1478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55214_ _55214_/A _55115_/Y VGND VGND VPWR VPWR _55214_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_224_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40440_ _39174_/A VGND VGND VPWR VPWR _40441_/A sky130_fd_sc_hd__buf_1
+XFILLER_107_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52426_ _52341_/X _52400_/X VGND VGND VPWR VPWR _52426_/X sky130_fd_sc_hd__or2_2
+XPHY_25239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71260_ _74023_/A VGND VGND VPWR VPWR _39132_/A sky130_fd_sc_hd__buf_1
+XFILLER_306_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56194_ _44916_/A _44916_/B _55985_/A VGND VGND VPWR VPWR _56196_/B sky130_fd_sc_hd__nor3_2
+X_80458_ _80375_/CLK _80458_/D VGND VGND VPWR VPWR _80458_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_590_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_142_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70211_ _70211_/A VGND VGND VPWR VPWR _70211_/X sky130_fd_sc_hd__buf_1
+XPHY_14004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_127_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55145_ _41673_/B _55166_/B VGND VGND VPWR VPWR _55146_/B sky130_fd_sc_hd__and2_2
+XPHY_14015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40371_ _40514_/B VGND VGND VPWR VPWR _40372_/B sky130_fd_sc_hd__buf_1
+XFILLER_309_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52357_ _61112_/A _52357_/B VGND VGND VPWR VPWR _52359_/A sky130_fd_sc_hd__nand2_2
+XFILLER_544_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_154_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71191_ _70182_/A _71109_/B VGND VGND VPWR VPWR _71196_/B sky130_fd_sc_hd__nor2_2
+XFILLER_194_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_355_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_339_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80389_ _80384_/CLK _80389_/D VGND VGND VPWR VPWR _38385_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_456_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_355_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42110_ _79522_/Q _42094_/B VGND VGND VPWR VPWR _42110_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_159_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51308_ _51394_/A _51308_/B VGND VGND VPWR VPWR _51308_/X sky130_fd_sc_hd__or2_2
+XFILLER_182_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70142_ _70129_/X _70140_/Y VGND VGND VPWR VPWR _70143_/A sky130_fd_sc_hd__or2_2
+XPHY_14059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43090_ _43089_/Y _78960_/Q VGND VGND VPWR VPWR _43091_/B sky130_fd_sc_hd__or2_2
+X_59953_ _76930_/Q _59800_/B VGND VGND VPWR VPWR _59955_/B sky130_fd_sc_hd__nor2_2
+XFILLER_309_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55076_ _55076_/A _46870_/A VGND VGND VPWR VPWR _55078_/B sky130_fd_sc_hd__nor2_2
+XPHY_13325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52288_ _52288_/A _52288_/B VGND VGND VPWR VPWR _52288_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_279_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_434_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_374_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_49_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_452_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_159_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_469_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42041_ _44949_/A _42020_/B VGND VGND VPWR VPWR _42047_/B sky130_fd_sc_hd__nor2_2
+XPHY_12613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54027_ _53942_/A _54014_/X VGND VGND VPWR VPWR _54028_/B sky130_fd_sc_hd__or2_2
+X_58904_ _76917_/Q _63884_/B VGND VGND VPWR VPWR _58909_/B sky130_fd_sc_hd__nor2_2
+XFILLER_339_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_612_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51239_ _53059_/A VGND VGND VPWR VPWR _51289_/A sky130_fd_sc_hd__buf_1
+XFILLER_497_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74950_ _74950_/A _75054_/B VGND VGND VPWR VPWR _74950_/X sky130_fd_sc_hd__or2_2
+X_70073_ _69966_/X VGND VGND VPWR VPWR _72079_/A sky130_fd_sc_hd__inv_8
+XPHY_12624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59884_ _60039_/A _59881_/Y _59884_/C VGND VGND VPWR VPWR _59884_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_437_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_81_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_1131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_317_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_658_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_159_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73901_ _73898_/A _73894_/B _68390_/A VGND VGND VPWR VPWR _73902_/B sky130_fd_sc_hd__nand3_2
+XFILLER_472_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58835_ _69491_/A _58835_/B VGND VGND VPWR VPWR _58836_/C sky130_fd_sc_hd__nor2_2
+XFILLER_311_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_489_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_46_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74881_ _74863_/A VGND VGND VPWR VPWR _74882_/B sky130_fd_sc_hd__buf_1
+XFILLER_352_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45800_ _45800_/A _55870_/A VGND VGND VPWR VPWR _45805_/B sky130_fd_sc_hd__nor2_2
+XFILLER_231_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76620_ VGND VGND VPWR VPWR _76620_/HI la_data_out[116] sky130_fd_sc_hd__conb_1
+XFILLER_122_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73832_ _73821_/X _73828_/X _66097_/A VGND VGND VPWR VPWR _73833_/B sky130_fd_sc_hd__nand3_2
+XFILLER_669_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_131_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46780_ _46120_/B _46780_/B VGND VGND VPWR VPWR _46780_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_352_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58766_ _58833_/A _58766_/B _58766_/C VGND VGND VPWR VPWR _58770_/B sky130_fd_sc_hd__nor3_2
+XFILLER_311_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43992_ _43710_/B _43670_/X VGND VGND VPWR VPWR _43995_/B sky130_fd_sc_hd__nor2_2
+XFILLER_27_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55978_ _55964_/X _55978_/B VGND VGND VPWR VPWR _55978_/X sky130_fd_sc_hd__and2_2
+XPHY_11989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_1_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_172_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57717_ _57717_/A _57874_/B VGND VGND VPWR VPWR _57717_/Y sky130_fd_sc_hd__nor2_2
+X_45731_ _45668_/X VGND VGND VPWR VPWR _45731_/X sky130_fd_sc_hd__buf_2
+XFILLER_643_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76551_ VGND VGND VPWR VPWR _76551_/HI la_data_out[47] sky130_fd_sc_hd__conb_1
+XPHY_7253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42943_ _42940_/X _42943_/B VGND VGND VPWR VPWR _42943_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_75_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73763_ _73643_/A _73778_/B VGND VGND VPWR VPWR _73766_/A sky130_fd_sc_hd__or2_2
+XFILLER_428_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54929_ _59443_/A _54929_/B VGND VGND VPWR VPWR _54931_/A sky130_fd_sc_hd__nand2_2
+XPHY_39001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_150_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58697_ _69217_/A _58831_/B VGND VGND VPWR VPWR _58697_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_311_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70975_ _70975_/A VGND VGND VPWR VPWR _71814_/B sky130_fd_sc_hd__buf_1
+XFILLER_208_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_608_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75502_ _75502_/A VGND VGND VPWR VPWR _75503_/B sky130_fd_sc_hd__buf_1
+XFILLER_248_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_642_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_3050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48450_ _48450_/A _48449_/X VGND VGND VPWR VPWR _78589_/D sky130_fd_sc_hd__nand2_2
+XPHY_6552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72714_ _72700_/A _72714_/B _81358_/Q VGND VGND VPWR VPWR _72714_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_283_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79270_ _79302_/CLK _44067_/Y VGND VGND VPWR VPWR _69423_/A sky130_fd_sc_hd__dfxtp_4
+X_45662_ _43516_/B VGND VGND VPWR VPWR _55865_/B sky130_fd_sc_hd__inv_8
+XPHY_38300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57648_ _57648_/A _57647_/Y VGND VGND VPWR VPWR _57648_/Y sky130_fd_sc_hd__nor2_2
+XPHY_39045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76482_ VGND VGND VPWR VPWR _76482_/HI io_out[4] sky130_fd_sc_hd__conb_1
+XFILLER_565_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42874_ _46695_/A _42874_/B _42873_/X VGND VGND VPWR VPWR _42874_/X sky130_fd_sc_hd__or3_2
+XFILLER_124_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73694_ _73814_/A _73680_/B VGND VGND VPWR VPWR _73694_/X sky130_fd_sc_hd__or2_2
+XFILLER_264_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47401_ _47398_/Y _47401_/B VGND VGND VPWR VPWR _78850_/D sky130_fd_sc_hd__nand2_2
+XFILLER_508_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_166_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78221_ _78176_/CLK _78221_/D VGND VGND VPWR VPWR _61751_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_445_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_426_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44613_ _44606_/B _44613_/B _44607_/C VGND VGND VPWR VPWR _44613_/Y sky130_fd_sc_hd__nor3_2
+XPHY_5851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75433_ _75455_/A _75444_/B _80685_/Q VGND VGND VPWR VPWR _75433_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_17_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41825_ _41825_/A VGND VGND VPWR VPWR _41825_/Y sky130_fd_sc_hd__inv_8
+XPHY_38344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72645_ _72239_/A _72652_/B VGND VGND VPWR VPWR _72647_/A sky130_fd_sc_hd__or2_2
+XPHY_5862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48381_ _63449_/A _48367_/B VGND VGND VPWR VPWR _48383_/A sky130_fd_sc_hd__nand2_2
+XFILLER_526_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_268_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57579_ _57417_/A _57575_/Y _57579_/C VGND VGND VPWR VPWR _57580_/B sky130_fd_sc_hd__nor3_2
+XFILLER_480_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45593_ _71533_/A _45580_/B VGND VGND VPWR VPWR _45594_/C sky130_fd_sc_hd__nor2_2
+XPHY_38355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_483_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_1617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_18_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59318_ _59318_/A VGND VGND VPWR VPWR _59319_/A sky130_fd_sc_hd__buf_1
+X_47332_ _47517_/A VGND VGND VPWR VPWR _49243_/A sky130_fd_sc_hd__buf_1
+X_78152_ _78149_/CLK _50144_/Y VGND VGND VPWR VPWR _62244_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_109_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44544_ _44571_/A _44542_/Y _44544_/C VGND VGND VPWR VPWR _44545_/C sky130_fd_sc_hd__nor3_2
+XPHY_27120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75364_ _75367_/A _75375_/B _67040_/A VGND VGND VPWR VPWR _75364_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_461_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41756_ _79087_/Q VGND VGND VPWR VPWR _41756_/Y sky130_fd_sc_hd__inv_8
+XFILLER_232_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60590_ _60590_/A VGND VGND VPWR VPWR _60593_/A sky130_fd_sc_hd__buf_1
+XPHY_38399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72576_ _72820_/A _72576_/B VGND VGND VPWR VPWR _72576_/X sky130_fd_sc_hd__or2_2
+XFILLER_183_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_578_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77103_ _76911_/CLK _54126_/Y VGND VGND VPWR VPWR _77103_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_504_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74315_ _74308_/A _74308_/B _80965_/Q VGND VGND VPWR VPWR _74315_/Y sky130_fd_sc_hd__nand3_2
+X_40707_ _40707_/A _40700_/B _66831_/A VGND VGND VPWR VPWR _40707_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_242_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_497_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47263_ _47244_/B _47263_/B _47262_/Y VGND VGND VPWR VPWR _47264_/B sky130_fd_sc_hd__or3_2
+XFILLER_700_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59249_ _59238_/A VGND VGND VPWR VPWR _59920_/A sky130_fd_sc_hd__buf_1
+XFILLER_73_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71527_ _70446_/B _71526_/Y VGND VGND VPWR VPWR _71530_/B sky130_fd_sc_hd__nor2_2
+XFILLER_598_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78083_ _78070_/CLK _78083_/D VGND VGND VPWR VPWR _62676_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44475_ _44521_/A VGND VGND VPWR VPWR _44505_/A sky130_fd_sc_hd__buf_1
+XPHY_26430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75295_ _75204_/A VGND VGND VPWR VPWR _75356_/A sky130_fd_sc_hd__buf_1
+XPHY_27175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_610_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41687_ _41708_/C _41664_/B VGND VGND VPWR VPWR _41690_/B sky130_fd_sc_hd__or2_2
+XPHY_36964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_144_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_51_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49002_ _49060_/A _48999_/B VGND VGND VPWR VPWR _49003_/B sky130_fd_sc_hd__or2_2
+XPHY_26452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_158_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46214_ _46207_/A _46085_/B VGND VGND VPWR VPWR _46214_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_142_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_1_1_2_u_core.clock clkbuf_1_1_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_1_1_2_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_77034_ _77029_/CLK _77034_/D VGND VGND VPWR VPWR _59978_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_179_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43426_ _42944_/A _43415_/X VGND VGND VPWR VPWR _43428_/A sky130_fd_sc_hd__or2_2
+X_62260_ _62260_/A _62105_/X VGND VGND VPWR VPWR _62260_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_160_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74246_ _74172_/A VGND VGND VPWR VPWR _74260_/B sky130_fd_sc_hd__buf_1
+XPHY_36997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40638_ _38344_/A _39733_/A VGND VGND VPWR VPWR _40638_/X sky130_fd_sc_hd__or2_2
+XFILLER_324_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47194_ _47109_/B VGND VGND VPWR VPWR _47195_/B sky130_fd_sc_hd__inv_8
+X_71458_ _71391_/A _71456_/X _71458_/C VGND VGND VPWR VPWR _71458_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_639_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_400_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_277_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_302_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61211_ _59626_/A VGND VGND VPWR VPWR _61221_/A sky130_fd_sc_hd__buf_1
+XFILLER_259_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46145_ _43124_/A _46145_/B VGND VGND VPWR VPWR _46160_/A sky130_fd_sc_hd__nor2_2
+X_70409_ _70388_/A _70409_/B _70388_/C VGND VGND VPWR VPWR _70410_/B sky130_fd_sc_hd__or3_2
+XFILLER_103_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_723_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43357_ _43360_/A _43360_/B _56483_/A VGND VGND VPWR VPWR _43357_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_639_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_619_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62191_ _59831_/X VGND VGND VPWR VPWR _62813_/B sky130_fd_sc_hd__buf_1
+X_74177_ _74169_/A _74165_/B _81002_/Q VGND VGND VPWR VPWR _74177_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_173_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40569_ _40563_/A _40563_/B _79842_/Q VGND VGND VPWR VPWR _40570_/B sky130_fd_sc_hd__nand3_2
+XFILLER_724_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71389_ _71389_/A _71388_/X VGND VGND VPWR VPWR _71389_/X sky130_fd_sc_hd__and2_2
+XFILLER_121_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_647_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_366_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_158_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_724_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42308_ _42308_/A _42307_/Y VGND VGND VPWR VPWR _42308_/X sky130_fd_sc_hd__or2_2
+X_61142_ _77641_/Q _61301_/B VGND VGND VPWR VPWR _61143_/C sky130_fd_sc_hd__nor2_2
+XFILLER_199_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73128_ _41016_/A VGND VGND VPWR VPWR _74958_/A sky130_fd_sc_hd__buf_1
+XFILLER_613_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46076_ _42468_/A _46074_/X _46076_/C VGND VGND VPWR VPWR _79038_/D sky130_fd_sc_hd__nor3_2
+XFILLER_490_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_294_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_711_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43288_ _42926_/A _43277_/B VGND VGND VPWR VPWR _43290_/A sky130_fd_sc_hd__or2_2
+XPHY_14560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78985_ _79557_/CLK _78985_/D VGND VGND VPWR VPWR io_out[24] sky130_fd_sc_hd__dfxtp_4
+XFILLER_550_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_361_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_32_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49904_ _49933_/A _49907_/B VGND VGND VPWR VPWR _49904_/X sky130_fd_sc_hd__or2_2
+XFILLER_451_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_173_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45027_ _44933_/A _45026_/Y VGND VGND VPWR VPWR _45028_/B sky130_fd_sc_hd__nor2_2
+XFILLER_392_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_632_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65950_ _65950_/A _65950_/B _65950_/C VGND VGND VPWR VPWR _65951_/C sky130_fd_sc_hd__nor3_2
+X_42239_ _45205_/A _42255_/B VGND VGND VPWR VPWR _42239_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_86_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61073_ _61228_/A _61073_/B _61072_/Y VGND VGND VPWR VPWR _61073_/Y sky130_fd_sc_hd__nor3_2
+X_73059_ _73056_/Y _73058_/X VGND VGND VPWR VPWR _81276_/D sky130_fd_sc_hd__nand2_2
+X_77936_ _77937_/CLK _77936_/D VGND VGND VPWR VPWR _62162_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_177_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_589_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_9_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_416_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_29_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_67_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_253_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_382_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60024_ _77178_/Q _60353_/B VGND VGND VPWR VPWR _60027_/B sky130_fd_sc_hd__nor2_2
+X_64901_ _64742_/A _64899_/Y _64901_/C VGND VGND VPWR VPWR _64905_/B sky130_fd_sc_hd__nor3_2
+XFILLER_373_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49835_ _49920_/A _49847_/B VGND VGND VPWR VPWR _49835_/X sky130_fd_sc_hd__or2_2
+XPHY_31260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65881_ _65881_/A _65881_/B _65881_/C VGND VGND VPWR VPWR _65885_/B sky130_fd_sc_hd__nor3_2
+XFILLER_193_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77867_ _78002_/CLK _77867_/D VGND VGND VPWR VPWR _51203_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_585_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_119_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_31293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67620_ _67790_/A _67608_/Y _67619_/Y VGND VGND VPWR VPWR _67620_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_676_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79606_ _79575_/CLK _41487_/Y VGND VGND VPWR VPWR _56967_/A sky130_fd_sc_hd__dfxtp_4
+X_64832_ _80049_/Q _65179_/B VGND VGND VPWR VPWR _64834_/B sky130_fd_sc_hd__nor2_2
+XFILLER_488_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76818_ _76815_/CLK _55350_/Y VGND VGND VPWR VPWR _71110_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_636_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49766_ _49766_/A _49765_/X VGND VGND VPWR VPWR _49766_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_509_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_316_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46978_ _46969_/A _46978_/B VGND VGND VPWR VPWR _78925_/D sky130_fd_sc_hd__nor2_2
+XFILLER_646_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77798_ _77294_/CLK _51492_/Y VGND VGND VPWR VPWR _77798_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_30581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_351_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_7_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48717_ _63561_/A _48706_/B VGND VGND VPWR VPWR _48719_/A sky130_fd_sc_hd__nand2_2
+XFILLER_3_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67551_ _67551_/A VGND VGND VPWR VPWR _67728_/A sky130_fd_sc_hd__buf_1
+XFILLER_386_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79537_ _79540_/CLK _42038_/Y VGND VGND VPWR VPWR _43098_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_234_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45929_ _45840_/X VGND VGND VPWR VPWR _45946_/A sky130_fd_sc_hd__buf_1
+XFILLER_617_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64763_ _64763_/A _64581_/B VGND VGND VPWR VPWR _64765_/B sky130_fd_sc_hd__nor2_2
+X_76749_ _78867_/CLK _76749_/D VGND VGND VPWR VPWR _45293_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_709_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_20091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49697_ _49695_/Y _49696_/X VGND VGND VPWR VPWR _78272_/D sky130_fd_sc_hd__nand2_2
+XFILLER_231_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61975_ _61667_/A _61967_/Y _61974_/Y VGND VGND VPWR VPWR _61975_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_114_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_58_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_456_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_95_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66502_ _66502_/A _66996_/B VGND VGND VPWR VPWR _66502_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_645_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39450_ _39450_/A _39450_/B VGND VGND VPWR VPWR _39450_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_149_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63714_ _63435_/X _63712_/Y _63713_/Y VGND VGND VPWR VPWR _63714_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_386_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60926_ _60616_/A _60925_/Y VGND VGND VPWR VPWR _60966_/B sky130_fd_sc_hd__nor2_2
+XFILLER_310_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48648_ _63975_/A _48652_/B VGND VGND VPWR VPWR _48651_/A sky130_fd_sc_hd__nand2_2
+XFILLER_632_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67482_ _67650_/A _67482_/B _67482_/C VGND VGND VPWR VPWR _67483_/C sky130_fd_sc_hd__nor3_2
+XFILLER_642_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79468_ _79533_/CLK _42661_/Y VGND VGND VPWR VPWR _55177_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_329_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_605_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64694_ _44662_/Y _64082_/B VGND VGND VPWR VPWR _64694_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_266_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_145_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_282_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38401_ _38398_/X _38400_/Y VGND VGND VPWR VPWR _80385_/D sky130_fd_sc_hd__nand2_2
+XFILLER_364_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_583_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69221_ _69221_/A _64465_/X VGND VGND VPWR VPWR _69222_/C sky130_fd_sc_hd__nor2_2
+XFILLER_227_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_97_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66433_ _66433_/A _65765_/B VGND VGND VPWR VPWR _66433_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_431_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78419_ _78428_/CLK _78419_/D VGND VGND VPWR VPWR _63829_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_633_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39381_ _39108_/A _39384_/B VGND VGND VPWR VPWR _39381_/X sky130_fd_sc_hd__or2_2
+X_63645_ _63645_/A _63645_/B _63644_/Y VGND VGND VPWR VPWR _63653_/B sky130_fd_sc_hd__nor3_2
+XFILLER_149_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_607_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60857_ _77775_/Q _60384_/B VGND VGND VPWR VPWR _60859_/B sky130_fd_sc_hd__nor2_2
+XFILLER_620_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48579_ _48451_/X _54340_/A VGND VGND VPWR VPWR _48580_/A sky130_fd_sc_hd__or2_2
+X_79399_ _79368_/CLK _43252_/Y VGND VGND VPWR VPWR _58768_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_184_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_702_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_342_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_165_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_596_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38332_ _38323_/A _38322_/X _80402_/Q VGND VGND VPWR VPWR _38332_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_364_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50610_ _54432_/A _50517_/X VGND VGND VPWR VPWR _50610_/X sky130_fd_sc_hd__or2_2
+X_81430_ _81398_/CLK _81430_/D VGND VGND VPWR VPWR _65624_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_329_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_282_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69152_ _69152_/A _68858_/B VGND VGND VPWR VPWR _69152_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_522_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66364_ _66849_/A _66364_/B _66363_/Y VGND VGND VPWR VPWR _66368_/B sky130_fd_sc_hd__nor3_2
+XFILLER_558_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_74_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51590_ _53501_/A _51554_/B VGND VGND VPWR VPWR _51616_/B sky130_fd_sc_hd__or2_2
+XFILLER_599_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_155_0_u_core.clock clkbuf_7_77_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_311_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_63576_ _63576_/A _63576_/B _63576_/C VGND VGND VPWR VPWR _63580_/B sky130_fd_sc_hd__nor3_2
+XFILLER_36_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_601_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60788_ _60788_/A _60786_/Y _60787_/Y VGND VGND VPWR VPWR _60789_/C sky130_fd_sc_hd__nor3_2
+XFILLER_307_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_520_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68103_ _43282_/C _67942_/B VGND VGND VPWR VPWR _68103_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_620_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65315_ _81300_/Q _65315_/B VGND VGND VPWR VPWR _65315_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_247_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38263_ _38260_/A _38260_/B _68055_/A VGND VGND VPWR VPWR _38264_/B sky130_fd_sc_hd__nand3_2
+XFILLER_577_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_299_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50541_ _50426_/X _50541_/B VGND VGND VPWR VPWR _50541_/X sky130_fd_sc_hd__or2_2
+XFILLER_397_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_323_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62527_ _62023_/X _62526_/Y VGND VGND VPWR VPWR _62527_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_503_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81361_ _81330_/CLK _72701_/Y VGND VGND VPWR VPWR _64771_/A sky130_fd_sc_hd__dfxtp_4
+X_69083_ _58638_/A _68439_/X VGND VGND VPWR VPWR _69083_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_659_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66295_ _65467_/X VGND VGND VPWR VPWR _66295_/X sky130_fd_sc_hd__buf_1
+XFILLER_260_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68034_ _67705_/A _68034_/B _68033_/Y VGND VGND VPWR VPWR _68034_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_600_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80312_ _80315_/CLK _80312_/D VGND VGND VPWR VPWR _80312_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_421_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_405_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65246_ _80372_/Q _65246_/B VGND VGND VPWR VPWR _65246_/Y sky130_fd_sc_hd__nor2_2
+X_53260_ _53204_/A _53269_/B VGND VGND VPWR VPWR _53261_/B sky130_fd_sc_hd__or2_2
+XFILLER_148_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_672_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50472_ _50409_/X _50484_/B VGND VGND VPWR VPWR _50473_/B sky130_fd_sc_hd__or2_2
+XFILLER_572_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81292_ _79368_/CLK _72998_/Y VGND VGND VPWR VPWR _81292_/Q sky130_fd_sc_hd__dfxtp_4
+X_62458_ _62458_/A _62613_/B VGND VGND VPWR VPWR _62458_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_636_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_337_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_479_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_672_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52211_ _52177_/A _52214_/B VGND VGND VPWR VPWR _52212_/B sky130_fd_sc_hd__or2_2
+XFILLER_31_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_577_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_30_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61409_ _77523_/Q _61409_/B VGND VGND VPWR VPWR _61409_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_555_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80243_ _80238_/CLK _80243_/D VGND VGND VPWR VPWR _38966_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_129_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53191_ _59242_/A _53179_/B VGND VGND VPWR VPWR _53192_/B sky130_fd_sc_hd__nand2_2
+X_65177_ _65177_/A _65177_/B _65176_/Y VGND VGND VPWR VPWR _65177_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_670_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62389_ _78145_/Q _62389_/B VGND VGND VPWR VPWR _62390_/C sky130_fd_sc_hd__nor2_2
+XFILLER_301_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_434_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52142_ _52057_/A _52142_/B VGND VGND VPWR VPWR _52143_/B sky130_fd_sc_hd__or2_2
+XFILLER_11_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64128_ _80655_/Q _69149_/B VGND VGND VPWR VPWR _64129_/C sky130_fd_sc_hd__nor2_2
+XFILLER_325_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80174_ _80239_/CLK _80174_/D VGND VGND VPWR VPWR _39257_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_516_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_69_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69985_ _69982_/Y _69985_/B _70425_/A VGND VGND VPWR VPWR _55104_/A sky130_fd_sc_hd__or3_2
+XFILLER_364_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_541_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_375_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_514_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_232_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52073_ _52073_/A _52072_/X VGND VGND VPWR VPWR _77643_/D sky130_fd_sc_hd__nand2_2
+X_56950_ _56739_/X _56928_/Y _56950_/C VGND VGND VPWR VPWR _56950_/X sky130_fd_sc_hd__or3_2
+XFILLER_536_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68936_ _68936_/A _69080_/B VGND VGND VPWR VPWR _68936_/Y sky130_fd_sc_hd__nor2_2
+X_64059_ _59081_/A _64059_/B _64058_/Y VGND VGND VPWR VPWR _64059_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_702_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_352_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_571_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_289_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_292_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51024_ _51024_/A _51024_/B VGND VGND VPWR VPWR _51024_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_150_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55901_ _55901_/A _69587_/B _55901_/C VGND VGND VPWR VPWR _55902_/B sky130_fd_sc_hd__and3_2
+XFILLER_219_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_320_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56881_ _56956_/A _56881_/B _56881_/C VGND VGND VPWR VPWR _56881_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_317_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68867_ _68530_/X _68867_/B _68866_/Y VGND VGND VPWR VPWR _68867_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_478_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_145_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_682_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_89_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58620_ _58754_/A _58620_/B _58620_/C VGND VGND VPWR VPWR _58628_/A sky130_fd_sc_hd__nor3_2
+XFILLER_28_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55832_ _76763_/Q _55829_/B VGND VGND VPWR VPWR _55832_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_321_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67818_ _64377_/X VGND VGND VPWR VPWR _67994_/A sky130_fd_sc_hd__buf_1
+XFILLER_24_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_648_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_451_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_104_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_232_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68798_ _68791_/X _68794_/Y _68798_/C VGND VGND VPWR VPWR _68799_/C sky130_fd_sc_hd__nor3_2
+XFILLER_213_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_683_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_293_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_115_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_410_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39717_ _39704_/A _39710_/X _39717_/C VGND VGND VPWR VPWR _39717_/Y sky130_fd_sc_hd__nand3_2
+X_58551_ _68945_/A _58227_/X VGND VGND VPWR VPWR _58552_/C sky130_fd_sc_hd__nor2_2
+XFILLER_57_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55763_ _55763_/A _55762_/X VGND VGND VPWR VPWR _55763_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_369_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67749_ _68229_/A _67749_/B _67748_/Y VGND VGND VPWR VPWR _67753_/B sky130_fd_sc_hd__nor3_2
+XFILLER_63_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_609_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_83_0_u_core.clock clkbuf_9_83_0_u_core.clock/A VGND VGND VPWR VPWR _76779_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_112_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52975_ _53031_/A _52993_/B VGND VGND VPWR VPWR _52975_/X sky130_fd_sc_hd__or2_2
+XFILLER_625_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_232_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_435_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_407_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_273_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_41_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57502_ _72915_/C _57501_/X VGND VGND VPWR VPWR _57505_/B sky130_fd_sc_hd__nor2_2
+XFILLER_85_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54714_ _54711_/Y _54714_/B VGND VGND VPWR VPWR _76946_/D sky130_fd_sc_hd__nand2_2
+XFILLER_480_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51926_ _51919_/A VGND VGND VPWR VPWR _51939_/B sky130_fd_sc_hd__buf_1
+X_39648_ _39364_/A VGND VGND VPWR VPWR _39667_/A sky130_fd_sc_hd__buf_1
+XPHY_5114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58482_ _58482_/A _58234_/X VGND VGND VPWR VPWR _58484_/B sky130_fd_sc_hd__nor2_2
+X_70760_ _69770_/A _70756_/A VGND VGND VPWR VPWR _70760_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_410_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_269_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_434_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55694_ _55676_/A _55685_/X VGND VGND VPWR VPWR _55695_/C sky130_fd_sc_hd__and2_2
+XPHY_19505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_462_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57433_ _80604_/Q _57282_/B VGND VGND VPWR VPWR _57435_/B sky130_fd_sc_hd__nor2_2
+XFILLER_423_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69419_ _69418_/Y _69419_/B VGND VGND VPWR VPWR _69557_/A sky130_fd_sc_hd__nor2_2
+XPHY_4413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_367_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_540_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54645_ _54645_/A _54641_/X VGND VGND VPWR VPWR _54645_/X sky130_fd_sc_hd__or2_2
+XANTENNA_304 _47988_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_422_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51857_ _51892_/A _51884_/B VGND VGND VPWR VPWR _51857_/X sky130_fd_sc_hd__or2_2
+X_39579_ _39208_/A _39565_/B VGND VGND VPWR VPWR _39579_/X sky130_fd_sc_hd__or2_2
+XFILLER_382_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70691_ _70706_/A _70623_/X VGND VGND VPWR VPWR _70692_/B sky130_fd_sc_hd__nand2_2
+XFILLER_233_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_677_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_315 _48042_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_311_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_326 _48257_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_720_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_308_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41610_ _41616_/A _41624_/B _65473_/A VGND VGND VPWR VPWR _41611_/B sky130_fd_sc_hd__nand3_2
+XANTENNA_337 _54991_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_148_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_595_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72430_ _72428_/X _72429_/Y VGND VGND VPWR VPWR _81433_/D sky130_fd_sc_hd__nand2_2
+XPHY_18837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50808_ _50808_/A _50807_/X VGND VGND VPWR VPWR _50808_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_540_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57364_ _57356_/Y _57364_/B VGND VGND VPWR VPWR _57365_/B sky130_fd_sc_hd__nor2_2
+XANTENNA_348 _51158_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42590_ _42576_/X _42588_/X _70310_/X _42589_/X VGND VGND VPWR VPWR _42590_/X sky130_fd_sc_hd__o22a_4
+X_54576_ _54661_/A _54573_/B VGND VGND VPWR VPWR _54577_/B sky130_fd_sc_hd__or2_2
+XFILLER_325_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_246_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_359 _49821_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_109_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51788_ _51788_/A _51787_/X VGND VGND VPWR VPWR _77719_/D sky130_fd_sc_hd__nand2_2
+XPHY_4479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_306_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_560_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_54_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_638_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59103_ _60449_/A VGND VGND VPWR VPWR _59104_/B sky130_fd_sc_hd__buf_1
+XFILLER_92_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_701_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56315_ _56291_/Y _56315_/B VGND VGND VPWR VPWR _56316_/B sky130_fd_sc_hd__nor2_2
+XFILLER_359_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41541_ _41530_/A _41538_/B _58402_/A VGND VGND VPWR VPWR _41542_/B sky130_fd_sc_hd__nand3_2
+XFILLER_70_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53527_ _53555_/A _53527_/B VGND VGND VPWR VPWR _53527_/X sky130_fd_sc_hd__or2_2
+XPHY_36249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72361_ _72417_/A VGND VGND VPWR VPWR _72362_/B sky130_fd_sc_hd__buf_1
+XPHY_3767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50739_ _50739_/A _50739_/B VGND VGND VPWR VPWR _77995_/D sky130_fd_sc_hd__nand2_2
+XFILLER_243_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57295_ _79380_/Q _57128_/X VGND VGND VPWR VPWR _57296_/C sky130_fd_sc_hd__nor2_2
+XPHY_3778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_697_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_521_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74100_ _73213_/A VGND VGND VPWR VPWR _76173_/A sky130_fd_sc_hd__buf_1
+XFILLER_35_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_387_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71312_ _70905_/Y _71425_/B _70987_/X VGND VGND VPWR VPWR _71312_/X sky130_fd_sc_hd__o21a_4
+XFILLER_196_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59034_ _59091_/A VGND VGND VPWR VPWR _59034_/X sky130_fd_sc_hd__buf_1
+XFILLER_213_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44260_ _44260_/A _44257_/Y VGND VGND VPWR VPWR _44266_/A sky130_fd_sc_hd__or2_2
+XFILLER_126_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56246_ _79855_/Q _58831_/B VGND VGND VPWR VPWR _56250_/B sky130_fd_sc_hd__nor2_2
+X_75080_ _75088_/A _75080_/B _68864_/A VGND VGND VPWR VPWR _75080_/Y sky130_fd_sc_hd__nand3_2
+X_41472_ _41490_/A VGND VGND VPWR VPWR _41486_/B sky130_fd_sc_hd__buf_1
+XFILLER_221_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53458_ _53458_/A _53457_/X VGND VGND VPWR VPWR _53458_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_13_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72292_ _72290_/X _72292_/B VGND VGND VPWR VPWR _72292_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_278_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_718_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_224_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_70_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43211_ _43020_/Y _43211_/B _43211_/C VGND VGND VPWR VPWR _43211_/X sky130_fd_sc_hd__or3_2
+XFILLER_636_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74031_ _74015_/A _74026_/B _68399_/A VGND VGND VPWR VPWR _74032_/B sky130_fd_sc_hd__nand3_2
+XFILLER_397_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40423_ _40565_/A _40423_/B VGND VGND VPWR VPWR _40423_/X sky130_fd_sc_hd__or2_2
+XFILLER_439_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52409_ _52409_/A _52413_/B VGND VGND VPWR VPWR _52412_/A sky130_fd_sc_hd__nand2_2
+XFILLER_456_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71243_ _71244_/A _71242_/X VGND VGND VPWR VPWR _71243_/X sky130_fd_sc_hd__and2_2
+XFILLER_202_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44191_ _44190_/X VGND VGND VPWR VPWR _44220_/B sky130_fd_sc_hd__inv_8
+XFILLER_294_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56177_ _56171_/A _56177_/B VGND VGND VPWR VPWR _56178_/B sky130_fd_sc_hd__nor2_2
+XFILLER_155_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_166_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53389_ _53405_/B VGND VGND VPWR VPWR _53402_/B sky130_fd_sc_hd__buf_1
+XFILLER_328_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_467_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_194_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_610_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43142_ _45188_/A _46146_/B VGND VGND VPWR VPWR _43142_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_178_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55128_ _55144_/A _55128_/B _55128_/C VGND VGND VPWR VPWR _55131_/A sky130_fd_sc_hd__nor3_2
+XPHY_13100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_294_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40354_ _39994_/A _40354_/B VGND VGND VPWR VPWR _40354_/X sky130_fd_sc_hd__or2_2
+XPHY_24379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71174_ _71175_/A _71173_/X VGND VGND VPWR VPWR _71176_/B sky130_fd_sc_hd__and2_2
+XFILLER_194_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_354_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_319_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_3090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_512_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_515_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70125_ _70150_/A _70125_/B _70124_/Y VGND VGND VPWR VPWR _70125_/X sky130_fd_sc_hd__or3_2
+XFILLER_515_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47950_ _47826_/A _47971_/B VGND VGND VPWR VPWR _47950_/X sky130_fd_sc_hd__or2_2
+XPHY_23678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43073_ _43073_/A VGND VGND VPWR VPWR _43074_/B sky130_fd_sc_hd__inv_8
+X_59936_ _59936_/A _59616_/X VGND VGND VPWR VPWR _59937_/C sky130_fd_sc_hd__nor2_2
+X_55059_ _42070_/A _55055_/X _55059_/C VGND VGND VPWR VPWR _55060_/B sky130_fd_sc_hd__nor3_2
+XFILLER_139_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78770_ _78766_/CLK _78770_/D VGND VGND VPWR VPWR _47739_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40285_ _40285_/A _40295_/B VGND VGND VPWR VPWR _40285_/X sky130_fd_sc_hd__or2_2
+XPHY_22944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75982_ _75982_/A VGND VGND VPWR VPWR _75993_/A sky130_fd_sc_hd__buf_1
+XFILLER_491_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_155_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_163_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_159_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_630_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_3104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_29_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_133_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42024_ _42021_/Y _42034_/B VGND VGND VPWR VPWR _42029_/A sky130_fd_sc_hd__nor2_2
+X_46901_ _46882_/A _46900_/X VGND VGND VPWR VPWR _78934_/D sky130_fd_sc_hd__nor2_2
+XPHY_13188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77721_ _77714_/CLK _51782_/Y VGND VGND VPWR VPWR _61126_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_458_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74933_ _74930_/X _74933_/B VGND VGND VPWR VPWR _74933_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_352_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70056_ _70056_/A VGND VGND VPWR VPWR _70299_/C sky130_fd_sc_hd__buf_1
+XPHY_13199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47881_ _47851_/A _47869_/B VGND VGND VPWR VPWR _47881_/X sky130_fd_sc_hd__or2_2
+X_59867_ _61445_/A VGND VGND VPWR VPWR _59868_/B sky130_fd_sc_hd__buf_1
+XPHY_22988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_647_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_270_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49620_ _49620_/A _49620_/B VGND VGND VPWR VPWR _78292_/D sky130_fd_sc_hd__nand2_2
+XFILLER_235_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_430_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_685_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58818_ _69507_/A _56285_/B VGND VGND VPWR VPWR _58820_/B sky130_fd_sc_hd__nor2_2
+X_46832_ _47094_/C _46831_/Y VGND VGND VPWR VPWR _46832_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77652_ _78176_/CLK _77652_/D VGND VGND VPWR VPWR _52039_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_688_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74864_ _74874_/A _74878_/B _67869_/A VGND VGND VPWR VPWR _74865_/B sky130_fd_sc_hd__nand3_2
+XFILLER_485_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59798_ _77169_/Q _59476_/B VGND VGND VPWR VPWR _59799_/C sky130_fd_sc_hd__nor2_2
+XFILLER_96_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_4_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_42_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_666_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_231_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_682_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_647_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_387_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_283_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76603_ VGND VGND VPWR VPWR _76603_/HI la_data_out[99] sky130_fd_sc_hd__conb_1
+XFILLER_122_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_549_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_289_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49551_ _78310_/Q _49551_/B VGND VGND VPWR VPWR _49551_/Y sky130_fd_sc_hd__nand2_2
+X_73815_ _73805_/A _73809_/B _73815_/C VGND VGND VPWR VPWR _73816_/B sky130_fd_sc_hd__nand3_2
+XFILLER_580_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_7_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46763_ _46717_/X VGND VGND VPWR VPWR _46768_/A sky130_fd_sc_hd__inv_8
+XFILLER_133_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58749_ _80973_/Q _58816_/B VGND VGND VPWR VPWR _58750_/C sky130_fd_sc_hd__nor2_2
+XFILLER_311_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_428_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_352_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77583_ _77365_/CLK _77583_/D VGND VGND VPWR VPWR _77583_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_633_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43975_ _43710_/B VGND VGND VPWR VPWR _43976_/B sky130_fd_sc_hd__inv_8
+XFILLER_27_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74795_ _74877_/A VGND VGND VPWR VPWR _74795_/X sky130_fd_sc_hd__buf_1
+XFILLER_482_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_647_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_283_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_608_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48502_ _48502_/A _48502_/B VGND VGND VPWR VPWR _78578_/D sky130_fd_sc_hd__nand2_2
+XFILLER_506_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79322_ _78931_/CLK _79322_/D VGND VGND VPWR VPWR _71439_/A sky130_fd_sc_hd__dfxtp_4
+X_45714_ _45695_/X VGND VGND VPWR VPWR _45715_/B sky130_fd_sc_hd__buf_1
+Xclkbuf_4_1_1_u_core.clock clkbuf_4_1_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_4_1_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_643_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_643_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_481_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76534_ VGND VGND VPWR VPWR _76534_/HI la_data_out[26] sky130_fd_sc_hd__conb_1
+XPHY_7083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42926_ _42926_/A _42930_/B VGND VGND VPWR VPWR _42926_/X sky130_fd_sc_hd__or2_2
+XFILLER_614_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61760_ _61760_/A _61760_/B VGND VGND VPWR VPWR _61760_/Y sky130_fd_sc_hd__nor2_2
+X_73746_ _73744_/X _73745_/Y VGND VGND VPWR VPWR _73746_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_329_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49482_ _61815_/A _49482_/B VGND VGND VPWR VPWR _49482_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_64_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70958_ _70957_/X VGND VGND VPWR VPWR _72007_/A sky130_fd_sc_hd__buf_1
+X_46694_ _46694_/A _45022_/X VGND VGND VPWR VPWR _46695_/B sky130_fd_sc_hd__nor2_2
+XFILLER_508_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_562_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_608_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_3044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60711_ _60399_/A _60709_/Y _60710_/Y VGND VGND VPWR VPWR _60711_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48433_ _48423_/X VGND VGND VPWR VPWR _48437_/B sky130_fd_sc_hd__buf_1
+XFILLER_615_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79253_ _79248_/CLK _79253_/D VGND VGND VPWR VPWR _44022_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_283_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_441_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_92_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45645_ _45645_/A _45638_/B VGND VGND VPWR VPWR _45646_/C sky130_fd_sc_hd__nor2_2
+XFILLER_185_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76465_ VGND VGND VPWR VPWR _76465_/HI io_oeb[25] sky130_fd_sc_hd__conb_1
+XFILLER_36_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_506_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42857_ _42857_/A _42832_/B VGND VGND VPWR VPWR _42857_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_79_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_602_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61691_ _62310_/A _61691_/B _61691_/C VGND VGND VPWR VPWR _61695_/B sky130_fd_sc_hd__nor3_2
+X_73677_ _73671_/A _73671_/B _73677_/C VGND VGND VPWR VPWR _73677_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_264_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70889_ _70080_/X _70888_/X VGND VGND VPWR VPWR _70889_/X sky130_fd_sc_hd__or2_2
+XFILLER_480_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_4_4_0_u_core.clock clkbuf_4_4_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_4_4_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_38152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_561_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78204_ _78202_/CLK _78204_/D VGND VGND VPWR VPWR _49943_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_5681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63430_ _63576_/A _63428_/Y _63430_/C VGND VGND VPWR VPWR _63430_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_630_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75416_ _74811_/A _75413_/B VGND VGND VPWR VPWR _75418_/A sky130_fd_sc_hd__or2_2
+XFILLER_405_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_166_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41808_ _41804_/B _41808_/B VGND VGND VPWR VPWR _41809_/B sky130_fd_sc_hd__or2_2
+XPHY_38174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48364_ _47857_/A _48335_/B VGND VGND VPWR VPWR _54133_/A sky130_fd_sc_hd__or2_2
+X_60642_ _59993_/A _60640_/Y _60641_/Y VGND VGND VPWR VPWR _60643_/C sky130_fd_sc_hd__nor3_2
+XFILLER_307_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72628_ _72632_/A _72620_/B _81381_/Q VGND VGND VPWR VPWR _72628_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_205_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_162_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79184_ _79185_/CLK _79184_/D VGND VGND VPWR VPWR _44653_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_53_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45576_ _45581_/A _45574_/Y _45576_/C VGND VGND VPWR VPWR _79132_/D sky130_fd_sc_hd__nor3_2
+XPHY_37440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76396_ _38278_/A _76396_/B VGND VGND VPWR VPWR _76396_/X sky130_fd_sc_hd__or2_2
+XFILLER_324_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42788_ _42788_/A _42780_/Y _42787_/Y VGND VGND VPWR VPWR _79456_/D sky130_fd_sc_hd__nor3_2
+XFILLER_595_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47315_ _47343_/B _47433_/A VGND VGND VPWR VPWR _47317_/A sky130_fd_sc_hd__or2_2
+XFILLER_127_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78135_ _78717_/CLK _78135_/D VGND VGND VPWR VPWR _78135_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_37473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44527_ _44569_/A VGND VGND VPWR VPWR _44535_/B sky130_fd_sc_hd__buf_1
+XFILLER_320_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63361_ _62890_/X _63361_/B _63360_/Y VGND VGND VPWR VPWR _63367_/B sky130_fd_sc_hd__nor3_2
+XFILLER_242_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75347_ _75344_/X _75347_/B VGND VGND VPWR VPWR _75347_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_222_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41739_ _41768_/A VGND VGND VPWR VPWR _41754_/B sky130_fd_sc_hd__buf_1
+XFILLER_109_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72559_ _72803_/A _72570_/B VGND VGND VPWR VPWR _72561_/A sky130_fd_sc_hd__or2_2
+X_48295_ _48398_/A _48328_/B VGND VGND VPWR VPWR _48296_/B sky130_fd_sc_hd__or2_2
+X_60573_ _60573_/A _60572_/Y VGND VGND VPWR VPWR _60574_/B sky130_fd_sc_hd__nor2_2
+XFILLER_264_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_654_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_205_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_92_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_3064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65100_ _81395_/Q _65453_/B VGND VGND VPWR VPWR _65100_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_716_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_591_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_57_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62312_ _62312_/A _61852_/X VGND VGND VPWR VPWR _62312_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_60_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_366_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_637_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47246_ _42272_/A _42285_/A VGND VGND VPWR VPWR _47246_/X sky130_fd_sc_hd__or2_2
+XFILLER_658_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_598_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66080_ _66080_/A _65906_/B VGND VGND VPWR VPWR _66080_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_555_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78066_ _78067_/CLK _78066_/D VGND VGND VPWR VPWR _62522_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_554_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44458_ _44457_/X VGND VGND VPWR VPWR _44487_/A sky130_fd_sc_hd__buf_1
+XPHY_26260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63292_ _62822_/X _63290_/Y _63292_/C VGND VGND VPWR VPWR _63292_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_695_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75278_ _75242_/A VGND VGND VPWR VPWR _75278_/X sky130_fd_sc_hd__buf_1
+XFILLER_207_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_619_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_32_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_158_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65031_ _75690_/C _65714_/B VGND VGND VPWR VPWR _65034_/B sky130_fd_sc_hd__nor2_2
+XFILLER_457_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_305_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77017_ _77029_/CLK _77017_/D VGND VGND VPWR VPWR _59830_/A sky130_fd_sc_hd__dfxtp_4
+X_43409_ _43395_/A _43406_/B _58005_/A VGND VGND VPWR VPWR _43409_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_160_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62243_ _62243_/A _62243_/B _62242_/Y VGND VGND VPWR VPWR _62243_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_18_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74229_ _74224_/X _74221_/B _66586_/A VGND VGND VPWR VPWR _74230_/B sky130_fd_sc_hd__nand3_2
+XFILLER_319_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47177_ _47173_/B _47176_/Y _47171_/C VGND VGND VPWR VPWR _78894_/D sky130_fd_sc_hd__nor3_2
+XFILLER_242_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_470_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44389_ _44389_/A _44389_/B VGND VGND VPWR VPWR _44389_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_177_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_30_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_74_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46128_ _46112_/Y _46128_/B VGND VGND VPWR VPWR _46129_/B sky130_fd_sc_hd__nor2_2
+XFILLER_306_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_343_0_u_core.clock clkbuf_9_343_0_u_core.clock/A VGND VGND VPWR VPWR _78741_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_357_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62174_ _62169_/X _62171_/Y _62174_/C VGND VGND VPWR VPWR _62174_/Y sky130_fd_sc_hd__nor3_2
+XPHY_15080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_689_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_138_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61125_ _77713_/Q _60804_/B VGND VGND VPWR VPWR _61127_/B sky130_fd_sc_hd__nor2_2
+XFILLER_413_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38950_ _38578_/A _38965_/B VGND VGND VPWR VPWR _38952_/A sky130_fd_sc_hd__or2_2
+XFILLER_572_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46059_ _46056_/Y _46058_/X VGND VGND VPWR VPWR _46060_/B sky130_fd_sc_hd__nor2_2
+XFILLER_161_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69770_ _69770_/A VGND VGND VPWR VPWR _69770_/X sky130_fd_sc_hd__buf_1
+XFILLER_452_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66982_ _66982_/A _66982_/B _66982_/C VGND VGND VPWR VPWR _66983_/B sky130_fd_sc_hd__nor3_2
+XPHY_14390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78968_ _78903_/CLK _78968_/D VGND VGND VPWR VPWR _43035_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_177_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_172_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_522_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68721_ _81129_/Q _68562_/B VGND VGND VPWR VPWR _68724_/B sky130_fd_sc_hd__nor2_2
+XFILLER_413_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_550_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65933_ _65933_/A VGND VGND VPWR VPWR _65952_/A sky130_fd_sc_hd__buf_1
+X_61056_ _60434_/A _61056_/B _61056_/C VGND VGND VPWR VPWR _61056_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_60_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77919_ _78428_/CLK _51020_/Y VGND VGND VPWR VPWR _62017_/A sky130_fd_sc_hd__dfxtp_4
+X_38881_ _38864_/X _38881_/B _80266_/Q VGND VGND VPWR VPWR _38881_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_487_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_610_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78899_ _78899_/CLK _78899_/D VGND VGND VPWR VPWR _46364_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_687_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_25_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60007_ _60007_/A VGND VGND VPWR VPWR _63145_/A sky130_fd_sc_hd__buf_1
+XFILLER_287_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_550_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_113_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80930_ _80902_/CLK _74459_/Y VGND VGND VPWR VPWR _80930_/Q sky130_fd_sc_hd__dfxtp_4
+X_49818_ _49933_/A _49822_/B VGND VGND VPWR VPWR _49818_/X sky130_fd_sc_hd__or2_2
+XPHY_31090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68652_ _67005_/A VGND VGND VPWR VPWR _68670_/A sky130_fd_sc_hd__buf_1
+XFILLER_271_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65864_ _65702_/A _65864_/B _65864_/C VGND VGND VPWR VPWR _65865_/B sky130_fd_sc_hd__nor3_2
+XFILLER_288_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_459_0_u_core.clock clkbuf_9_459_0_u_core.clock/A VGND VGND VPWR VPWR _80911_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_661_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_190_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_313_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_86_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67603_ _57925_/A _68104_/B VGND VGND VPWR VPWR _67604_/C sky130_fd_sc_hd__nor2_2
+XFILLER_661_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64815_ _64793_/Y _64815_/B VGND VGND VPWR VPWR _64816_/B sky130_fd_sc_hd__nor2_2
+XFILLER_331_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_39_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49749_ _49693_/A _49758_/B VGND VGND VPWR VPWR _49749_/X sky130_fd_sc_hd__or2_2
+X_80861_ _80862_/CLK _80861_/D VGND VGND VPWR VPWR _80861_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_626_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68583_ _68747_/A _68581_/Y _68582_/Y VGND VGND VPWR VPWR _68583_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_262_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65795_ _41603_/C _65472_/X VGND VGND VPWR VPWR _65797_/B sky130_fd_sc_hd__nor2_2
+XFILLER_60_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39502_ _39505_/A _39505_/B _69399_/A VGND VGND VPWR VPWR _39502_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_97_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_312_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_267_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67534_ _67214_/A _67532_/Y _67534_/C VGND VGND VPWR VPWR _67538_/B sky130_fd_sc_hd__nor3_2
+XFILLER_95_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64746_ _68196_/A VGND VGND VPWR VPWR _65428_/B sky130_fd_sc_hd__buf_1
+X_52760_ _52880_/A _52787_/B VGND VGND VPWR VPWR _52761_/B sky130_fd_sc_hd__or2_2
+XFILLER_283_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_561_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_184_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80792_ _80790_/CLK _75029_/Y VGND VGND VPWR VPWR _65890_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_329_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61958_ _49421_/A _62119_/B VGND VGND VPWR VPWR _61958_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_617_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_481_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_284_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_58_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_309_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39433_ _39175_/A _39437_/B VGND VGND VPWR VPWR _39433_/X sky130_fd_sc_hd__or2_2
+X_51711_ _51711_/A VGND VGND VPWR VPWR _51712_/A sky130_fd_sc_hd__buf_1
+XFILLER_442_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_83_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_522_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60909_ _77672_/Q _60281_/B VGND VGND VPWR VPWR _60910_/C sky130_fd_sc_hd__nor2_2
+X_67465_ _80257_/Q _67310_/B VGND VGND VPWR VPWR _67465_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_404_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_446_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52691_ _52689_/Y _52690_/X VGND VGND VPWR VPWR _77479_/D sky130_fd_sc_hd__nand2_2
+XFILLER_368_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_342_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64677_ _80144_/Q _65357_/B VGND VGND VPWR VPWR _64678_/C sky130_fd_sc_hd__nor2_2
+XFILLER_632_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61889_ _61569_/A _61887_/Y _61889_/C VGND VGND VPWR VPWR _61890_/C sky130_fd_sc_hd__nor3_2
+XFILLER_604_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_462_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_83_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69204_ _69342_/A _69202_/Y _69204_/C VGND VGND VPWR VPWR _69204_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_247_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54430_ _54486_/A _54430_/B VGND VGND VPWR VPWR _54430_/X sky130_fd_sc_hd__or2_2
+XFILLER_70_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66416_ _67238_/A VGND VGND VPWR VPWR _67091_/A sky130_fd_sc_hd__buf_1
+XFILLER_208_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_266_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39364_ _39364_/A VGND VGND VPWR VPWR _39423_/A sky130_fd_sc_hd__buf_1
+X_51642_ _51640_/Y _51641_/X VGND VGND VPWR VPWR _77758_/D sky130_fd_sc_hd__nand2_2
+XFILLER_58_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63628_ _63337_/A _63624_/Y _63627_/Y VGND VGND VPWR VPWR _63636_/B sky130_fd_sc_hd__nor3_2
+XFILLER_242_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67396_ _67072_/A _67396_/B VGND VGND VPWR VPWR _67434_/B sky130_fd_sc_hd__nor2_2
+XFILLER_462_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_283_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_498_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_431_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_598_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_440_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_184_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_405_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_369_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38315_ _38305_/A _38305_/B _38315_/C VGND VGND VPWR VPWR _38316_/B sky130_fd_sc_hd__nand3_2
+X_81413_ _81382_/CLK _72506_/Y VGND VGND VPWR VPWR _81413_/Q sky130_fd_sc_hd__dfxtp_4
+X_69135_ _69119_/Y _69135_/B VGND VGND VPWR VPWR _69136_/B sky130_fd_sc_hd__nor2_2
+XFILLER_24_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54361_ _54359_/Y _54361_/B VGND VGND VPWR VPWR _54361_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_342_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66347_ _66177_/X _66347_/B _66346_/Y VGND VGND VPWR VPWR _66348_/C sky130_fd_sc_hd__nor3_2
+XFILLER_479_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39295_ _39295_/A VGND VGND VPWR VPWR _39310_/B sky130_fd_sc_hd__buf_1
+X_51573_ _51573_/A _51573_/B VGND VGND VPWR VPWR _51573_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_56_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63559_ _63821_/A _63557_/Y _63559_/C VGND VGND VPWR VPWR _63563_/B sky130_fd_sc_hd__nor3_2
+XFILLER_385_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_694_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_694_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_196_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56100_ _45416_/A _56086_/B VGND VGND VPWR VPWR _56101_/B sky130_fd_sc_hd__nor2_2
+XFILLER_719_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53312_ _53312_/A VGND VGND VPWR VPWR _53341_/B sky130_fd_sc_hd__buf_1
+XFILLER_672_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_123_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38246_ _38246_/A _38239_/X VGND VGND VPWR VPWR _38246_/X sky130_fd_sc_hd__or2_2
+XFILLER_539_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50524_ _62660_/A _50519_/X VGND VGND VPWR VPWR _50524_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_620_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57080_ _56844_/X _57080_/B _57079_/Y VGND VGND VPWR VPWR _57080_/Y sky130_fd_sc_hd__nor3_2
+X_81344_ _81343_/CLK _81344_/D VGND VGND VPWR VPWR _72766_/C sky130_fd_sc_hd__dfxtp_4
+X_69066_ _69066_/A _69344_/B VGND VGND VPWR VPWR _69066_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54292_ _54292_/A _54289_/B VGND VGND VPWR VPWR _54295_/A sky130_fd_sc_hd__nand2_2
+X_66278_ _65621_/A _66275_/Y _66277_/Y VGND VGND VPWR VPWR _66278_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_303_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_195_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_5_28_0_u_core.clock clkbuf_5_29_0_u_core.clock/A VGND VGND VPWR VPWR ANTENNA_560/DIODE
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_377_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_91_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56031_ _56009_/X _56031_/B _56031_/C VGND VGND VPWR VPWR _56032_/C sky130_fd_sc_hd__nor3_2
+XFILLER_203_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68017_ _67809_/X _68016_/Y VGND VGND VPWR VPWR _68018_/C sky130_fd_sc_hd__nor2_2
+XFILLER_295_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53243_ _59433_/A _53243_/B VGND VGND VPWR VPWR _53245_/A sky130_fd_sc_hd__nand2_2
+X_65229_ _66886_/A VGND VGND VPWR VPWR _65887_/B sky130_fd_sc_hd__buf_1
+XPHY_33409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_108_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50455_ _61893_/A _50458_/B VGND VGND VPWR VPWR _50455_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_535_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81275_ _79368_/CLK _73063_/Y VGND VGND VPWR VPWR _81275_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_148_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_3025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80226_ _80226_/CLK _80226_/D VGND VGND VPWR VPWR _80226_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_555_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53174_ _53172_/X _53173_/Y VGND VGND VPWR VPWR _53174_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50386_ _50266_/A _50389_/B VGND VGND VPWR VPWR _50387_/B sky130_fd_sc_hd__or2_2
+XFILLER_87_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_418_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_316_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_163_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_277_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52125_ _52125_/A VGND VGND VPWR VPWR _52125_/X sky130_fd_sc_hd__buf_1
+XPHY_22229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_688_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80157_ _80157_/CLK _39321_/Y VGND VGND VPWR VPWR _80157_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_276_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57982_ _72887_/C _58222_/B VGND VGND VPWR VPWR _57984_/B sky130_fd_sc_hd__nor2_2
+XFILLER_356_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69968_ _69968_/A VGND VGND VPWR VPWR _69969_/A sky130_fd_sc_hd__buf_1
+XFILLER_631_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_317_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59721_ _54049_/A _59721_/B VGND VGND VPWR VPWR _59722_/C sky130_fd_sc_hd__nor2_2
+XPHY_21528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_352_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56933_ _56851_/X _56930_/Y _56932_/Y VGND VGND VPWR VPWR _56933_/Y sky130_fd_sc_hd__nor3_2
+X_40070_ _40067_/X _40069_/Y VGND VGND VPWR VPWR _79967_/D sky130_fd_sc_hd__nand2_2
+XPHY_9936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52056_ _77647_/Q _52059_/B VGND VGND VPWR VPWR _52056_/Y sky130_fd_sc_hd__nand2_2
+X_68919_ _69342_/A _68919_/B _68918_/Y VGND VGND VPWR VPWR _68923_/B sky130_fd_sc_hd__nor3_2
+XPHY_21539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_105_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80088_ _80099_/CLK _80088_/D VGND VGND VPWR VPWR _39580_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_9947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_510_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69899_ _69896_/Y _69898_/X VGND VGND VPWR VPWR _69899_/X sky130_fd_sc_hd__xor2_2
+XPHY_9958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_486_3058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51007_ _51007_/A _51019_/B VGND VGND VPWR VPWR _51007_/X sky130_fd_sc_hd__or2_2
+XPHY_10304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59652_ _59327_/A _59650_/Y _59652_/C VGND VGND VPWR VPWR _59652_/Y sky130_fd_sc_hd__nor3_2
+X_71930_ _70077_/X _70730_/X VGND VGND VPWR VPWR _71931_/B sky130_fd_sc_hd__nor2_2
+XFILLER_85_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56864_ _57663_/A VGND VGND VPWR VPWR _57095_/B sky130_fd_sc_hd__buf_1
+XFILLER_317_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_439_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_609_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_320_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_28_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58603_ _58288_/A _58603_/B _58602_/Y VGND VGND VPWR VPWR _58603_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_277_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_265_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_61_0_u_core.clock clkbuf_5_30_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_6_61_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_447_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_131_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55815_ _51161_/A _55818_/B VGND VGND VPWR VPWR _55816_/B sky130_fd_sc_hd__or2_2
+XFILLER_271_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59583_ _59583_/A _59429_/B VGND VGND VPWR VPWR _59583_/Y sky130_fd_sc_hd__nor2_2
+X_71861_ _71861_/A VGND VGND VPWR VPWR _75546_/A sky130_fd_sc_hd__inv_8
+XFILLER_172_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56795_ _56795_/A _56795_/B VGND VGND VPWR VPWR _56823_/B sky130_fd_sc_hd__nor2_2
+XFILLER_466_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_609_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_603_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_407_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_265_339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_382_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_210_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73600_ _73607_/A _73597_/B _81142_/Q VGND VGND VPWR VPWR _73600_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_419_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70812_ _70812_/A VGND VGND VPWR VPWR _72036_/C sky130_fd_sc_hd__buf_1
+XFILLER_115_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58534_ _58288_/A _58534_/B _58533_/Y VGND VGND VPWR VPWR _58534_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_105_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_527_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43760_ _69005_/A _43725_/X VGND VGND VPWR VPWR _43761_/C sky130_fd_sc_hd__and2_2
+X_55746_ _54970_/A _55761_/B VGND VGND VPWR VPWR _55747_/B sky130_fd_sc_hd__or2_2
+XFILLER_369_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_605_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74580_ _74580_/A _74568_/B _80902_/Q VGND VGND VPWR VPWR _74581_/B sky130_fd_sc_hd__nand3_2
+XFILLER_463_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40972_ _40982_/A _40975_/B _65800_/A VGND VGND VPWR VPWR _40973_/B sky130_fd_sc_hd__nand3_2
+XPHY_29814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52958_ _52956_/Y _52957_/X VGND VGND VPWR VPWR _77408_/D sky130_fd_sc_hd__nand2_2
+XFILLER_625_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71792_ _71792_/A _71712_/B _71792_/C VGND VGND VPWR VPWR _71792_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_128_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_219_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_720_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_343_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_41_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42711_ _42449_/A VGND VGND VPWR VPWR _42712_/D sky130_fd_sc_hd__buf_1
+XFILLER_508_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_98_1458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73531_ _73531_/A _73531_/B _68723_/A VGND VGND VPWR VPWR _73531_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_467_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51909_ _51909_/A _51908_/X VGND VGND VPWR VPWR _51909_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58465_ _58294_/A _58465_/B _58464_/Y VGND VGND VPWR VPWR _58466_/C sky130_fd_sc_hd__nor3_2
+XFILLER_347_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70743_ _70711_/X _71904_/A VGND VGND VPWR VPWR _70743_/X sky130_fd_sc_hd__or2_2
+XFILLER_562_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43691_ _79287_/Q VGND VGND VPWR VPWR _43691_/Y sky130_fd_sc_hd__inv_8
+XFILLER_45_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55677_ _55674_/Y _55675_/Y _55677_/C VGND VGND VPWR VPWR _55677_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_611_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52889_ _61057_/A _52892_/B VGND VGND VPWR VPWR _52891_/A sky130_fd_sc_hd__nand2_2
+XANTENNA_101 _62138_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_29869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_112 _70485_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_597_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45430_ _44938_/X _45425_/Y _45429_/Y VGND VGND VPWR VPWR _45430_/X sky130_fd_sc_hd__or3_2
+XANTENNA_123 _71069_/C VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_226_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57416_ _57667_/A _57414_/Y _57416_/C VGND VGND VPWR VPWR _57417_/C sky130_fd_sc_hd__nor3_2
+XFILLER_163_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76250_ _76246_/X _76250_/B VGND VGND VPWR VPWR _76250_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_579_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_423_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42642_ _42553_/A VGND VGND VPWR VPWR _42645_/A sky130_fd_sc_hd__buf_1
+X_54628_ _76967_/Q _54616_/B VGND VGND VPWR VPWR _54628_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_699_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73462_ _73425_/A VGND VGND VPWR VPWR _73477_/B sky130_fd_sc_hd__buf_1
+XFILLER_57_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_134 _39165_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_422_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70674_ _70674_/A _70123_/B VGND VGND VPWR VPWR _70677_/A sky130_fd_sc_hd__nor2_2
+XFILLER_603_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58396_ _58560_/A _58396_/B _58395_/Y VGND VGND VPWR VPWR _58397_/B sky130_fd_sc_hd__nor3_2
+XFILLER_226_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_145 _39184_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_265_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_2_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_76_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_156 _39202_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_343_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75201_ _75184_/X _75201_/B _80746_/Q VGND VGND VPWR VPWR _75201_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_403_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_677_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_167 _39238_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_93_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72413_ _72296_/X VGND VGND VPWR VPWR _72413_/X sky130_fd_sc_hd__buf_1
+XPHY_17922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45361_ _45361_/A _45397_/B VGND VGND VPWR VPWR _45361_/X sky130_fd_sc_hd__or2_2
+XFILLER_72_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_178 _74718_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_57347_ _57347_/A _57347_/B VGND VGND VPWR VPWR _57348_/B sky130_fd_sc_hd__nor2_2
+X_76181_ _76181_/A _76180_/Y VGND VGND VPWR VPWR _80504_/D sky130_fd_sc_hd__nand2_2
+XPHY_17933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42573_ _42573_/A VGND VGND VPWR VPWR _68022_/A sky130_fd_sc_hd__inv_8
+X_54559_ _76987_/Q _54569_/B VGND VGND VPWR VPWR _54559_/Y sky130_fd_sc_hd__nand2_2
+X_73393_ _73393_/A _73392_/X VGND VGND VPWR VPWR _73393_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_41_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_189 _73023_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_243_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_35312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47100_ _46453_/B _47100_/B _46448_/B VGND VGND VPWR VPWR _47101_/B sky130_fd_sc_hd__and3_2
+XFILLER_673_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_540_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44312_ _44307_/B _44311_/X VGND VGND VPWR VPWR _44314_/B sky130_fd_sc_hd__nor2_2
+XPHY_3586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75132_ _75132_/A _75131_/Y VGND VGND VPWR VPWR _80765_/D sky130_fd_sc_hd__nand2_2
+XFILLER_576_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_70_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_376_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41524_ _41524_/A _41523_/Y VGND VGND VPWR VPWR _79596_/D sky130_fd_sc_hd__nand2_2
+XFILLER_70_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_208_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48080_ _48021_/A _48092_/B VGND VGND VPWR VPWR _48080_/X sky130_fd_sc_hd__or2_2
+XFILLER_375_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72344_ _72341_/Y _72343_/X _69857_/A VGND VGND VPWR VPWR _72345_/B sky130_fd_sc_hd__or3_2
+XPHY_3597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45292_ _45267_/A _45292_/B VGND VGND VPWR VPWR _45292_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57278_ _57278_/A _57029_/B VGND VGND VPWR VPWR _57280_/B sky130_fd_sc_hd__nor2_2
+XPHY_35345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_341_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_204_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47031_ _71808_/A _47015_/X VGND VGND VPWR VPWR _47033_/B sky130_fd_sc_hd__nor2_2
+XFILLER_196_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59017_ _59017_/A _59344_/B VGND VGND VPWR VPWR _59021_/B sky130_fd_sc_hd__nor2_2
+XFILLER_636_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56229_ _56229_/A VGND VGND VPWR VPWR _56526_/A sky130_fd_sc_hd__buf_1
+X_44243_ _44243_/A VGND VGND VPWR VPWR _44261_/A sky130_fd_sc_hd__buf_1
+XPHY_2896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75063_ _75083_/A VGND VGND VPWR VPWR _75172_/B sky130_fd_sc_hd__buf_1
+X_79940_ _79940_/CLK _79940_/D VGND VGND VPWR VPWR _40171_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_341_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41455_ _41453_/X _41454_/X _67124_/A VGND VGND VPWR VPWR _41455_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_655_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72275_ _72291_/A _72291_/B _66315_/A VGND VGND VPWR VPWR _72276_/B sky130_fd_sc_hd__nand3_2
+XFILLER_13_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_298_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_128_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_41_0_u_core.clock clkbuf_7_41_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_83_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_74014_ _74014_/A VGND VGND VPWR VPWR _74015_/A sky130_fd_sc_hd__buf_1
+XFILLER_356_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40406_ _40415_/A _40415_/B _68481_/A VGND VGND VPWR VPWR _40407_/B sky130_fd_sc_hd__nand3_2
+XFILLER_100_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_157_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71226_ _71226_/A _69563_/A VGND VGND VPWR VPWR _71226_/X sky130_fd_sc_hd__and2_2
+XFILLER_220_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44174_ _67201_/A _44174_/B _44174_/C VGND VGND VPWR VPWR _44175_/B sky130_fd_sc_hd__and3_2
+XPHY_23420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79871_ _79809_/CLK _40444_/Y VGND VGND VPWR VPWR _57639_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_632_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41386_ _41266_/A _41389_/B VGND VGND VPWR VPWR _41388_/A sky130_fd_sc_hd__or2_2
+XPHY_33954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_7_1_0_u_core.clock clkbuf_7_1_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_3_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_87_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43125_ _43125_/A VGND VGND VPWR VPWR _45074_/A sky130_fd_sc_hd__buf_1
+XFILLER_714_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78822_ _78800_/CLK _78822_/D VGND VGND VPWR VPWR _47526_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_33987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40337_ _40337_/A VGND VGND VPWR VPWR _40338_/A sky130_fd_sc_hd__buf_1
+XFILLER_452_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71157_ _71244_/A _71157_/B VGND VGND VPWR VPWR _71158_/B sky130_fd_sc_hd__nor2_2
+XFILLER_417_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48982_ _49069_/A _48957_/A VGND VGND VPWR VPWR _48983_/B sky130_fd_sc_hd__or2_2
+XFILLER_237_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_516_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_174_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_154_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_237_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70108_ _70182_/C VGND VGND VPWR VPWR _70108_/X sky130_fd_sc_hd__buf_1
+XFILLER_237_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47933_ _47843_/A _47930_/B VGND VGND VPWR VPWR _47933_/X sky130_fd_sc_hd__or2_2
+XFILLER_417_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59919_ _59763_/A _59919_/B _59918_/Y VGND VGND VPWR VPWR _59928_/B sky130_fd_sc_hd__nor3_2
+X_43056_ _43056_/A _43056_/B VGND VGND VPWR VPWR _43057_/B sky130_fd_sc_hd__nor2_2
+XFILLER_139_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78753_ _78756_/CLK _47804_/Y VGND VGND VPWR VPWR _63642_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_12240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40268_ _40268_/A _40268_/B VGND VGND VPWR VPWR _40268_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75965_ _75962_/X _75964_/Y VGND VGND VPWR VPWR _80555_/D sky130_fd_sc_hd__nand2_2
+XFILLER_589_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_569_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71088_ _70100_/X _71392_/B VGND VGND VPWR VPWR _71088_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_250_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_3_7_1_u_core.clock clkbuf_3_7_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_3_7_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_42007_ _79093_/Q VGND VGND VPWR VPWR _42007_/Y sky130_fd_sc_hd__inv_8
+XFILLER_708_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77704_ _77195_/CLK _51842_/Y VGND VGND VPWR VPWR _60979_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_29_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62930_ _60587_/A VGND VGND VPWR VPWR _63550_/B sky130_fd_sc_hd__buf_1
+XPHY_12284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74916_ _74913_/X _74915_/Y VGND VGND VPWR VPWR _80822_/D sky130_fd_sc_hd__nand2_2
+XFILLER_332_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70039_ _70628_/B VGND VGND VPWR VPWR _70558_/B sky130_fd_sc_hd__buf_1
+XFILLER_649_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47864_ _78739_/Q _47860_/B VGND VGND VPWR VPWR _47864_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_512_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78684_ _77613_/CLK _48078_/Y VGND VGND VPWR VPWR _48075_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_12295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40199_ _40202_/A _40202_/B _79932_/Q VGND VGND VPWR VPWR _40199_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_69_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75896_ _75894_/X _75895_/Y VGND VGND VPWR VPWR _80573_/D sky130_fd_sc_hd__nand2_2
+XFILLER_150_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_81_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49603_ _49601_/Y _49602_/X VGND VGND VPWR VPWR _78296_/D sky130_fd_sc_hd__nand2_2
+XFILLER_660_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46815_ _43179_/A _46815_/B VGND VGND VPWR VPWR _46815_/Y sky130_fd_sc_hd__nor2_2
+XPHY_11583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77635_ _77634_/CLK _52101_/Y VGND VGND VPWR VPWR _61453_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_211_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_662_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62861_ _62080_/A VGND VGND VPWR VPWR _62877_/A sky130_fd_sc_hd__buf_1
+X_74847_ _74843_/X _74847_/B VGND VGND VPWR VPWR _74847_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_506_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_1131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47795_ _63904_/A _47791_/B VGND VGND VPWR VPWR _47795_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_46_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_330_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_705_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_580_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_0_3218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_4_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64600_ _64600_/A VGND VGND VPWR VPWR _68958_/A sky130_fd_sc_hd__buf_1
+XFILLER_615_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_24_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61812_ _61812_/A _61184_/B VGND VGND VPWR VPWR _61812_/Y sky130_fd_sc_hd__nor2_2
+X_49534_ _49534_/A _49534_/B VGND VGND VPWR VPWR _78316_/D sky130_fd_sc_hd__nand2_2
+XFILLER_682_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46746_ _43037_/B _46750_/A VGND VGND VPWR VPWR _46747_/C sky130_fd_sc_hd__nor2_2
+XFILLER_706_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65580_ _76189_/C _65580_/B VGND VGND VPWR VPWR _65581_/C sky130_fd_sc_hd__nor2_2
+XFILLER_447_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77566_ _78545_/CLK _52368_/Y VGND VGND VPWR VPWR _60644_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_643_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43958_ _43951_/A _43956_/Y _43958_/C VGND VGND VPWR VPWR _43958_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_560_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62792_ _60449_/A VGND VGND VPWR VPWR _62792_/X sky130_fd_sc_hd__buf_1
+XFILLER_20_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74778_ _76182_/A VGND VGND VPWR VPWR _75391_/A sky130_fd_sc_hd__buf_1
+XFILLER_463_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_59_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_133_0_u_core.clock clkbuf_8_66_0_u_core.clock/X VGND VGND VPWR VPWR _78284_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_463_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79305_ _79040_/CLK _43655_/Y VGND VGND VPWR VPWR _71984_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_643_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64531_ _64531_/A _64531_/B _64530_/Y VGND VGND VPWR VPWR _64541_/B sky130_fd_sc_hd__nor3_2
+XFILLER_526_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76517_ VGND VGND VPWR VPWR _76517_/HI la_data_out[9] sky130_fd_sc_hd__conb_1
+X_42909_ _42945_/A VGND VGND VPWR VPWR _42920_/A sky130_fd_sc_hd__buf_1
+XFILLER_237_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_482_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61743_ _61278_/A _61743_/B _61742_/Y VGND VGND VPWR VPWR _61743_/Y sky130_fd_sc_hd__nor3_2
+X_49465_ _49463_/Y _49464_/X VGND VGND VPWR VPWR _78331_/D sky130_fd_sc_hd__nand2_2
+X_73729_ _73724_/X _73735_/B _65258_/A VGND VGND VPWR VPWR _73729_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_623_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_506_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46677_ _46682_/A _46677_/B _46677_/C VGND VGND VPWR VPWR _78985_/D sky130_fd_sc_hd__nor3_2
+XFILLER_614_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77497_ _77515_/CLK _77497_/D VGND VGND VPWR VPWR _77497_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_621_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43889_ _43887_/A _43897_/A VGND VGND VPWR VPWR _43889_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_678_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_225_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_8_21_0_u_core.clock clkbuf_7_10_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_21_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_188_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_111_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48416_ _63089_/A _48413_/B VGND VGND VPWR VPWR _48418_/A sky130_fd_sc_hd__nand2_2
+XFILLER_326_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67250_ _67745_/A _67243_/Y _67250_/C VGND VGND VPWR VPWR _67258_/B sky130_fd_sc_hd__nor3_2
+XFILLER_425_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_656_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79236_ _79236_/CLK _79236_/D VGND VGND VPWR VPWR _79236_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_94_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64462_ _67371_/A VGND VGND VPWR VPWR _64463_/B sky130_fd_sc_hd__buf_1
+X_45628_ _45642_/A _45628_/B _45628_/C VGND VGND VPWR VPWR _79118_/D sky130_fd_sc_hd__nor3_2
+XFILLER_604_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76448_ VGND VGND VPWR VPWR _76448_/HI io_oeb[8] sky130_fd_sc_hd__conb_1
+XFILLER_308_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_523_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_8_242_0_u_core.clock clkbuf_7_121_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_485_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_441_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61674_ _61674_/A _61203_/B VGND VGND VPWR VPWR _61674_/Y sky130_fd_sc_hd__nor2_2
+X_49396_ _61808_/A _49396_/B VGND VGND VPWR VPWR _49396_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_498_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_20_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_669_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66201_ _66183_/X _66190_/Y _66201_/C VGND VGND VPWR VPWR _66202_/B sky130_fd_sc_hd__nor3_2
+XFILLER_280_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_283_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_1525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_440_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63413_ _63413_/A _63412_/X VGND VGND VPWR VPWR _63413_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_181_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_406_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60625_ _60625_/A _60621_/Y _60624_/Y VGND VGND VPWR VPWR _60636_/B sky130_fd_sc_hd__nor3_2
+X_48347_ _48306_/A _48353_/B VGND VGND VPWR VPWR _48348_/B sky130_fd_sc_hd__or2_2
+X_67181_ _67181_/A _67178_/Y _67181_/C VGND VGND VPWR VPWR _67182_/C sky130_fd_sc_hd__nor3_2
+XFILLER_90_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79167_ _79163_/CLK _45186_/Y VGND VGND VPWR VPWR _69725_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_578_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45559_ _45539_/X VGND VGND VPWR VPWR _45559_/X sky130_fd_sc_hd__buf_1
+XPHY_37270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64393_ _65367_/A VGND VGND VPWR VPWR _66357_/A sky130_fd_sc_hd__buf_1
+X_76379_ _76389_/A _76382_/B _80454_/Q VGND VGND VPWR VPWR _76379_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_44_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66132_ _64296_/A VGND VGND VPWR VPWR _66132_/X sky130_fd_sc_hd__buf_1
+XFILLER_539_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78118_ _78121_/CLK _50277_/Y VGND VGND VPWR VPWR _61925_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_402_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_189_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_179_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39080_ _39077_/X _39079_/Y VGND VGND VPWR VPWR _80213_/D sky130_fd_sc_hd__nand2_2
+X_63344_ _62875_/A _63344_/B _63343_/Y VGND VGND VPWR VPWR _63344_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_222_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_249_0_u_core.clock clkbuf_9_249_0_u_core.clock/A VGND VGND VPWR VPWR _79751_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_638_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48278_ _48159_/X _48281_/B VGND VGND VPWR VPWR _48278_/X sky130_fd_sc_hd__or2_2
+X_60556_ _59756_/A VGND VGND VPWR VPWR _60563_/A sky130_fd_sc_hd__buf_1
+XFILLER_398_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79098_ _79074_/CLK _45777_/Y VGND VGND VPWR VPWR _42250_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_583_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_685_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_393_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47229_ _46692_/A VGND VGND VPWR VPWR _47229_/X sky130_fd_sc_hd__buf_1
+XFILLER_685_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66063_ _66063_/A _66709_/B VGND VGND VPWR VPWR _66066_/B sky130_fd_sc_hd__nor2_2
+XFILLER_591_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78049_ _78048_/CLK _78049_/D VGND VGND VPWR VPWR _50531_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_177_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63275_ _63576_/A _63272_/Y _63275_/C VGND VGND VPWR VPWR _63275_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_220_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_517_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60487_ _59684_/A VGND VGND VPWR VPWR _60650_/A sky130_fd_sc_hd__buf_1
+XFILLER_382_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_398_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_353_107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65014_ _80210_/Q _64410_/X VGND VGND VPWR VPWR _65015_/C sky130_fd_sc_hd__nor2_2
+XFILLER_454_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50240_ _50240_/A VGND VGND VPWR VPWR _50241_/B sky130_fd_sc_hd__buf_1
+XFILLER_359_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_158_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62226_ _62226_/A VGND VGND VPWR VPWR _62227_/B sky130_fd_sc_hd__buf_1
+XFILLER_296_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_122_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81060_ _81060_/CLK _73913_/Y VGND VGND VPWR VPWR _67902_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_582_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_712_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80011_ _79944_/CLK _80011_/D VGND VGND VPWR VPWR _39904_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_220_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69822_ _69798_/X VGND VGND VPWR VPWR _69822_/Y sky130_fd_sc_hd__inv_8
+XFILLER_697_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_551_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50171_ _50111_/X _50168_/B VGND VGND VPWR VPWR _50171_/X sky130_fd_sc_hd__or2_2
+XFILLER_337_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62157_ _62139_/X _62146_/Y _62157_/C VGND VGND VPWR VPWR _62157_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_689_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39982_ _39986_/A _39968_/B _79990_/Q VGND VGND VPWR VPWR _39982_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_390_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_554_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_25_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61108_ _60788_/A _61108_/B _61108_/C VGND VGND VPWR VPWR _61109_/C sky130_fd_sc_hd__nor3_2
+XFILLER_495_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38933_ _38926_/A _38926_/B _80252_/Q VGND VGND VPWR VPWR _38933_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_337_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_288_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69753_ _69752_/X VGND VGND VPWR VPWR _69754_/A sky130_fd_sc_hd__buf_1
+X_62088_ _62088_/A _61929_/B VGND VGND VPWR VPWR _62088_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_99_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66965_ _66786_/X _66965_/B _66964_/Y VGND VGND VPWR VPWR _66965_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_667_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_638_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_315_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_667_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_173_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68704_ _80489_/Q _68048_/B VGND VGND VPWR VPWR _68706_/B sky130_fd_sc_hd__nor2_2
+XFILLER_589_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53930_ _53929_/X _53934_/B VGND VGND VPWR VPWR _53930_/X sky130_fd_sc_hd__or2_2
+XFILLER_60_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65916_ _65916_/A VGND VGND VPWR VPWR _66125_/A sky130_fd_sc_hd__buf_1
+X_61039_ _60884_/A _61039_/B _61039_/C VGND VGND VPWR VPWR _61039_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_693_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38864_ _38921_/A VGND VGND VPWR VPWR _38864_/X sky130_fd_sc_hd__buf_1
+XFILLER_476_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69684_ _69611_/Y _70667_/C VGND VGND VPWR VPWR _69684_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_330_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66896_ _67056_/A _66896_/B _66896_/C VGND VGND VPWR VPWR _66901_/B sky130_fd_sc_hd__nor3_2
+XFILLER_468_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_87_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_47_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_423_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_350_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_511_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_331_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_113_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80913_ _80914_/CLK _74533_/Y VGND VGND VPWR VPWR _64751_/A sky130_fd_sc_hd__dfxtp_4
+X_68635_ _39770_/C _68297_/X VGND VGND VPWR VPWR _68636_/C sky130_fd_sc_hd__nor2_2
+XFILLER_330_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53861_ _53861_/A _53856_/X VGND VGND VPWR VPWR _53863_/A sky130_fd_sc_hd__nand2_2
+X_65847_ _65351_/A _65837_/Y _65846_/Y VGND VGND VPWR VPWR _65847_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_385_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38795_ _38805_/A _38798_/B _80289_/Q VGND VGND VPWR VPWR _38795_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_448_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_112_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_648_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_275_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55600_ _55600_/A _55600_/B _55599_/Y VGND VGND VPWR VPWR _55600_/X sky130_fd_sc_hd__or3_2
+XFILLER_256_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52812_ _52812_/A _52811_/X VGND VGND VPWR VPWR _52812_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_465_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_426_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_606_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56580_ _58821_/A _56576_/Y _56580_/C VGND VGND VPWR VPWR _56590_/A sky130_fd_sc_hd__nor3_2
+XFILLER_348_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80844_ _80844_/CLK _80844_/D VGND VGND VPWR VPWR _74832_/C sky130_fd_sc_hd__dfxtp_4
+X_68566_ _81096_/Q _68391_/B VGND VGND VPWR VPWR _68567_/C sky130_fd_sc_hd__nor2_2
+XFILLER_509_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53792_ _53643_/A VGND VGND VPWR VPWR _53850_/A sky130_fd_sc_hd__buf_1
+XFILLER_446_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65778_ _65778_/A _65103_/B VGND VGND VPWR VPWR _65778_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_585_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_468_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_3005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_633_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_266_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55531_ _55531_/A VGND VGND VPWR VPWR _55608_/C sky130_fd_sc_hd__inv_8
+XFILLER_227_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67517_ _67517_/A _67517_/B VGND VGND VPWR VPWR _67517_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_661_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52743_ _60893_/A _52728_/X VGND VGND VPWR VPWR _52745_/A sky130_fd_sc_hd__nand2_2
+XFILLER_329_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64729_ _64496_/X _64727_/Y _64728_/Y VGND VGND VPWR VPWR _64733_/B sky130_fd_sc_hd__nor3_2
+XFILLER_524_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80775_ _80841_/CLK _75093_/Y VGND VGND VPWR VPWR _68359_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_578_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68497_ _66027_/A VGND VGND VPWR VPWR _68497_/X sky130_fd_sc_hd__buf_1
+XFILLER_288_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_563_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_58_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39416_ _39434_/A VGND VGND VPWR VPWR _39431_/B sky130_fd_sc_hd__buf_1
+XFILLER_407_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58250_ _68260_/A _58250_/B VGND VGND VPWR VPWR _58250_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_621_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_522_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55462_ _55451_/X _55461_/X VGND VGND VPWR VPWR _76808_/D sky130_fd_sc_hd__nand2_2
+XFILLER_460_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_149_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67448_ _67448_/A _66792_/X VGND VGND VPWR VPWR _67448_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_42_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52674_ _52609_/X _52692_/B VGND VGND VPWR VPWR _52675_/B sky130_fd_sc_hd__or2_2
+XFILLER_262_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_opt_0_u_core.clock _79500_/CLK VGND VGND VPWR VPWR _79037_/CLK sky130_fd_sc_hd__clkbuf_16
+XFILLER_215_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_579_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57201_ _57122_/A _57201_/B VGND VGND VPWR VPWR _57226_/B sky130_fd_sc_hd__nor2_2
+XFILLER_247_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_19_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54413_ _77026_/Q _54420_/B VGND VGND VPWR VPWR _54413_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_342_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_3046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_674_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39347_ _39344_/X _39346_/Y VGND VGND VPWR VPWR _80150_/D sky130_fd_sc_hd__nand2_2
+X_51625_ _51563_/A _51643_/B VGND VGND VPWR VPWR _51625_/X sky130_fd_sc_hd__or2_2
+XFILLER_209_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_577_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58181_ _57942_/X _58147_/X _58180_/X _74444_/A _58025_/X VGND VGND VPWR VPWR _76666_/D
++ sky130_fd_sc_hd__a32oi_4
+XPHY_17229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_282_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_240_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_659_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55393_ _55393_/A _55393_/B VGND VGND VPWR VPWR _55394_/B sky130_fd_sc_hd__nor2_2
+X_67379_ _67379_/A _67055_/B VGND VGND VPWR VPWR _67379_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_557_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_620_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57132_ _56389_/A VGND VGND VPWR VPWR _57135_/A sky130_fd_sc_hd__buf_1
+XPHY_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69118_ _68641_/X _69114_/Y _69117_/Y VGND VGND VPWR VPWR _69118_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_168_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54344_ _54344_/A _54362_/B VGND VGND VPWR VPWR _54345_/B sky130_fd_sc_hd__or2_2
+XPHY_16528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51556_ _51556_/A _51555_/X VGND VGND VPWR VPWR _51560_/A sky130_fd_sc_hd__nand2_2
+XFILLER_36_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39278_ _39288_/A _39292_/B _80169_/Q VGND VGND VPWR VPWR _39279_/B sky130_fd_sc_hd__nand3_2
+XFILLER_440_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70390_ _70390_/A VGND VGND VPWR VPWR _70390_/Y sky130_fd_sc_hd__inv_8
+XPHY_2159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_715_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_358_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_570_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_497_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_652_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_127_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38229_ _38331_/B VGND VGND VPWR VPWR _38241_/B sky130_fd_sc_hd__buf_1
+X_50507_ _50505_/Y _50507_/B VGND VGND VPWR VPWR _78056_/D sky130_fd_sc_hd__nand2_2
+XFILLER_595_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81327_ _81393_/CLK _81327_/D VGND VGND VPWR VPWR _72828_/C sky130_fd_sc_hd__dfxtp_4
+X_57063_ _57063_/A _57062_/Y VGND VGND VPWR VPWR _57063_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_211_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69049_ _68902_/A _69049_/B _69048_/Y VGND VGND VPWR VPWR _69053_/B sky130_fd_sc_hd__nor3_2
+XPHY_1458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54275_ _54244_/X _54266_/B VGND VGND VPWR VPWR _54275_/X sky130_fd_sc_hd__or2_2
+XFILLER_123_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51487_ _60862_/A _51490_/B VGND VGND VPWR VPWR _51489_/A sky130_fd_sc_hd__nand2_2
+XPHY_1469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_238_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56014_ _56082_/B VGND VGND VPWR VPWR _56014_/X sky130_fd_sc_hd__buf_1
+X_41240_ _41240_/A VGND VGND VPWR VPWR _41256_/B sky130_fd_sc_hd__buf_1
+XFILLER_197_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53226_ _53226_/A _53226_/B VGND VGND VPWR VPWR _77340_/D sky130_fd_sc_hd__nand2_2
+XFILLER_397_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72060_ _70385_/A _72059_/X VGND VGND VPWR VPWR _72060_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_325_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_516_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50438_ _50435_/Y _50437_/X VGND VGND VPWR VPWR _50438_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_514_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_3068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81258_ _81273_/CLK _73136_/Y VGND VGND VPWR VPWR _68913_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_570_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_88_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_570_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71011_ _71181_/A VGND VGND VPWR VPWR _71175_/A sky130_fd_sc_hd__inv_8
+XFILLER_234_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_197_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80209_ _80304_/CLK _80209_/D VGND VGND VPWR VPWR _39092_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_22015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_317_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41171_ _41022_/X _41168_/B VGND VGND VPWR VPWR _41171_/X sky130_fd_sc_hd__or2_2
+XFILLER_137_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_175_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53157_ _41812_/Y _53148_/X _41814_/Y _53149_/X VGND VGND VPWR VPWR _53158_/A sky130_fd_sc_hd__o22a_4
+XFILLER_650_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50369_ _48422_/X _50369_/B VGND VGND VPWR VPWR _50372_/A sky130_fd_sc_hd__or2_2
+XFILLER_191_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81189_ _81201_/CLK _73424_/Y VGND VGND VPWR VPWR _81189_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_371_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_530_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40122_ _40120_/X _40121_/Y VGND VGND VPWR VPWR _79952_/D sky130_fd_sc_hd__nand2_2
+X_52108_ _52108_/A _52107_/X VGND VGND VPWR VPWR _52108_/Y sky130_fd_sc_hd__nand2_2
+XPHY_21314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_152_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57965_ _80131_/Q _57730_/B VGND VGND VPWR VPWR _57965_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_412_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53088_ _53088_/A _53087_/X VGND VGND VPWR VPWR _77371_/D sky130_fd_sc_hd__nand2_2
+XFILLER_43_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_675_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_611_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_191_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_513_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59704_ _59383_/A _59704_/B _59704_/C VGND VGND VPWR VPWR _59705_/C sky130_fd_sc_hd__nor3_2
+XFILLER_105_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_216_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40053_ _40038_/X _40065_/B _57945_/A VGND VGND VPWR VPWR _40053_/Y sky130_fd_sc_hd__nand3_2
+X_44930_ _44951_/A VGND VGND VPWR VPWR _44937_/A sky130_fd_sc_hd__buf_1
+XPHY_9766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52039_ _52039_/A _52053_/B VGND VGND VPWR VPWR _52042_/A sky130_fd_sc_hd__nand2_2
+XFILLER_479_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56916_ _56913_/X _56914_/Y _56916_/C VGND VGND VPWR VPWR _56917_/C sky130_fd_sc_hd__nor3_2
+XPHY_20624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75750_ _75750_/A _75749_/Y VGND VGND VPWR VPWR _75750_/Y sky130_fd_sc_hd__nand2_2
+XPHY_21369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_234_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72962_ _72960_/X _72962_/B VGND VGND VPWR VPWR _72962_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_310_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57896_ _57808_/X _57896_/B _57896_/C VGND VGND VPWR VPWR _57897_/B sky130_fd_sc_hd__nor3_2
+XFILLER_431_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74701_ _75210_/A _74685_/X VGND VGND VPWR VPWR _74701_/X sky130_fd_sc_hd__or2_2
+XFILLER_480_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_120_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71913_ _71900_/Y _71910_/X _71115_/X _71912_/Y VGND VGND VPWR VPWR _42766_/B sky130_fd_sc_hd__o22a_4
+XFILLER_666_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59635_ _63893_/A _59635_/B _59635_/C VGND VGND VPWR VPWR _59636_/C sky130_fd_sc_hd__nor3_2
+XPHY_10145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44861_ _44897_/A _44861_/B VGND VGND VPWR VPWR _79182_/D sky130_fd_sc_hd__nor2_2
+X_56847_ _56844_/X _56845_/Y _56846_/Y VGND VGND VPWR VPWR _56847_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_684_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75681_ _75678_/X _75681_/B VGND VGND VPWR VPWR _75681_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_388_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72893_ _72893_/A VGND VGND VPWR VPWR _73923_/A sky130_fd_sc_hd__buf_1
+XFILLER_644_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_683_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46600_ _42871_/X _46567_/A VGND VGND VPWR VPWR _46605_/B sky130_fd_sc_hd__nor2_2
+XFILLER_21_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77420_ _77836_/CLK _77420_/D VGND VGND VPWR VPWR _77420_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_65_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43812_ _43812_/A _43812_/B VGND VGND VPWR VPWR _43812_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_47_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_655_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74632_ _74112_/A _74646_/B VGND VGND VPWR VPWR _74632_/X sky130_fd_sc_hd__or2_2
+XFILLER_265_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_169_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47580_ _47578_/Y _47579_/X VGND VGND VPWR VPWR _47580_/Y sky130_fd_sc_hd__nand2_2
+X_59566_ _60050_/A _59566_/B _59565_/Y VGND VGND VPWR VPWR _59566_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_408_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71844_ _71844_/A _71844_/B VGND VGND VPWR VPWR _71844_/X sky130_fd_sc_hd__and2_2
+XFILLER_210_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_666_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_388_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_560_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44792_ _44848_/A _44792_/B VGND VGND VPWR VPWR _79192_/D sky130_fd_sc_hd__nor2_2
+XPHY_29600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56778_ _81300_/Q _56778_/B VGND VGND VPWR VPWR _56778_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_644_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_46_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46531_ _46529_/X _46530_/X VGND VGND VPWR VPWR _79008_/D sky130_fd_sc_hd__nand2_2
+XFILLER_111_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58517_ _58517_/A VGND VGND VPWR VPWR _58658_/B sky130_fd_sc_hd__buf_1
+X_77351_ _77318_/CLK _77351_/D VGND VGND VPWR VPWR _53179_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_670_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_597_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43743_ _43823_/A VGND VGND VPWR VPWR _43807_/B sky130_fd_sc_hd__buf_1
+X_55729_ io_out[23] _55711_/B VGND VGND VPWR VPWR _55731_/B sky130_fd_sc_hd__nor2_2
+XFILLER_246_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74563_ _74563_/A _74554_/B _80906_/Q VGND VGND VPWR VPWR _74563_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_436_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_679_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40955_ _40955_/A _40955_/B VGND VGND VPWR VPWR _79740_/D sky130_fd_sc_hd__nand2_2
+XPHY_29644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71775_ _71771_/X _71775_/B VGND VGND VPWR VPWR _71775_/Y sky130_fd_sc_hd__nand2_2
+X_59497_ _58992_/X _59495_/Y _59497_/C VGND VGND VPWR VPWR _59501_/B sky130_fd_sc_hd__nor3_2
+XPHY_19121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_74_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_95_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_722_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_47_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_273_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_111_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76302_ _76284_/A VGND VGND VPWR VPWR _76303_/B sky130_fd_sc_hd__buf_1
+XFILLER_234_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73514_ _73511_/A _73523_/B _69319_/A VGND VGND VPWR VPWR _73514_/Y sky130_fd_sc_hd__nand3_2
+XPHY_28932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49250_ _49250_/A _49249_/X VGND VGND VPWR VPWR _49250_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_284_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46462_ _46462_/A _46430_/Y _46461_/Y VGND VGND VPWR VPWR _46462_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_562_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58448_ _58049_/A VGND VGND VPWR VPWR _58451_/A sky130_fd_sc_hd__buf_1
+X_70726_ _70726_/A _70726_/B VGND VGND VPWR VPWR _70726_/X sky130_fd_sc_hd__and2_2
+XPHY_28943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77282_ _77275_/CLK _53449_/Y VGND VGND VPWR VPWR _77282_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_76_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43674_ _43877_/A VGND VGND VPWR VPWR _43674_/X sky130_fd_sc_hd__buf_1
+XPHY_4040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74494_ _74494_/A _74510_/B VGND VGND VPWR VPWR _74495_/B sky130_fd_sc_hd__or2_2
+XPHY_19165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40886_ _40889_/A _40889_/B _58841_/A VGND VGND VPWR VPWR _40887_/B sky130_fd_sc_hd__nand3_2
+XFILLER_249_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48201_ _48200_/X VGND VGND VPWR VPWR _48201_/X sky130_fd_sc_hd__buf_1
+XPHY_4062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79021_ _79501_/CLK _79021_/D VGND VGND VPWR VPWR _79021_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_441_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45413_ _45413_/A _45412_/Y VGND VGND VPWR VPWR _55453_/B sky130_fd_sc_hd__nor2_2
+XPHY_28976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76233_ _76231_/X _76233_/B VGND VGND VPWR VPWR _76233_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_403_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42625_ _42625_/A _42623_/Y _42624_/X _42594_/D VGND VGND VPWR VPWR _42625_/Y sky130_fd_sc_hd__nor4_2
+X_49181_ _78401_/Q _49169_/X VGND VGND VPWR VPWR _49183_/A sky130_fd_sc_hd__nand2_2
+X_73445_ _73441_/A _73444_/X _81183_/Q VGND VGND VPWR VPWR _73445_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_50_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46393_ _44949_/A _46393_/B VGND VGND VPWR VPWR _46393_/Y sky130_fd_sc_hd__nor2_2
+X_58379_ _58217_/A _58379_/B VGND VGND VPWR VPWR _58380_/C sky130_fd_sc_hd__nor2_2
+XFILLER_26_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70657_ _70657_/A _70680_/B VGND VGND VPWR VPWR _70657_/X sky130_fd_sc_hd__or2_2
+XFILLER_699_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_163_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_206_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48132_ _47817_/A VGND VGND VPWR VPWR _48134_/A sky130_fd_sc_hd__buf_1
+X_60410_ _53309_/A _60095_/B VGND VGND VPWR VPWR _60411_/C sky130_fd_sc_hd__nor2_2
+XPHY_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45344_ _45343_/X VGND VGND VPWR VPWR _45345_/B sky130_fd_sc_hd__inv_8
+XFILLER_223_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76164_ _76164_/A VGND VGND VPWR VPWR _76165_/A sky130_fd_sc_hd__buf_1
+XFILLER_375_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_91 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42556_ _42510_/X _42555_/X _42554_/Y _42514_/X VGND VGND VPWR VPWR _42556_/X sky130_fd_sc_hd__o22a_4
+X_73376_ _73374_/Y _73375_/X VGND VGND VPWR VPWR _73376_/Y sky130_fd_sc_hd__nand2_2
+X_61390_ _77411_/Q _61390_/B VGND VGND VPWR VPWR _61393_/B sky130_fd_sc_hd__nor2_2
+XFILLER_243_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_14_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70588_ _76654_/Q _70558_/B VGND VGND VPWR VPWR _70588_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_651_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_477_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_204_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_54_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75115_ _75115_/A VGND VGND VPWR VPWR _75119_/B sky130_fd_sc_hd__buf_1
+XFILLER_493_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_6_7_0_u_core.clock clkbuf_6_6_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_6_7_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_477_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_655_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41507_ _41517_/A _41394_/B _56479_/A VGND VGND VPWR VPWR _41508_/B sky130_fd_sc_hd__nand3_2
+XFILLER_204_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48063_ _48063_/A _48063_/B VGND VGND VPWR VPWR _48063_/X sky130_fd_sc_hd__or2_2
+X_60341_ _77212_/Q _60010_/B VGND VGND VPWR VPWR _60343_/B sky130_fd_sc_hd__nor2_2
+XPHY_2682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72327_ _72324_/X _72327_/B VGND VGND VPWR VPWR _72327_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_159_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_50_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45275_ _45263_/Y _45274_/Y _45154_/X VGND VGND VPWR VPWR _45275_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_200_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76095_ _76084_/A VGND VGND VPWR VPWR _76146_/A sky130_fd_sc_hd__buf_1
+XFILLER_616_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42487_ _42755_/A VGND VGND VPWR VPWR _42558_/A sky130_fd_sc_hd__buf_1
+XFILLER_471_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_575_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47014_ _47023_/A _47014_/B VGND VGND VPWR VPWR _47019_/A sky130_fd_sc_hd__or2_2
+XFILLER_713_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44226_ _44226_/A _44258_/B _44226_/C VGND VGND VPWR VPWR _44227_/B sky130_fd_sc_hd__and3_2
+XPHY_1981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63060_ _78829_/Q _62907_/B VGND VGND VPWR VPWR _63060_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_471_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75046_ _75046_/A _75039_/B VGND VGND VPWR VPWR _75046_/X sky130_fd_sc_hd__or2_2
+X_79923_ _79925_/CLK _79923_/D VGND VGND VPWR VPWR _40231_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_176_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41438_ _41438_/A _41438_/B VGND VGND VPWR VPWR _79620_/D sky130_fd_sc_hd__nand2_2
+XFILLER_655_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60272_ _60272_/A _59632_/B VGND VGND VPWR VPWR _60274_/B sky130_fd_sc_hd__nor2_2
+Xclkbuf_9_430_0_u_core.clock clkbuf_9_431_0_u_core.clock/A VGND VGND VPWR VPWR _80031_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_15_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72258_ _72256_/X _72258_/B VGND VGND VPWR VPWR _72258_/Y sky130_fd_sc_hd__nand2_2
+XPHY_1992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_506_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62011_ _60449_/A VGND VGND VPWR VPWR _62012_/B sky130_fd_sc_hd__buf_1
+XPHY_33762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_100_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71209_ _71205_/X _71207_/Y _71208_/X _71015_/Y VGND VGND VPWR VPWR _71210_/B sky130_fd_sc_hd__o22a_4
+XFILLER_679_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_128_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44157_ _73172_/A _44224_/B VGND VGND VPWR VPWR _44160_/A sky130_fd_sc_hd__nor2_2
+XFILLER_278_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_143_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79854_ _80013_/CLK _40525_/Y VGND VGND VPWR VPWR _58790_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_471_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41369_ _41120_/X _41376_/B VGND VGND VPWR VPWR _41369_/X sky130_fd_sc_hd__or2_2
+XFILLER_139_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72189_ _72189_/A VGND VGND VPWR VPWR _72274_/A sky130_fd_sc_hd__buf_1
+XPHY_23261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_142_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_316_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_471_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_343_173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_304_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43108_ _43108_/A _43108_/B VGND VGND VPWR VPWR _43109_/C sky130_fd_sc_hd__nor2_2
+XFILLER_316_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78805_ _78307_/CLK _78805_/D VGND VGND VPWR VPWR _63048_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_343_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_432_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48965_ _63681_/A _48958_/B VGND VGND VPWR VPWR _48968_/A sky130_fd_sc_hd__nand2_2
+X_44088_ _43826_/X _44086_/C VGND VGND VPWR VPWR _44088_/Y sky130_fd_sc_hd__nor2_2
+XPHY_22560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79785_ _79778_/CLK _40785_/Y VGND VGND VPWR VPWR _58443_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_83_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76997_ _76998_/CLK _76997_/D VGND VGND VPWR VPWR _54514_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_678_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_256_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_252_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47916_ _47946_/A _53706_/A VGND VGND VPWR VPWR _47926_/A sky130_fd_sc_hd__or2_2
+XFILLER_413_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43039_ _43038_/Y _43035_/A VGND VGND VPWR VPWR _43040_/B sky130_fd_sc_hd__or2_2
+XFILLER_135_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66750_ _67406_/A _66750_/B _66749_/Y VGND VGND VPWR VPWR _66754_/B sky130_fd_sc_hd__nor3_2
+XPHY_12070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78736_ _78746_/CLK _78736_/D VGND VGND VPWR VPWR _78736_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63962_ _59363_/A _63960_/Y _63962_/C VGND VGND VPWR VPWR _63962_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_44_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75948_ _75970_/A VGND VGND VPWR VPWR _75948_/X sky130_fd_sc_hd__buf_1
+XFILLER_96_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_684_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48896_ _48867_/A _48893_/B VGND VGND VPWR VPWR _48896_/X sky130_fd_sc_hd__or2_2
+XFILLER_69_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65701_ _65863_/A _65701_/B _65700_/Y VGND VGND VPWR VPWR _65702_/C sky130_fd_sc_hd__nor3_2
+XFILLER_484_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_473_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62913_ _62446_/A _62911_/Y _62913_/C VGND VGND VPWR VPWR _62913_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_97_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47847_ _47847_/A _47834_/X VGND VGND VPWR VPWR _47847_/X sky130_fd_sc_hd__or2_2
+XFILLER_473_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_170_1549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66681_ _80316_/Q _67185_/B VGND VGND VPWR VPWR _66682_/C sky130_fd_sc_hd__nor2_2
+XPHY_11380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78667_ _78667_/CLK _78667_/D VGND VGND VPWR VPWR _63892_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_649_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63893_ _63893_/A _63893_/B _63893_/C VGND VGND VPWR VPWR _63893_/Y sky130_fd_sc_hd__nor3_2
+X_75879_ _75897_/A VGND VGND VPWR VPWR _75891_/B sky130_fd_sc_hd__buf_1
+XFILLER_113_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_604_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_111_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68420_ _68249_/X _68420_/B _68420_/C VGND VGND VPWR VPWR _68421_/C sky130_fd_sc_hd__nor3_2
+XFILLER_110_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65632_ _65787_/A _65631_/Y VGND VGND VPWR VPWR _65633_/C sky130_fd_sc_hd__nor2_2
+X_77618_ _77628_/CLK _77618_/D VGND VGND VPWR VPWR _61303_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_365_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38580_ _38578_/X _38579_/Y VGND VGND VPWR VPWR _38580_/Y sky130_fd_sc_hd__nand2_2
+X_62844_ _62997_/A _62844_/B _62843_/Y VGND VGND VPWR VPWR _62844_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_469_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_148_0_u_core.clock clkbuf_7_74_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_297_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_47778_ _47778_/A _47763_/B VGND VGND VPWR VPWR _47778_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_300_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78598_ _78675_/CLK _48418_/Y VGND VGND VPWR VPWR _63089_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_130_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_580_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_560_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_42_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49517_ _49635_/A _49522_/B VGND VGND VPWR VPWR _49517_/X sky130_fd_sc_hd__or2_2
+XFILLER_703_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68351_ _67701_/A _68351_/B _68350_/Y VGND VGND VPWR VPWR _68351_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_447_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_49_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46729_ _46729_/A VGND VGND VPWR VPWR _46732_/B sky130_fd_sc_hd__buf_1
+X_65563_ _66386_/A VGND VGND VPWR VPWR _66219_/B sky130_fd_sc_hd__buf_1
+XFILLER_443_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_408_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77549_ _77540_/CLK _52427_/Y VGND VGND VPWR VPWR _60493_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_540_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_20_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62775_ _50910_/A _62147_/X VGND VGND VPWR VPWR _62775_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_77_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_96_0_u_core.clock clkbuf_7_48_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_96_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_181_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_111_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_59_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_640_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_345_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_263_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_0_1613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67302_ _67630_/A _67300_/Y _67301_/Y VGND VGND VPWR VPWR _67303_/C sky130_fd_sc_hd__nor3_2
+XFILLER_482_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_146_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_603_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64514_ _65255_/A _64514_/B _64513_/Y VGND VGND VPWR VPWR _64515_/B sky130_fd_sc_hd__nor3_2
+XFILLER_64_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49448_ _49446_/Y _49447_/X VGND VGND VPWR VPWR _49448_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_146_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61726_ _61416_/A _61722_/Y _61726_/C VGND VGND VPWR VPWR _61726_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_252_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80560_ _80584_/CLK _75943_/Y VGND VGND VPWR VPWR _80560_/Q sky130_fd_sc_hd__dfxtp_4
+X_68282_ _81318_/Q _67628_/X VGND VGND VPWR VPWR _68282_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_24_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65494_ _64801_/A _65492_/Y _65493_/Y VGND VGND VPWR VPWR _65495_/C sky130_fd_sc_hd__nor3_2
+XFILLER_168_1467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_621_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39201_ _39198_/X _39201_/B VGND VGND VPWR VPWR _39201_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_244_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_361_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67233_ _67392_/A _67233_/B _67232_/Y VGND VGND VPWR VPWR _67233_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_463_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79219_ _81212_/CLK _44545_/Y VGND VGND VPWR VPWR _79219_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_0_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_94_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64445_ _75297_/C _64444_/X VGND VGND VPWR VPWR _64445_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_280_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_55_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61657_ _77828_/Q _61657_/B VGND VGND VPWR VPWR _61659_/B sky130_fd_sc_hd__nor2_2
+XFILLER_72_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80491_ _80465_/CLK _80491_/D VGND VGND VPWR VPWR _80491_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_421_2845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_107_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49379_ _49379_/A _49379_/B VGND VGND VPWR VPWR _78355_/D sky130_fd_sc_hd__nand2_2
+XFILLER_343_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_656_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_476_3035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_476_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51410_ _51410_/A VGND VGND VPWR VPWR _51715_/A sky130_fd_sc_hd__buf_1
+XFILLER_326_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39132_ _39132_/A VGND VGND VPWR VPWR _39133_/A sky130_fd_sc_hd__buf_1
+XFILLER_280_2993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_94_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60608_ _59808_/A VGND VGND VPWR VPWR _60756_/B sky130_fd_sc_hd__buf_1
+XFILLER_307_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_574_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67164_ _67164_/A _67652_/B VGND VGND VPWR VPWR _67166_/B sky130_fd_sc_hd__nor2_2
+XFILLER_410_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52390_ _52388_/Y _52389_/X VGND VGND VPWR VPWR _52390_/Y sky130_fd_sc_hd__nand2_2
+X_64376_ _69300_/A VGND VGND VPWR VPWR _65017_/A sky130_fd_sc_hd__buf_1
+XFILLER_261_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61588_ _61438_/A _61588_/B _61588_/C VGND VGND VPWR VPWR _61588_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_410_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_205_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_123_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_124_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_12 io_in[28] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_519_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66115_ _66115_/A _66111_/Y _66114_/Y VGND VGND VPWR VPWR _66115_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_296_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_23 io_in[30] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_39063_ _38567_/A _39056_/B VGND VGND VPWR VPWR _39065_/A sky130_fd_sc_hd__or2_2
+XFILLER_394_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51341_ _51338_/X VGND VGND VPWR VPWR _51363_/B sky130_fd_sc_hd__buf_1
+X_63327_ _62859_/A _63327_/B _63327_/C VGND VGND VPWR VPWR _63328_/C sky130_fd_sc_hd__nor3_2
+XFILLER_555_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_571_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_355_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_34 wb_rst_i VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_382_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60539_ _60539_/A _60377_/X VGND VGND VPWR VPWR _60540_/C sky130_fd_sc_hd__nor2_2
+XFILLER_304_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67095_ _67092_/X _67095_/B _67094_/Y VGND VGND VPWR VPWR _67095_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_528_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_45 wbs_adr_i[4] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_366_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_193_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_56 _56414_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_454_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_200_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_517_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_296_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_50_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_67 _58263_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_536_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_81112_ _81112_/CLK _73714_/Y VGND VGND VPWR VPWR _65917_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_119_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54060_ _54058_/Y _54060_/B VGND VGND VPWR VPWR _77117_/D sky130_fd_sc_hd__nand2_2
+X_66046_ _43718_/A _66210_/B VGND VGND VPWR VPWR _66049_/B sky130_fd_sc_hd__nor2_2
+XFILLER_101_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_78 _59453_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_570_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51272_ _53075_/A VGND VGND VPWR VPWR _51391_/A sky130_fd_sc_hd__buf_1
+X_63258_ _58912_/X VGND VGND VPWR VPWR _63832_/A sky130_fd_sc_hd__buf_1
+XFILLER_716_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_89 _60576_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_713_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_391_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53011_ _53011_/A _53010_/X VGND VGND VPWR VPWR _53011_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_192_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_710_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_634_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50223_ _50220_/Y _50223_/B VGND VGND VPWR VPWR _50223_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_337_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81043_ _81166_/CLK _73975_/Y VGND VGND VPWR VPWR _81043_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_107_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62209_ _62209_/A _62055_/B VGND VGND VPWR VPWR _62209_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_137_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_174_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_651_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_513_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_350_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63189_ _60055_/A VGND VGND VPWR VPWR _63231_/A sky130_fd_sc_hd__buf_1
+XFILLER_118_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_277_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_390_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_634_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69805_ _42129_/A _69805_/B VGND VGND VPWR VPWR _69805_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_512_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_471_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50154_ _52065_/A _50061_/X VGND VGND VPWR VPWR _50180_/B sky130_fd_sc_hd__or2_2
+XFILLER_689_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_76_0_u_core.clock clkbuf_9_77_0_u_core.clock/A VGND VGND VPWR VPWR _77506_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_697_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39965_ _39965_/A _39965_/B VGND VGND VPWR VPWR _39965_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_372_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67997_ _58056_/A _68156_/B VGND VGND VPWR VPWR _67997_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_454_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_251_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38916_ _38916_/A _38915_/Y VGND VGND VPWR VPWR _38916_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_511_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57750_ _80960_/Q _57584_/B VGND VGND VPWR VPWR _57751_/C sky130_fd_sc_hd__nor2_2
+X_69736_ _69836_/A VGND VGND VPWR VPWR _55908_/B sky130_fd_sc_hd__buf_1
+XFILLER_700_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_88_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50085_ _50028_/A _50085_/B VGND VGND VPWR VPWR _50085_/X sky130_fd_sc_hd__or2_2
+X_66948_ _66293_/A _66948_/B _66947_/Y VGND VGND VPWR VPWR _67027_/B sky130_fd_sc_hd__nor3_2
+X_54962_ _54962_/A _54961_/X VGND VGND VPWR VPWR _54962_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_350_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_638_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39896_ _39885_/A _39904_/B _69383_/A VGND VGND VPWR VPWR _39897_/B sky130_fd_sc_hd__nand3_2
+XPHY_8339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_99_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_88_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56701_ _65139_/A _56622_/B VGND VGND VPWR VPWR _56701_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_101_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53913_ _53913_/A VGND VGND VPWR VPWR _53932_/B sky130_fd_sc_hd__buf_1
+XFILLER_173_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_88_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38847_ _38600_/A _38850_/B VGND VGND VPWR VPWR _38849_/A sky130_fd_sc_hd__or2_2
+XFILLER_492_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_436_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57681_ _75767_/C _57512_/B VGND VGND VPWR VPWR _57683_/B sky130_fd_sc_hd__nor2_2
+XFILLER_666_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69667_ _69723_/A _64072_/A VGND VGND VPWR VPWR _69668_/C sky130_fd_sc_hd__nor2_2
+XFILLER_693_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_130_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54893_ _54949_/A _54893_/B VGND VGND VPWR VPWR _54894_/B sky130_fd_sc_hd__or2_2
+XFILLER_9_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66879_ _66879_/A _66540_/B VGND VGND VPWR VPWR _66879_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_487_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_153_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_653_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_350_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59420_ _59578_/A _59420_/B _59419_/Y VGND VGND VPWR VPWR _59432_/B sky130_fd_sc_hd__nor3_2
+XFILLER_47_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56632_ _56632_/A VGND VGND VPWR VPWR _56633_/B sky130_fd_sc_hd__buf_1
+XFILLER_25_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68618_ _68618_/A _68788_/B VGND VGND VPWR VPWR _68619_/C sky130_fd_sc_hd__nor2_2
+XFILLER_247_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53844_ _53844_/A _53841_/B VGND VGND VPWR VPWR _53844_/X sky130_fd_sc_hd__or2_2
+XFILLER_214_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38778_ _38778_/A _38778_/B VGND VGND VPWR VPWR _38778_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_465_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69598_ _69594_/A VGND VGND VPWR VPWR _69601_/A sky130_fd_sc_hd__inv_8
+XPHY_6948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_448_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_228_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59351_ _59512_/A _59351_/B _59350_/Y VGND VGND VPWR VPWR _59351_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_262_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80827_ _80826_/CLK _80827_/D VGND VGND VPWR VPWR _66390_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_606_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56563_ _64790_/A _56392_/B VGND VGND VPWR VPWR _56563_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_268_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68549_ _68549_/A _68052_/B VGND VGND VPWR VPWR _68549_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_524_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53775_ _53626_/A VGND VGND VPWR VPWR _53838_/A sky130_fd_sc_hd__buf_1
+XFILLER_426_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_543_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50987_ _77926_/Q _50987_/B VGND VGND VPWR VPWR _50989_/A sky130_fd_sc_hd__nand2_2
+XFILLER_721_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_210_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_288_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_285_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58302_ _80967_/Q _58472_/B VGND VGND VPWR VPWR _58302_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_383_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55514_ _55250_/X _55644_/B VGND VGND VPWR VPWR _55514_/X sky130_fd_sc_hd__or2_2
+XFILLER_704_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_309_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40740_ _40740_/A _40740_/B VGND VGND VPWR VPWR _79796_/D sky130_fd_sc_hd__nand2_2
+XFILLER_633_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52726_ _52278_/X VGND VGND VPWR VPWR _52791_/B sky130_fd_sc_hd__buf_1
+XFILLER_639_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59282_ _64002_/A _59282_/B _59281_/Y VGND VGND VPWR VPWR _59288_/B sky130_fd_sc_hd__nor3_2
+X_71560_ _71500_/A _71560_/B _71559_/Y VGND VGND VPWR VPWR _71560_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_598_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56494_ _56364_/X _56493_/Y VGND VGND VPWR VPWR _56494_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_309_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80758_ _80852_/CLK _80758_/D VGND VGND VPWR VPWR _80758_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_27505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_578_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_305_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_404_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58233_ _58082_/A _58233_/B _58233_/C VGND VGND VPWR VPWR _58239_/B sky130_fd_sc_hd__nor3_2
+X_70511_ _70559_/A VGND VGND VPWR VPWR _70572_/A sky130_fd_sc_hd__buf_1
+XFILLER_696_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55445_ _55469_/A _55445_/B _55444_/Y VGND VGND VPWR VPWR _55446_/B sky130_fd_sc_hd__or3_2
+XFILLER_407_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_621_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40671_ _40670_/X _40678_/B _68478_/A VGND VGND VPWR VPWR _40672_/B sky130_fd_sc_hd__nand3_2
+XFILLER_505_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52657_ _52655_/Y _52656_/X VGND VGND VPWR VPWR _77489_/D sky130_fd_sc_hd__nand2_2
+XFILLER_326_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71491_ _71491_/A _71491_/B VGND VGND VPWR VPWR _71491_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_579_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_129_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80689_ _80714_/CLK _75415_/Y VGND VGND VPWR VPWR _64706_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_26815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_403_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_547_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_340_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_604_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_180_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_184_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42410_ _78974_/Q _42409_/Y VGND VGND VPWR VPWR _42411_/B sky130_fd_sc_hd__nor2_2
+X_73230_ _73230_/A VGND VGND VPWR VPWR _73245_/B sky130_fd_sc_hd__buf_1
+XFILLER_325_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_505_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51608_ _51608_/A _51608_/B VGND VGND VPWR VPWR _77768_/D sky130_fd_sc_hd__nand2_2
+XPHY_16314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58164_ _41431_/C _58164_/B VGND VGND VPWR VPWR _58166_/B sky130_fd_sc_hd__nor2_2
+X_70442_ _70199_/A _70437_/X _70234_/X _70441_/X VGND VGND VPWR VPWR _70442_/X sky130_fd_sc_hd__o22a_4
+XFILLER_600_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_600_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_3108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_70_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43390_ _43373_/X _43387_/B _58404_/A VGND VGND VPWR VPWR _43391_/B sky130_fd_sc_hd__nand3_2
+XFILLER_62_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55376_ _71234_/A _55390_/B VGND VGND VPWR VPWR _55389_/A sky130_fd_sc_hd__or2_2
+XFILLER_596_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52588_ _52578_/X VGND VGND VPWR VPWR _52601_/B sky130_fd_sc_hd__buf_1
+XPHY_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_239_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_707_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57115_ _80248_/Q _57283_/B VGND VGND VPWR VPWR _57116_/C sky130_fd_sc_hd__nor2_2
+XFILLER_201_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_71_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42341_ _46558_/A _42339_/Y _42341_/C VGND VGND VPWR VPWR _42348_/A sky130_fd_sc_hd__nor3_2
+XFILLER_200_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54327_ _59690_/A _54313_/B VGND VGND VPWR VPWR _54329_/A sky130_fd_sc_hd__nand2_2
+XFILLER_459_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_455_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73161_ _73230_/A VGND VGND VPWR VPWR _73161_/X sky130_fd_sc_hd__buf_1
+XFILLER_691_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51539_ _51539_/A _51527_/X VGND VGND VPWR VPWR _51541_/A sky130_fd_sc_hd__nand2_2
+XPHY_15624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58095_ _58170_/A _58095_/B _58095_/C VGND VGND VPWR VPWR _58095_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_142_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_70373_ _70370_/Y _70193_/X _70194_/X _70372_/X VGND VGND VPWR VPWR _70373_/X sky130_fd_sc_hd__o22a_4
+XFILLER_303_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72112_ _72088_/X _72102_/Y _72109_/X _72034_/A _72111_/X VGND VGND VPWR VPWR _43660_/B
++ sky130_fd_sc_hd__a32o_4
+XFILLER_557_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57046_ _58348_/A VGND VGND VPWR VPWR _57046_/X sky130_fd_sc_hd__buf_1
+X_45060_ _45060_/A _45091_/B VGND VGND VPWR VPWR _45060_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_652_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_316_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42272_ _42272_/A VGND VGND VPWR VPWR _42276_/A sky130_fd_sc_hd__inv_8
+XFILLER_183_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54258_ _54265_/A VGND VGND VPWR VPWR _54262_/B sky130_fd_sc_hd__buf_1
+X_73092_ _73089_/A _73089_/B _64923_/A VGND VGND VPWR VPWR _73094_/A sky130_fd_sc_hd__nand3_2
+XPHY_33036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_515_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_158_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44011_ _79262_/Q VGND VGND VPWR VPWR _68181_/A sky130_fd_sc_hd__inv_8
+XFILLER_688_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41223_ _41090_/A _41230_/B VGND VGND VPWR VPWR _41225_/A sky130_fd_sc_hd__or2_2
+XPHY_32324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53209_ _77344_/Q _53209_/B VGND VGND VPWR VPWR _53211_/A sky130_fd_sc_hd__nand2_2
+XFILLER_221_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76920_ _76921_/CLK _76920_/D VGND VGND VPWR VPWR _54803_/A sky130_fd_sc_hd__dfxtp_4
+X_72043_ _71919_/X _71921_/B _56466_/A VGND VGND VPWR VPWR _72044_/B sky130_fd_sc_hd__nand3_2
+XPHY_14967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_137_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54189_ _53277_/A VGND VGND VPWR VPWR _54488_/A sky130_fd_sc_hd__buf_1
+XFILLER_238_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_503_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_2875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_84_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_459_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41154_ _41154_/A VGND VGND VPWR VPWR _41270_/B sky130_fd_sc_hd__buf_1
+XFILLER_117_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76851_ _79040_/CLK _76851_/D VGND VGND VPWR VPWR _76851_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_21111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58997_ _59335_/A VGND VGND VPWR VPWR _58998_/B sky130_fd_sc_hd__buf_1
+XFILLER_298_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40105_ _40094_/A _40104_/X _65514_/A VGND VGND VPWR VPWR _40105_/Y sky130_fd_sc_hd__nand3_2
+X_75802_ _75802_/A VGND VGND VPWR VPWR _75811_/B sky130_fd_sc_hd__buf_1
+XPHY_21144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_657_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48750_ _48721_/A _48744_/B VGND VGND VPWR VPWR _48751_/B sky130_fd_sc_hd__or2_2
+XPHY_9552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79570_ _79599_/CLK _41622_/Y VGND VGND VPWR VPWR _79570_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_685_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45962_ _45812_/A VGND VGND VPWR VPWR _45968_/B sky130_fd_sc_hd__buf_1
+X_41085_ _41084_/X _41066_/X VGND VGND VPWR VPWR _41087_/A sky130_fd_sc_hd__or2_2
+XPHY_30944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57948_ _57629_/X _57945_/Y _57947_/Y VGND VGND VPWR VPWR _57954_/B sky130_fd_sc_hd__nor3_2
+XFILLER_136_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76782_ _76787_/CLK _76782_/D VGND VGND VPWR VPWR _55764_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_9563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73994_ _73994_/A VGND VGND VPWR VPWR _74015_/B sky130_fd_sc_hd__buf_1
+XFILLER_388_3062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_681_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_628_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47701_ _47701_/A VGND VGND VPWR VPWR _47706_/B sky130_fd_sc_hd__buf_1
+XFILLER_468_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78521_ _78523_/CLK _78521_/D VGND VGND VPWR VPWR _63561_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_8851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40036_ _40036_/A _40035_/Y VGND VGND VPWR VPWR _79976_/D sky130_fd_sc_hd__nand2_2
+XPHY_9596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44913_ _41668_/A _44910_/B VGND VGND VPWR VPWR _44913_/X sky130_fd_sc_hd__or2_2
+XFILLER_672_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75733_ _75458_/A _75725_/B VGND VGND VPWR VPWR _75735_/A sky130_fd_sc_hd__or2_2
+XFILLER_388_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48681_ _63843_/A _48681_/B VGND VGND VPWR VPWR _48681_/Y sky130_fd_sc_hd__nand2_2
+XPHY_8862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72945_ _74783_/A VGND VGND VPWR VPWR _73962_/A sky130_fd_sc_hd__buf_1
+Xclkbuf_9_4_0_u_core.clock clkbuf_9_5_0_u_core.clock/A VGND VGND VPWR VPWR _77998_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_20465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_87_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45893_ _45899_/A _45893_/B VGND VGND VPWR VPWR _79079_/D sky130_fd_sc_hd__nor2_2
+XFILLER_212_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57879_ _57879_/A _57879_/B _57878_/Y VGND VGND VPWR VPWR _57879_/Y sky130_fd_sc_hd__nor3_2
+XPHY_30999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_278_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47632_ _64040_/A _47632_/B VGND VGND VPWR VPWR _47635_/A sky130_fd_sc_hd__nand2_2
+X_59618_ _64002_/A _59618_/B _59617_/Y VGND VGND VPWR VPWR _59624_/B sky130_fd_sc_hd__nor3_2
+XPHY_20498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78452_ _77584_/CLK _48990_/Y VGND VGND VPWR VPWR _78452_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_507_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44844_ _44653_/A _44883_/B _44669_/X VGND VGND VPWR VPWR _44846_/A sky130_fd_sc_hd__or3_2
+X_75664_ _75904_/A _75657_/B VGND VGND VPWR VPWR _75664_/X sky130_fd_sc_hd__or2_2
+XFILLER_232_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_627_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_349_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72876_ _73545_/A _72872_/B VGND VGND VPWR VPWR _72876_/X sky130_fd_sc_hd__or2_2
+XFILLER_455_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60890_ _60800_/Y _60890_/B VGND VGND VPWR VPWR _60890_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_5_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_266_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_644_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_671_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_130_1533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_220_0_u_core.clock clkbuf_9_221_0_u_core.clock/A VGND VGND VPWR VPWR _79207_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_470_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77403_ _77755_/CLK _52976_/Y VGND VGND VPWR VPWR _52974_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_247_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74615_ _74579_/A VGND VGND VPWR VPWR _74615_/X sky130_fd_sc_hd__buf_1
+XFILLER_286_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47563_ _47563_/A _47545_/B VGND VGND VPWR VPWR _47565_/A sky130_fd_sc_hd__nand2_2
+X_59549_ _77183_/Q _59549_/B VGND VGND VPWR VPWR _59550_/C sky130_fd_sc_hd__nor2_2
+X_71827_ _39211_/A VGND VGND VPWR VPWR _71828_/B sky130_fd_sc_hd__buf_1
+XFILLER_212_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78383_ _78384_/CLK _49247_/Y VGND VGND VPWR VPWR _63343_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_130_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44775_ _44772_/X _44775_/B VGND VGND VPWR VPWR _44775_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_4_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75595_ _75838_/A _75700_/B VGND VGND VPWR VPWR _75595_/X sky130_fd_sc_hd__or2_2
+XFILLER_169_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41987_ _45317_/A _41972_/X VGND VGND VPWR VPWR _41987_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_207_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_442_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_405_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49302_ _49302_/A _49302_/B VGND VGND VPWR VPWR _49302_/Y sky130_fd_sc_hd__nand2_2
+XPHY_29452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_640_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46514_ _46509_/X _46513_/Y VGND VGND VPWR VPWR _79010_/D sky130_fd_sc_hd__nor2_2
+XFILLER_510_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_286_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_546_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77334_ _76876_/CLK _53245_/Y VGND VGND VPWR VPWR _59433_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_29463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_424_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_403_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43726_ _44038_/C _43725_/X VGND VGND VPWR VPWR _43727_/A sky130_fd_sc_hd__or2_2
+XFILLER_234_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_597_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62560_ _62400_/A _62558_/Y _62560_/C VGND VGND VPWR VPWR _62564_/B sky130_fd_sc_hd__nor3_2
+XFILLER_444_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74546_ _74546_/A VGND VGND VPWR VPWR _74659_/B sky130_fd_sc_hd__buf_1
+XFILLER_451_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_423_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40938_ _40437_/A _40932_/X VGND VGND VPWR VPWR _40940_/A sky130_fd_sc_hd__or2_2
+XFILLER_679_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71758_ _70598_/X _71788_/B VGND VGND VPWR VPWR _71758_/Y sky130_fd_sc_hd__nor2_2
+X_47494_ _78828_/Q _47494_/B VGND VGND VPWR VPWR _47494_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_323_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_146_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_327_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61511_ _59258_/X VGND VGND VPWR VPWR _61514_/A sky130_fd_sc_hd__buf_1
+XFILLER_600_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49233_ _49233_/A _49251_/B VGND VGND VPWR VPWR _49233_/X sky130_fd_sc_hd__or2_2
+XFILLER_1_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46445_ _46445_/A VGND VGND VPWR VPWR _46446_/B sky130_fd_sc_hd__inv_8
+X_70709_ _69715_/Y _69960_/A _69890_/X _70708_/X VGND VGND VPWR VPWR _70709_/X sky130_fd_sc_hd__o22a_4
+X_77265_ _77265_/CLK _53516_/Y VGND VGND VPWR VPWR _59899_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_206_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_185_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43657_ _43657_/A VGND VGND VPWR VPWR _46051_/B sky130_fd_sc_hd__buf_1
+XPHY_18250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62491_ _62491_/A _62962_/B VGND VGND VPWR VPWR _62491_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_538_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74477_ _74477_/A _74476_/X VGND VGND VPWR VPWR _80926_/D sky130_fd_sc_hd__nand2_2
+XFILLER_423_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_308_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40869_ _40505_/X _40876_/B VGND VGND VPWR VPWR _40871_/A sky130_fd_sc_hd__or2_2
+XFILLER_56_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71689_ _70551_/Y _71689_/B VGND VGND VPWR VPWR _71693_/B sky130_fd_sc_hd__nor2_2
+XFILLER_389_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_403_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79004_ _79559_/CLK _79004_/D _46545_/X VGND VGND VPWR VPWR _42361_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_538_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_523_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64230_ _69313_/A _64228_/Y _64230_/C VGND VGND VPWR VPWR _64241_/B sky130_fd_sc_hd__nor3_2
+XFILLER_54_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76216_ _76214_/X _76216_/B VGND VGND VPWR VPWR _80496_/D sky130_fd_sc_hd__nand2_2
+XFILLER_369_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42608_ _42465_/A VGND VGND VPWR VPWR _42631_/A sky130_fd_sc_hd__buf_1
+XFILLER_692_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61442_ _61758_/A _61438_/Y _61442_/C VGND VGND VPWR VPWR _61442_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_395_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49164_ _49164_/A _49164_/B VGND VGND VPWR VPWR _49164_/Y sky130_fd_sc_hd__nand2_2
+Xclkbuf_9_336_0_u_core.clock clkbuf_8_168_0_u_core.clock/X VGND VGND VPWR VPWR _78737_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_73428_ _73428_/A _73428_/B VGND VGND VPWR VPWR _81188_/D sky130_fd_sc_hd__nand2_2
+XFILLER_575_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46376_ _46380_/B VGND VGND VPWR VPWR _47115_/A sky130_fd_sc_hd__inv_8
+X_77196_ _77195_/CLK _77196_/D VGND VGND VPWR VPWR _53765_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_378_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43588_ _43588_/A _43588_/B VGND VGND VPWR VPWR _43590_/B sky130_fd_sc_hd__nor2_2
+XFILLER_143_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_198_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_657_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_538_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48115_ _48025_/A _48121_/B VGND VGND VPWR VPWR _48116_/B sky130_fd_sc_hd__or2_2
+XFILLER_553_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45327_ _45316_/Y _45326_/Y _44983_/X VGND VGND VPWR VPWR _45327_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_635_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64161_ _68364_/A VGND VGND VPWR VPWR _64169_/A sky130_fd_sc_hd__buf_1
+XFILLER_15_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76147_ _76147_/A _76153_/B _67058_/A VGND VGND VPWR VPWR _76148_/B sky130_fd_sc_hd__nand3_2
+XFILLER_537_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42539_ _42561_/A _42539_/B _42538_/Y VGND VGND VPWR VPWR _42539_/Y sky130_fd_sc_hd__nor3_2
+X_61373_ _55773_/A _61218_/X VGND VGND VPWR VPWR _61374_/C sky130_fd_sc_hd__nor2_2
+X_73359_ _73357_/Y _73358_/X VGND VGND VPWR VPWR _81206_/D sky130_fd_sc_hd__nand2_2
+X_49095_ _49214_/A _49099_/B VGND VGND VPWR VPWR _49096_/B sky130_fd_sc_hd__or2_2
+XFILLER_223_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_175_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_714_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63112_ _63710_/A _63110_/Y _63111_/Y VGND VGND VPWR VPWR _63112_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_618_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48046_ _48043_/X VGND VGND VPWR VPWR _48068_/B sky130_fd_sc_hd__buf_1
+X_60324_ _59993_/A _60324_/B _60324_/C VGND VGND VPWR VPWR _60325_/C sky130_fd_sc_hd__nor3_2
+XPHY_16892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45258_ _44938_/X _45258_/B _45257_/Y VGND VGND VPWR VPWR _45258_/X sky130_fd_sc_hd__or3_2
+XPHY_34260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64092_ _64091_/X VGND VGND VPWR VPWR _69420_/B sky130_fd_sc_hd__buf_1
+XFILLER_102_1613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76078_ _76075_/X _76078_/B VGND VGND VPWR VPWR _76078_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_493_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_32_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_714_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_391_1506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44209_ _73199_/A _44224_/B VGND VGND VPWR VPWR _44209_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_317_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67920_ _65249_/A VGND VGND VPWR VPWR _67920_/X sky130_fd_sc_hd__buf_1
+X_63043_ _62417_/A _63043_/B _63043_/C VGND VGND VPWR VPWR _63051_/B sky130_fd_sc_hd__nor3_2
+XFILLER_67_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75029_ _75029_/A _75028_/X VGND VGND VPWR VPWR _75029_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_436_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79906_ _79892_/CLK _79906_/D VGND VGND VPWR VPWR _67633_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_351_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60255_ _60097_/A _60251_/Y _60255_/C VGND VGND VPWR VPWR _60255_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_332_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_176_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45189_ _45223_/A _45188_/Y VGND VGND VPWR VPWR _45190_/B sky130_fd_sc_hd__nor2_2
+XPHY_33570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_176_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_561_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_256_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_48_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_289_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67851_ _68337_/A _67851_/B _67850_/Y VGND VGND VPWR VPWR _67852_/D sky130_fd_sc_hd__nor3_2
+XFILLER_271_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79837_ _79837_/CLK _40588_/Y VGND VGND VPWR VPWR _57475_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_139_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60186_ _59378_/A VGND VGND VPWR VPWR _60187_/B sky130_fd_sc_hd__buf_1
+XFILLER_217_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49997_ _49997_/A _50000_/B VGND VGND VPWR VPWR _49997_/X sky130_fd_sc_hd__or2_2
+XFILLER_119_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_471_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_252_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66802_ _66634_/A _66799_/Y _66801_/Y VGND VGND VPWR VPWR _66802_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_350_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39750_ _39748_/X _39749_/Y VGND VGND VPWR VPWR _80044_/D sky130_fd_sc_hd__nand2_2
+XFILLER_252_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48948_ _48948_/A VGND VGND VPWR VPWR _49066_/A sky130_fd_sc_hd__buf_1
+XPHY_22390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67782_ _68109_/A _67782_/B _67782_/C VGND VGND VPWR VPWR _67782_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_83_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79768_ _79775_/CLK _40847_/Y VGND VGND VPWR VPWR _57079_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_449_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_351_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64994_ _64367_/X VGND VGND VPWR VPWR _65682_/B sky130_fd_sc_hd__buf_1
+XFILLER_521_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_410_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38701_ _38696_/X _38701_/B _80314_/Q VGND VGND VPWR VPWR _38701_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_315_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69521_ _69505_/Y _69521_/B VGND VGND VPWR VPWR _69522_/B sky130_fd_sc_hd__nor2_2
+XFILLER_458_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_61_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66733_ _66733_/A _66568_/B VGND VGND VPWR VPWR _66733_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_566_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78719_ _78737_/CLK _47937_/Y VGND VGND VPWR VPWR _78719_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_492_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_418_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39681_ _39678_/A _39678_/B _80061_/Q VGND VGND VPWR VPWR _39681_/Y sky130_fd_sc_hd__nand3_2
+X_63945_ _63945_/A _59005_/B VGND VGND VPWR VPWR _63945_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_675_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48879_ _63238_/A _48867_/B VGND VGND VPWR VPWR _48881_/A sky130_fd_sc_hd__nand2_2
+XFILLER_252_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79699_ _79824_/CLK _41133_/Y VGND VGND VPWR VPWR _56731_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_44_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_111_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50910_ _50910_/A _50909_/X VGND VGND VPWR VPWR _50913_/A sky130_fd_sc_hd__nand2_2
+XFILLER_287_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38632_ _38637_/A _38641_/B _80332_/Q VGND VGND VPWR VPWR _38633_/B sky130_fd_sc_hd__nand3_2
+XFILLER_229_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69452_ _76348_/C _69312_/B VGND VGND VPWR VPWR _69453_/C sky130_fd_sc_hd__nor2_2
+XFILLER_230_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66664_ _64367_/X VGND VGND VPWR VPWR _67327_/B sky130_fd_sc_hd__buf_1
+XFILLER_690_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51890_ _77692_/Q _51890_/B VGND VGND VPWR VPWR _51890_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_418_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63876_ _78707_/Q _63745_/B VGND VGND VPWR VPWR _63876_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_38_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_484_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_310_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68403_ _65933_/A VGND VGND VPWR VPWR _68924_/A sky130_fd_sc_hd__buf_1
+XFILLER_560_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_244_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65615_ _68205_/A VGND VGND VPWR VPWR _65616_/B sky130_fd_sc_hd__buf_1
+XFILLER_461_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38563_ _38517_/A VGND VGND VPWR VPWR _38579_/B sky130_fd_sc_hd__buf_1
+XFILLER_415_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50841_ _61674_/A _50823_/B VGND VGND VPWR VPWR _50843_/A sky130_fd_sc_hd__nand2_2
+XFILLER_506_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62827_ _50285_/A _62984_/B VGND VGND VPWR VPWR _62828_/C sky130_fd_sc_hd__nor2_2
+X_69383_ _69383_/A _69523_/B VGND VGND VPWR VPWR _69383_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_270_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_328_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66595_ _66273_/A _66593_/Y _66594_/Y VGND VGND VPWR VPWR _66595_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_38_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_399_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_0_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_465_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_705_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_721_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_361_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_187_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80612_ _80597_/CLK _75750_/Y VGND VGND VPWR VPWR _80612_/Q sky130_fd_sc_hd__dfxtp_4
+X_68334_ _68316_/Y _68334_/B VGND VGND VPWR VPWR _68335_/B sky130_fd_sc_hd__nor2_2
+XFILLER_615_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53560_ _53567_/A VGND VGND VPWR VPWR _53579_/B sky130_fd_sc_hd__buf_1
+XFILLER_442_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65546_ _65702_/A _65546_/B _65546_/C VGND VGND VPWR VPWR _65547_/B sky130_fd_sc_hd__nor3_2
+XFILLER_165_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_93_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38494_ _38517_/A VGND VGND VPWR VPWR _38509_/B sky130_fd_sc_hd__buf_1
+X_62758_ _62450_/A _62757_/Y VGND VGND VPWR VPWR _62758_/Y sky130_fd_sc_hd__nor2_2
+X_50772_ _62297_/A _50765_/B VGND VGND VPWR VPWR _50774_/A sky130_fd_sc_hd__nand2_2
+XFILLER_506_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_16_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_59_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_587_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52511_ _52509_/Y _52510_/X VGND VGND VPWR VPWR _77528_/D sky130_fd_sc_hd__nand2_2
+XFILLER_398_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61709_ _59785_/A VGND VGND VPWR VPWR _61709_/X sky130_fd_sc_hd__buf_1
+X_80543_ _80543_/CLK _76010_/Y VGND VGND VPWR VPWR _80543_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_599_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_601_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68265_ _58244_/A _68603_/B VGND VGND VPWR VPWR _68265_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_603_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_675_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53491_ _53521_/A _53479_/B VGND VGND VPWR VPWR _53492_/B sky130_fd_sc_hd__or2_2
+XFILLER_59_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_33_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65477_ _64949_/A _65477_/B _65476_/Y VGND VGND VPWR VPWR _65477_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_558_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62689_ _62533_/A _62687_/Y _62688_/Y VGND VGND VPWR VPWR _62689_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_506_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_718_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55230_ _55230_/A _55092_/A VGND VGND VPWR VPWR _55230_/X sky130_fd_sc_hd__and2_2
+XFILLER_241_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67216_ _67216_/A _66890_/B VGND VGND VPWR VPWR _67216_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_206_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52442_ _52442_/A _52430_/X VGND VGND VPWR VPWR _52444_/A sky130_fd_sc_hd__nand2_2
+X_64428_ _66699_/A VGND VGND VPWR VPWR _64736_/A sky130_fd_sc_hd__buf_1
+XFILLER_401_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_107_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80474_ _80508_/CLK _80474_/D VGND VGND VPWR VPWR _80474_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_597_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68196_ _68196_/A VGND VGND VPWR VPWR _68360_/B sky130_fd_sc_hd__buf_1
+XFILLER_500_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39115_ _39113_/X _39114_/Y VGND VGND VPWR VPWR _39115_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_32_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_716_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67147_ _67147_/A _67147_/B _67147_/C VGND VGND VPWR VPWR _67147_/Y sky130_fd_sc_hd__nor3_2
+X_55161_ _55161_/A _55153_/Y _55161_/C VGND VGND VPWR VPWR _55161_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_222_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_55_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64359_ _64600_/A VGND VGND VPWR VPWR _66655_/A sky130_fd_sc_hd__buf_1
+X_52373_ _52382_/A VGND VGND VPWR VPWR _52373_/X sky130_fd_sc_hd__buf_1
+XPHY_24709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_33_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_617_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_671_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_339_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54112_ _54072_/A _54124_/B VGND VGND VPWR VPWR _54113_/B sky130_fd_sc_hd__or2_2
+XFILLER_536_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_472_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39046_ _39044_/X _39045_/Y VGND VGND VPWR VPWR _39046_/Y sky130_fd_sc_hd__nand2_2
+X_51324_ _51252_/X _51333_/B VGND VGND VPWR VPWR _51325_/B sky130_fd_sc_hd__or2_2
+XFILLER_138_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55092_ _55092_/A VGND VGND VPWR VPWR _55228_/C sky130_fd_sc_hd__buf_1
+X_67078_ _73931_/C _66423_/X VGND VGND VPWR VPWR _67080_/B sky130_fd_sc_hd__nor2_2
+XFILLER_656_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_14_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_491_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_159_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54043_ _54043_/A VGND VGND VPWR VPWR _54044_/B sky130_fd_sc_hd__buf_1
+X_58920_ _59099_/A _58920_/B _58919_/Y VGND VGND VPWR VPWR _58920_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_317_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66029_ _39067_/C _65699_/B VGND VGND VPWR VPWR _66029_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_257_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51255_ _51255_/A _51254_/Y VGND VGND VPWR VPWR _77857_/D sky130_fd_sc_hd__nand2_2
+XFILLER_89_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_370_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_295_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_106_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_472_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_454_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50206_ _50206_/A _50206_/B VGND VGND VPWR VPWR _50206_/Y sky130_fd_sc_hd__nand2_2
+Xclkbuf_6_54_0_u_core.clock clkbuf_6_55_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_6_54_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_658_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81026_ _80809_/CLK _81026_/D VGND VGND VPWR VPWR _67575_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_307_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_685_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_134_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58851_ _46617_/A _46616_/A _58850_/B VGND VGND VPWR VPWR _78975_/D sky130_fd_sc_hd__nor3_2
+XFILLER_161_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51186_ _51158_/A _51192_/B VGND VGND VPWR VPWR _51186_/X sky130_fd_sc_hd__or2_2
+XFILLER_419_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_66_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57802_ _80129_/Q _57730_/B VGND VGND VPWR VPWR _57802_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_431_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_137_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50137_ _50104_/A _50149_/B VGND VGND VPWR VPWR _50138_/B sky130_fd_sc_hd__or2_2
+XFILLER_333_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39948_ _39985_/A VGND VGND VPWR VPWR _39964_/B sky130_fd_sc_hd__buf_1
+XFILLER_322_165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58782_ _40012_/C _56400_/X VGND VGND VPWR VPWR _58782_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_333_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55994_ _55688_/B _55987_/B VGND VGND VPWR VPWR _55994_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_565_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_235_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_88_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_251_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57733_ _67333_/A _57655_/B VGND VGND VPWR VPWR _57735_/B sky130_fd_sc_hd__nor2_2
+XFILLER_700_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69719_ _69719_/A _69719_/B VGND VGND VPWR VPWR _69719_/X sky130_fd_sc_hd__and2_2
+XPHY_8158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50068_ _50068_/A _50071_/B VGND VGND VPWR VPWR _50070_/A sky130_fd_sc_hd__nand2_2
+XFILLER_449_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54945_ _54945_/A VGND VGND VPWR VPWR _54955_/B sky130_fd_sc_hd__buf_1
+XFILLER_645_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39879_ _40001_/A _39871_/B VGND VGND VPWR VPWR _39879_/X sky130_fd_sc_hd__or2_2
+XFILLER_268_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70991_ _70991_/A _70991_/B VGND VGND VPWR VPWR _70992_/B sky130_fd_sc_hd__nor2_2
+XPHY_8169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_2845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_641_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_244_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_448_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_350_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41910_ wbs_adr_i[9] VGND VGND VPWR VPWR _55959_/A sky130_fd_sc_hd__inv_8
+X_72730_ _72730_/A _72730_/B VGND VGND VPWR VPWR _72730_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_385_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57664_ _80319_/Q _57813_/B VGND VGND VPWR VPWR _57667_/B sky130_fd_sc_hd__nor2_2
+XFILLER_40_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42890_ _42906_/A _42898_/B _58759_/A VGND VGND VPWR VPWR _42890_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_287_2955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54876_ _54876_/A _54873_/B VGND VGND VPWR VPWR _54878_/A sky130_fd_sc_hd__nand2_2
+XFILLER_461_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_291_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59403_ _77142_/Q _59403_/B VGND VGND VPWR VPWR _59405_/B sky130_fd_sc_hd__nor2_2
+XFILLER_311_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56615_ _56615_/A VGND VGND VPWR VPWR _56795_/A sky130_fd_sc_hd__buf_1
+XFILLER_275_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_5_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41841_ _79075_/Q VGND VGND VPWR VPWR _41841_/Y sky130_fd_sc_hd__inv_8
+XFILLER_166_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53827_ _50005_/A _53799_/B VGND VGND VPWR VPWR _53837_/A sky130_fd_sc_hd__or2_2
+XPHY_39249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72661_ _72674_/A _72664_/B _72661_/C VGND VGND VPWR VPWR _72662_/B sky130_fd_sc_hd__nand3_2
+XFILLER_263_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57595_ _79902_/Q _57516_/B VGND VGND VPWR VPWR _57597_/B sky130_fd_sc_hd__nor2_2
+XPHY_38515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_21_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_641_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74400_ _74538_/B VGND VGND VPWR VPWR _74412_/B sky130_fd_sc_hd__buf_1
+XFILLER_327_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59334_ _59334_/A _59495_/B VGND VGND VPWR VPWR _59334_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_330_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71612_ _71056_/A VGND VGND VPWR VPWR _71613_/A sky130_fd_sc_hd__buf_1
+XFILLER_598_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56546_ _56469_/A _56546_/B _56545_/Y VGND VGND VPWR VPWR _56554_/A sky130_fd_sc_hd__nor3_2
+X_44560_ _44560_/A _44554_/Y _44559_/Y VGND VGND VPWR VPWR _44560_/Y sky130_fd_sc_hd__nor3_2
+X_75380_ _75380_/A _75373_/B VGND VGND VPWR VPWR _75380_/X sky130_fd_sc_hd__or2_2
+XPHY_28025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_229_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_483_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41772_ _58849_/A _41754_/B VGND VGND VPWR VPWR _41779_/A sky130_fd_sc_hd__nand2_2
+XFILLER_129_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53758_ _53701_/A _53758_/B VGND VGND VPWR VPWR _53758_/X sky130_fd_sc_hd__or2_2
+XPHY_38559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72592_ _72699_/B VGND VGND VPWR VPWR _72592_/X sky130_fd_sc_hd__buf_1
+XPHY_28036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_461_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_622_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_426_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43511_ _43509_/Y _43510_/X VGND VGND VPWR VPWR _44907_/B sky130_fd_sc_hd__nor2_2
+XPHY_37847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74331_ _74331_/A _74331_/B VGND VGND VPWR VPWR _80961_/D sky130_fd_sc_hd__nand2_2
+XFILLER_340_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_594_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40723_ _40604_/A _40709_/X VGND VGND VPWR VPWR _40726_/A sky130_fd_sc_hd__or2_2
+XPHY_27324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52709_ _52738_/A _52718_/B VGND VGND VPWR VPWR _52710_/B sky130_fd_sc_hd__or2_2
+XFILLER_95_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59265_ _59096_/A VGND VGND VPWR VPWR _59266_/A sky130_fd_sc_hd__buf_1
+X_71543_ _71543_/A _71484_/X VGND VGND VPWR VPWR _71543_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_147_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_186_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_207_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_567_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44491_ _79225_/Q _44491_/B VGND VGND VPWR VPWR _44491_/Y sky130_fd_sc_hd__nor2_2
+X_56477_ _56477_/A _56477_/B VGND VGND VPWR VPWR _56478_/B sky130_fd_sc_hd__nor2_2
+XPHY_27335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53689_ _53661_/A _53701_/B VGND VGND VPWR VPWR _53689_/X sky130_fd_sc_hd__or2_2
+XFILLER_232_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_7_34_0_u_core.clock clkbuf_7_35_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_69_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_27357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46230_ _43508_/A _46230_/B VGND VGND VPWR VPWR _46231_/B sky130_fd_sc_hd__nor2_2
+X_58216_ _58206_/Y _58216_/B VGND VGND VPWR VPWR _58217_/B sky130_fd_sc_hd__nor2_2
+XPHY_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77050_ _77060_/CLK _54323_/Y VGND VGND VPWR VPWR _59998_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_538_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43442_ _43430_/X _43456_/B _66297_/A VGND VGND VPWR VPWR _43442_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_246_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55428_ _55436_/A _55427_/Y VGND VGND VPWR VPWR _55428_/X sky130_fd_sc_hd__or2_2
+X_74262_ _74274_/A _74265_/B _80979_/Q VGND VGND VPWR VPWR _74263_/B sky130_fd_sc_hd__nand3_2
+XFILLER_340_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40654_ _40653_/X VGND VGND VPWR VPWR _40658_/B sky130_fd_sc_hd__buf_1
+XPHY_431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_696_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59196_ _59196_/A VGND VGND VPWR VPWR _61598_/A sky130_fd_sc_hd__buf_1
+XFILLER_410_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71474_ _71474_/A VGND VGND VPWR VPWR _75485_/A sky130_fd_sc_hd__inv_8
+XFILLER_393_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_692_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_160_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76001_ _76001_/A VGND VGND VPWR VPWR _76012_/A sky130_fd_sc_hd__buf_1
+XFILLER_654_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73213_ _73213_/A VGND VGND VPWR VPWR _75025_/A sky130_fd_sc_hd__buf_1
+XFILLER_12_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46161_ _46161_/A _46160_/Y VGND VGND VPWR VPWR _46161_/Y sky130_fd_sc_hd__nor2_2
+X_70425_ _70425_/A _70356_/B _70108_/X VGND VGND VPWR VPWR _70425_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_212_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58147_ _57981_/A _58125_/Y _58147_/C VGND VGND VPWR VPWR _58147_/X sky130_fd_sc_hd__or3_2
+XFILLER_279_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43373_ _43353_/A VGND VGND VPWR VPWR _43373_/X sky130_fd_sc_hd__buf_1
+XPHY_16155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55359_ _55296_/X _55358_/Y VGND VGND VPWR VPWR _55359_/Y sky130_fd_sc_hd__nor2_2
+X_74193_ _74189_/A _74185_/B _80998_/Q VGND VGND VPWR VPWR _74193_/Y sky130_fd_sc_hd__nand3_2
+X_40585_ _40583_/X _40585_/B VGND VGND VPWR VPWR _40585_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_197_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_639_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_572_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45112_ _45085_/A _45453_/B VGND VGND VPWR VPWR _45112_/Y sky130_fd_sc_hd__nor2_2
+XPHY_25966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42324_ _41764_/A _42298_/B VGND VGND VPWR VPWR _42325_/C sky130_fd_sc_hd__nor2_2
+XFILLER_89_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73144_ _74023_/A VGND VGND VPWR VPWR _74971_/A sky130_fd_sc_hd__buf_1
+XFILLER_561_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46092_ _45142_/A _43053_/B VGND VGND VPWR VPWR _46097_/A sky130_fd_sc_hd__nor2_2
+XFILLER_537_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58078_ _58075_/X _58076_/Y _58078_/C VGND VGND VPWR VPWR _58078_/Y sky130_fd_sc_hd__nor3_2
+XPHY_16199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70356_ _70325_/A _70356_/B _70108_/X VGND VGND VPWR VPWR _70357_/C sky130_fd_sc_hd__nor3_2
+XFILLER_303_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_338_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_357_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_84_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_172_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49920_ _49920_/A _49932_/B VGND VGND VPWR VPWR _49920_/X sky130_fd_sc_hd__or2_2
+XFILLER_522_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45043_ _45085_/A _45443_/B VGND VGND VPWR VPWR _45043_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_193_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57029_ _65810_/A _57029_/B VGND VGND VPWR VPWR _57029_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_652_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42255_ _45265_/A _42255_/B VGND VGND VPWR VPWR _42255_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_236_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73075_ _73075_/A VGND VGND VPWR VPWR _73090_/B sky130_fd_sc_hd__buf_1
+XFILLER_327_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77952_ _77956_/CLK _77952_/D VGND VGND VPWR VPWR _62150_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_158_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70287_ _70299_/A _70266_/C VGND VGND VPWR VPWR _70287_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_515_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41206_ _41192_/A _41196_/B _67449_/A VGND VGND VPWR VPWR _41207_/B sky130_fd_sc_hd__nand3_2
+XPHY_32154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60040_ _59393_/X _60040_/B _60039_/Y VGND VGND VPWR VPWR _60040_/Y sky130_fd_sc_hd__nor3_2
+X_76903_ _76911_/CLK _76903_/D VGND VGND VPWR VPWR _59488_/A sky130_fd_sc_hd__dfxtp_4
+X_72026_ _72026_/A _71128_/A _72026_/C VGND VGND VPWR VPWR _72026_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_503_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49851_ _49936_/A _49845_/B VGND VGND VPWR VPWR _49851_/X sky130_fd_sc_hd__or2_2
+XFILLER_45_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42186_ _42186_/A _42186_/B VGND VGND VPWR VPWR _42187_/B sky130_fd_sc_hd__or2_2
+X_77883_ _78384_/CLK _51149_/Y VGND VGND VPWR VPWR _62714_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_355_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_153_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48802_ _63970_/A _48802_/B VGND VGND VPWR VPWR _48805_/A sky130_fd_sc_hd__nand2_2
+XFILLER_217_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79622_ _79342_/CLK _41429_/Y VGND VGND VPWR VPWR _58244_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_273_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41137_ _41137_/A _41136_/Y VGND VGND VPWR VPWR _41137_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_84_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_342_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76834_ _76843_/CLK _76834_/D VGND VGND VPWR VPWR _55186_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_676_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49782_ _49782_/A _49782_/B VGND VGND VPWR VPWR _49782_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_80_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_513_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46994_ _46910_/A VGND VGND VPWR VPWR _47028_/A sky130_fd_sc_hd__buf_1
+XPHY_30741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_583_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_126_0_u_core.clock clkbuf_8_63_0_u_core.clock/X VGND VGND VPWR VPWR _77318_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_49_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_629_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48733_ _48704_/A _54489_/A VGND VGND VPWR VPWR _48733_/X sky130_fd_sc_hd__or2_2
+XFILLER_7_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79553_ _79100_/CLK _41852_/Y _41845_/X VGND VGND VPWR VPWR _41846_/A sky130_fd_sc_hd__dfstp_4
+X_45945_ _42636_/B _45959_/B VGND VGND VPWR VPWR _45946_/C sky130_fd_sc_hd__nor2_2
+XFILLER_136_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41068_ _41059_/A _41054_/B _57858_/A VGND VGND VPWR VPWR _41068_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_657_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76765_ _77365_/CLK _55828_/Y VGND VGND VPWR VPWR _55825_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_27_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_371_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_251_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61991_ _61672_/X _61991_/B _61990_/Y VGND VGND VPWR VPWR _61991_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_65_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73977_ _73983_/A _73980_/B _73977_/C VGND VGND VPWR VPWR _73977_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_23_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_14_0_u_core.clock clkbuf_7_7_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_29_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_681_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78504_ _78498_/CLK _78504_/D VGND VGND VPWR VPWR _48783_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_544_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40019_ _40018_/X _40011_/X _79980_/Q VGND VGND VPWR VPWR _40019_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_1_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63730_ _63730_/A _59218_/B VGND VGND VPWR VPWR _63732_/B sky130_fd_sc_hd__nor2_2
+X_75716_ _75624_/A VGND VGND VPWR VPWR _75716_/X sky130_fd_sc_hd__buf_1
+XFILLER_455_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_310_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60942_ _77536_/Q _60472_/X VGND VGND VPWR VPWR _60942_/Y sky130_fd_sc_hd__nor2_2
+X_48664_ _48664_/A _48663_/X VGND VGND VPWR VPWR _78536_/D sky130_fd_sc_hd__nand2_2
+XFILLER_672_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_235_0_u_core.clock clkbuf_8_234_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_471_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_72928_ _73947_/A _72937_/B VGND VGND VPWR VPWR _72928_/X sky130_fd_sc_hd__or2_2
+XPHY_20295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79484_ _79470_/CLK _42468_/X VGND VGND VPWR VPWR _72056_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_212_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_681_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45876_ _70583_/Y _45694_/A VGND VGND VPWR VPWR _45877_/B sky130_fd_sc_hd__nor2_2
+XFILLER_113_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76696_ _79302_/CLK _76696_/D VGND VGND VPWR VPWR _70570_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_1_3132 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_212_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_295_0_u_core.clock clkbuf_9_295_0_u_core.clock/A VGND VGND VPWR VPWR _81385_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_529_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_428_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_40_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47615_ _47644_/A _47611_/X VGND VGND VPWR VPWR _47615_/X sky130_fd_sc_hd__or2_2
+XFILLER_208_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78435_ _77584_/CLK _49051_/Y VGND VGND VPWR VPWR _63814_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_583_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44827_ _44772_/X _44826_/X VGND VGND VPWR VPWR _44827_/Y sky130_fd_sc_hd__nor2_2
+XPHY_7991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63661_ _63661_/A _63530_/B VGND VGND VPWR VPWR _63663_/B sky130_fd_sc_hd__nor2_2
+X_75647_ _75647_/A VGND VGND VPWR VPWR _75651_/B sky130_fd_sc_hd__buf_1
+XFILLER_544_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60873_ _60563_/A _60869_/Y _60873_/C VGND VGND VPWR VPWR _60886_/B sky130_fd_sc_hd__nor3_2
+XFILLER_286_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_546_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72859_ _72952_/A VGND VGND VPWR VPWR _72873_/B sky130_fd_sc_hd__buf_1
+X_48595_ _48595_/A _48581_/B VGND VGND VPWR VPWR _48597_/A sky130_fd_sc_hd__nand2_2
+XFILLER_722_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_188_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_349_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_561_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_23_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65400_ _65892_/A _65400_/B _65399_/Y VGND VGND VPWR VPWR _65400_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_270_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_3198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_3065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62612_ _62612_/A _61986_/X VGND VGND VPWR VPWR _62612_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_240_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_327_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_78_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47546_ _47544_/Y _47545_/X VGND VGND VPWR VPWR _47546_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_631_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66380_ _66380_/A _66380_/B _66379_/Y VGND VGND VPWR VPWR _66530_/C sky130_fd_sc_hd__or3_2
+X_78366_ _78523_/CLK _78366_/D VGND VGND VPWR VPWR _49306_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_542_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_698_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44758_ _44715_/A _44757_/X VGND VGND VPWR VPWR _44758_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_56_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63592_ _48378_/A _63854_/B VGND VGND VPWR VPWR _63594_/B sky130_fd_sc_hd__nor2_2
+X_75578_ _75578_/A _75577_/Y VGND VGND VPWR VPWR _80656_/D sky130_fd_sc_hd__nand2_2
+XFILLER_424_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_327_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65331_ _66163_/A VGND VGND VPWR VPWR _65866_/A sky130_fd_sc_hd__buf_1
+XFILLER_612_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77317_ _77318_/CLK _77317_/D VGND VGND VPWR VPWR _53304_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_719_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43709_ _79275_/Q VGND VGND VPWR VPWR _43709_/Y sky130_fd_sc_hd__inv_8
+X_62543_ _78186_/Q _62856_/B VGND VGND VPWR VPWR _62543_/Y sky130_fd_sc_hd__nor2_2
+X_74529_ _74529_/A _74529_/B VGND VGND VPWR VPWR _74529_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_396_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47477_ _63377_/A _47480_/B VGND VGND VPWR VPWR _47479_/A sky130_fd_sc_hd__nand2_2
+XFILLER_597_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78297_ _78301_/CLK _78297_/D VGND VGND VPWR VPWR _62423_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_503_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44689_ _44643_/Y _44716_/C VGND VGND VPWR VPWR _44708_/B sky130_fd_sc_hd__nor2_2
+XFILLER_228_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_422_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_584_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_260_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49216_ _49216_/A _49201_/B VGND VGND VPWR VPWR _49218_/A sky130_fd_sc_hd__nand2_2
+XFILLER_91_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_223_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68050_ _67724_/A _68048_/Y _68050_/C VGND VGND VPWR VPWR _68051_/C sky130_fd_sc_hd__nor3_2
+XPHY_28592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46428_ _46434_/A _46427_/X VGND VGND VPWR VPWR _46428_/Y sky130_fd_sc_hd__nor2_2
+X_65262_ _65262_/A _65428_/B VGND VGND VPWR VPWR _65263_/C sky130_fd_sc_hd__nor2_2
+XFILLER_260_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_34_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77248_ _77259_/CLK _77248_/D VGND VGND VPWR VPWR _77248_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_368_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62474_ _62474_/A _61852_/X VGND VGND VPWR VPWR _62474_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_499_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_397_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_76_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_305_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_677_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67001_ _67001_/A _67328_/B VGND VGND VPWR VPWR _67002_/C sky130_fd_sc_hd__nor2_2
+XFILLER_477_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_618_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64213_ _81007_/Q _64213_/B VGND VGND VPWR VPWR _64214_/C sky130_fd_sc_hd__nor2_2
+XPHY_27891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61425_ _77571_/Q _61111_/X VGND VGND VPWR VPWR _61425_/Y sky130_fd_sc_hd__nor2_2
+X_49147_ _49145_/Y _49147_/B VGND VGND VPWR VPWR _78411_/D sky130_fd_sc_hd__nand2_2
+XFILLER_223_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_715_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46359_ _46109_/A _46364_/B _46359_/C VGND VGND VPWR VPWR _46359_/Y sky130_fd_sc_hd__nor3_2
+X_65193_ _65862_/A _65191_/Y _65192_/Y VGND VGND VPWR VPWR _65193_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_72_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_176_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77179_ _77183_/CLK _53835_/Y VGND VGND VPWR VPWR _53833_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_50_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_618_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_657_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64144_ _65879_/A VGND VGND VPWR VPWR _64704_/B sky130_fd_sc_hd__buf_1
+XFILLER_537_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61356_ _60889_/A _61356_/B _61356_/C VGND VGND VPWR VPWR _61357_/B sky130_fd_sc_hd__nor3_2
+X_49078_ _49114_/A _49082_/B VGND VGND VPWR VPWR _49078_/X sky130_fd_sc_hd__or2_2
+XFILLER_670_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80190_ _80187_/CLK _39183_/Y VGND VGND VPWR VPWR _57574_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_148_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_198_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_693_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_392_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_204_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_699_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48029_ _48027_/Y _48028_/X VGND VGND VPWR VPWR _78697_/D sky130_fd_sc_hd__nand2_2
+X_60307_ _59817_/X _60307_/B _60307_/C VGND VGND VPWR VPWR _60317_/B sky130_fd_sc_hd__nor3_2
+XFILLER_191_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68952_ _69512_/A _68947_/Y _68952_/C VGND VGND VPWR VPWR _68952_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_102_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_525_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64075_ _64075_/A VGND VGND VPWR VPWR _65228_/A sky130_fd_sc_hd__buf_1
+XFILLER_144_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_195_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61287_ _51714_/A _60974_/X VGND VGND VPWR VPWR _61288_/C sky130_fd_sc_hd__nor2_2
+XFILLER_631_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_670_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_89_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_144_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51040_ _51007_/A _51049_/B VGND VGND VPWR VPWR _51040_/X sky130_fd_sc_hd__or2_2
+XFILLER_85_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67903_ _73791_/C _67903_/B VGND VGND VPWR VPWR _67904_/C sky130_fd_sc_hd__nor2_2
+XFILLER_471_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63026_ _63026_/A _62711_/B VGND VGND VPWR VPWR _63026_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_454_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60238_ _60073_/A _60238_/B _60237_/Y VGND VGND VPWR VPWR _60239_/C sky130_fd_sc_hd__nor3_2
+XFILLER_271_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_332_430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68883_ _68216_/A _68879_/Y _68882_/Y VGND VGND VPWR VPWR _68884_/C sky130_fd_sc_hd__nor3_2
+XFILLER_63_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_478_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_651_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39802_ _39792_/X _39806_/B _80033_/Q VGND VGND VPWR VPWR _39802_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_28_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_176_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_89_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_514_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67834_ _80163_/Q _67180_/B VGND VGND VPWR VPWR _67835_/C sky130_fd_sc_hd__nor2_2
+XFILLER_333_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60169_ _59846_/A _60169_/B _60168_/Y VGND VGND VPWR VPWR _60177_/B sky130_fd_sc_hd__nor3_2
+XFILLER_131_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_315_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39733_ _39733_/A VGND VGND VPWR VPWR _39888_/B sky130_fd_sc_hd__buf_1
+XFILLER_154_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_258_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67765_ _72756_/C _67106_/X VGND VGND VPWR VPWR _67767_/B sky130_fd_sc_hd__nor2_2
+XFILLER_115_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52991_ _53047_/A _52991_/B VGND VGND VPWR VPWR _52991_/X sky130_fd_sc_hd__or2_2
+XFILLER_488_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64977_ _64957_/Y _64977_/B VGND VGND VPWR VPWR _64977_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_301_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_369_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_447_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_474_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69504_ _64317_/A _69504_/B _69504_/C VGND VGND VPWR VPWR _69504_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54730_ _52819_/A _54702_/B VGND VGND VPWR VPWR _54756_/B sky130_fd_sc_hd__or2_2
+XFILLER_257_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_58_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66716_ _66716_/A _66716_/B _66715_/Y VGND VGND VPWR VPWR _66716_/Y sky130_fd_sc_hd__nor3_2
+X_51942_ _51942_/A _51919_/A VGND VGND VPWR VPWR _51942_/X sky130_fd_sc_hd__or2_2
+X_39664_ _39662_/X _39663_/Y VGND VGND VPWR VPWR _80066_/D sky130_fd_sc_hd__nand2_2
+XFILLER_369_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63928_ _59081_/A _63928_/B _63928_/C VGND VGND VPWR VPWR _63928_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_285_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_132_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_449_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67696_ _64247_/A VGND VGND VPWR VPWR _68337_/A sky130_fd_sc_hd__buf_1
+XFILLER_230_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_273_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_269_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38615_ _38637_/A _38466_/X _38615_/C VGND VGND VPWR VPWR _38616_/B sky130_fd_sc_hd__nand3_2
+X_69435_ _65037_/A _69433_/Y _69434_/Y VGND VGND VPWR VPWR _69439_/B sky130_fd_sc_hd__nor3_2
+XFILLER_449_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_660_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54661_ _54661_/A _54648_/X VGND VGND VPWR VPWR _54661_/X sky130_fd_sc_hd__or2_2
+X_66647_ _66629_/Y _66647_/B VGND VGND VPWR VPWR _66647_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_100_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39595_ _39592_/A _39592_/B _80084_/Q VGND VGND VPWR VPWR _39595_/Y sky130_fd_sc_hd__nand3_2
+X_51873_ _52022_/A VGND VGND VPWR VPWR _51933_/A sky130_fd_sc_hd__buf_1
+XPHY_5329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63859_ _78587_/Q _63990_/B VGND VGND VPWR VPWR _63860_/C sky130_fd_sc_hd__nor2_2
+XFILLER_543_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_462_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_380_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56400_ _57545_/A VGND VGND VPWR VPWR _56400_/X sky130_fd_sc_hd__buf_1
+XPHY_4606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_462_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53612_ _53555_/A _53596_/A VGND VGND VPWR VPWR _53612_/X sky130_fd_sc_hd__or2_2
+XFILLER_27_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_462_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_427_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38546_ _38550_/A _38541_/B _66902_/A VGND VGND VPWR VPWR _38547_/B sky130_fd_sc_hd__nand3_2
+XFILLER_508_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50824_ _50824_/A _50823_/X VGND VGND VPWR VPWR _50824_/Y sky130_fd_sc_hd__nand2_2
+XANTENNA_508 _65251_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_540_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57380_ _57372_/Y _57379_/Y VGND VGND VPWR VPWR _57380_/Y sky130_fd_sc_hd__nor2_2
+X_69366_ _81485_/Q _69366_/B VGND VGND VPWR VPWR _69366_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_623_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54592_ _54649_/A _54601_/B VGND VGND VPWR VPWR _54592_/X sky130_fd_sc_hd__or2_2
+X_66578_ _73822_/C _66425_/X VGND VGND VPWR VPWR _66579_/C sky130_fd_sc_hd__nor2_2
+XFILLER_465_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_519 _65021_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_208_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_78_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_74_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_705_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56331_ _56344_/A VGND VGND VPWR VPWR _56332_/A sky130_fd_sc_hd__buf_1
+X_68317_ _67494_/A VGND VGND VPWR VPWR _68829_/A sky130_fd_sc_hd__buf_1
+XFILLER_281_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53543_ _53515_/A _53549_/B VGND VGND VPWR VPWR _53543_/X sky130_fd_sc_hd__or2_2
+XFILLER_183_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65529_ _67410_/A VGND VGND VPWR VPWR _66185_/B sky130_fd_sc_hd__buf_1
+XPHY_3927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38477_ _74679_/A VGND VGND VPWR VPWR _38478_/A sky130_fd_sc_hd__buf_1
+XFILLER_306_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50755_ _50755_/A _50754_/X VGND VGND VPWR VPWR _50755_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_506_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69297_ _69297_/A _69019_/B VGND VGND VPWR VPWR _69297_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_503_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_1589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_26_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_148_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59050_ _58971_/A VGND VGND VPWR VPWR _59355_/A sky130_fd_sc_hd__buf_1
+XFILLER_620_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80526_ _80465_/CLK _76072_/Y VGND VGND VPWR VPWR _80526_/Q sky130_fd_sc_hd__dfxtp_4
+X_68248_ _68094_/A _68248_/B _68247_/Y VGND VGND VPWR VPWR _68248_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_341_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56262_ _58836_/A _56257_/Y _56262_/C VGND VGND VPWR VPWR _56262_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_693_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53474_ _53536_/A _53493_/B VGND VGND VPWR VPWR _53474_/X sky130_fd_sc_hd__or2_2
+XFILLER_230_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50686_ _62024_/A _50692_/B VGND VGND VPWR VPWR _50688_/A sky130_fd_sc_hd__nand2_2
+XFILLER_675_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_224_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_575_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58001_ _56242_/X VGND VGND VPWR VPWR _58322_/A sky130_fd_sc_hd__buf_1
+XFILLER_107_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_601_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55213_ _55166_/B _55207_/B _55213_/C VGND VGND VPWR VPWR _55215_/A sky130_fd_sc_hd__nor3_2
+XFILLER_209_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_658_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52425_ _60493_/A _52419_/B VGND VGND VPWR VPWR _52427_/A sky130_fd_sc_hd__nand2_2
+XFILLER_456_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56193_ _55991_/A _44907_/B VGND VGND VPWR VPWR _56193_/X sky130_fd_sc_hd__or2_2
+X_80457_ _80375_/CLK _80457_/D VGND VGND VPWR VPWR _76368_/C sky130_fd_sc_hd__dfxtp_4
+X_68179_ _44344_/A _67855_/B VGND VGND VPWR VPWR _68179_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_179_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_417_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_298_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70210_ _70303_/A _70210_/B VGND VGND VPWR VPWR _70211_/A sky130_fd_sc_hd__or2_2
+XFILLER_593_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55144_ _55144_/A _55139_/Y _55144_/C VGND VGND VPWR VPWR _55146_/A sky130_fd_sc_hd__nor3_2
+XFILLER_706_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40370_ _40368_/A VGND VGND VPWR VPWR _40514_/B sky130_fd_sc_hd__buf_1
+X_52356_ _52356_/A _52356_/B VGND VGND VPWR VPWR _77570_/D sky130_fd_sc_hd__nand2_2
+XPHY_24539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_87_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71190_ _71190_/A _71108_/B VGND VGND VPWR VPWR _71190_/X sky130_fd_sc_hd__and2_2
+XFILLER_617_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_142_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80388_ _80384_/CLK _80388_/D VGND VGND VPWR VPWR _80388_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_571_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_194_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_712_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_241_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_571_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51307_ _60558_/A _51307_/B VGND VGND VPWR VPWR _51309_/A sky130_fd_sc_hd__nand2_2
+X_39029_ _38669_/A _39029_/B VGND VGND VPWR VPWR _39029_/X sky130_fd_sc_hd__or2_2
+X_70141_ _70129_/X _70140_/Y VGND VGND VPWR VPWR _70141_/X sky130_fd_sc_hd__and2_2
+XPHY_13304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59952_ _59640_/A _59952_/B _59952_/C VGND VGND VPWR VPWR _59956_/B sky130_fd_sc_hd__nor3_2
+X_55075_ _46018_/A _55075_/B VGND VGND VPWR VPWR _55079_/A sky130_fd_sc_hd__or2_2
+XPHY_13315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_378_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_721_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52287_ _52161_/A _52305_/B VGND VGND VPWR VPWR _52288_/B sky130_fd_sc_hd__or2_2
+XFILLER_257_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_120_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_181_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_519_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42040_ _79536_/Q VGND VGND VPWR VPWR _44949_/A sky130_fd_sc_hd__buf_1
+XPHY_13348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54026_ _77126_/Q _54008_/B VGND VGND VPWR VPWR _54026_/Y sky130_fd_sc_hd__nand2_2
+X_58903_ _58903_/A VGND VGND VPWR VPWR _63884_/B sky130_fd_sc_hd__buf_1
+XFILLER_356_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_532_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_293_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51238_ _51405_/A VGND VGND VPWR VPWR _53059_/A sky130_fd_sc_hd__buf_1
+XPHY_12614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70072_ _70072_/A VGND VGND VPWR VPWR _70079_/A sky130_fd_sc_hd__buf_2
+XFILLER_146_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_257_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_49_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59883_ _77121_/Q _59882_/X VGND VGND VPWR VPWR _59884_/C sky130_fd_sc_hd__nor2_2
+XFILLER_68_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73900_ _73778_/A _73885_/B VGND VGND VPWR VPWR _73902_/A sky130_fd_sc_hd__or2_2
+XPHY_30015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81009_ _80259_/CLK _81009_/D VGND VGND VPWR VPWR _74144_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_500_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_150_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_710_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58834_ _69492_/A _58834_/B VGND VGND VPWR VPWR _58836_/B sky130_fd_sc_hd__nor2_2
+XFILLER_324_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_658_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_685_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74880_ _75241_/A _74876_/B VGND VGND VPWR VPWR _74880_/X sky130_fd_sc_hd__or2_2
+X_51169_ _54991_/A _51141_/B VGND VGND VPWR VPWR _51172_/A sky130_fd_sc_hd__or2_2
+XFILLER_134_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_161_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_584_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_11935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_584_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_235_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_44_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_682_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73831_ _73951_/A _73817_/X VGND VGND VPWR VPWR _73833_/A sky130_fd_sc_hd__or2_2
+XFILLER_387_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_133_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58765_ _79597_/Q _58765_/B VGND VGND VPWR VPWR _58766_/C sky130_fd_sc_hd__nor2_2
+X_43991_ _43942_/A VGND VGND VPWR VPWR _43995_/A sky130_fd_sc_hd__buf_1
+XPHY_7210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55977_ _56118_/A _55977_/B _55977_/C VGND VGND VPWR VPWR _55978_/B sky130_fd_sc_hd__or3_2
+XFILLER_333_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_7_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45730_ _44965_/X _45729_/X VGND VGND VPWR VPWR _45730_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_231_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57716_ _79872_/Q _57873_/B VGND VGND VPWR VPWR _57716_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_23_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76550_ VGND VGND VPWR VPWR _76550_/HI la_data_out[46] sky130_fd_sc_hd__conb_1
+XPHY_7243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42942_ _42938_/A _42949_/B _67145_/A VGND VGND VPWR VPWR _42943_/B sky130_fd_sc_hd__nand3_2
+XFILLER_88_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54928_ _54928_/A _54928_/B VGND VGND VPWR VPWR _76887_/D sky130_fd_sc_hd__nand2_2
+XFILLER_654_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73762_ _73762_/A VGND VGND VPWR VPWR _73778_/B sky130_fd_sc_hd__buf_1
+XFILLER_270_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_453_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58696_ _56265_/A _58696_/B VGND VGND VPWR VPWR _58713_/B sky130_fd_sc_hd__nor2_2
+X_70974_ _70974_/A _70952_/Y _70973_/Y VGND VGND VPWR VPWR _70975_/A sky130_fd_sc_hd__or3_2
+XFILLER_473_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75501_ _76007_/A _75501_/B VGND VGND VPWR VPWR _75504_/A sky130_fd_sc_hd__or2_2
+XFILLER_504_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_608_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72713_ _72820_/B VGND VGND VPWR VPWR _72714_/B sky130_fd_sc_hd__buf_1
+XFILLER_64_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45661_ _45660_/X VGND VGND VPWR VPWR _45661_/Y sky130_fd_sc_hd__inv_8
+XPHY_39035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57647_ _57879_/A _57642_/Y _57646_/Y VGND VGND VPWR VPWR _57647_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76481_ VGND VGND VPWR VPWR _76481_/HI io_out[3] sky130_fd_sc_hd__conb_1
+XPHY_7298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42873_ _79445_/Q _46228_/B VGND VGND VPWR VPWR _42873_/X sky130_fd_sc_hd__and2_2
+XFILLER_76_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_84_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54859_ _54859_/A _54858_/X VGND VGND VPWR VPWR _54859_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_2_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73693_ _73691_/X _73693_/B VGND VGND VPWR VPWR _73693_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_426_3062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_346_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_40_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_79_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47400_ _47437_/A _47399_/X VGND VGND VPWR VPWR _47401_/B sky130_fd_sc_hd__or2_2
+XFILLER_209_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78220_ _78209_/CLK _78220_/D VGND VGND VPWR VPWR _78220_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_480_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44612_ _44612_/A _44612_/B VGND VGND VPWR VPWR _44613_/B sky130_fd_sc_hd__nor2_2
+XFILLER_283_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75432_ _75482_/A VGND VGND VPWR VPWR _75455_/A sky130_fd_sc_hd__buf_1
+XFILLER_428_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41824_ wbs_dat_i[4] VGND VGND VPWR VPWR _41824_/Y sky130_fd_sc_hd__inv_8
+XPHY_38334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48380_ _48380_/A _48380_/B VGND VGND VPWR VPWR _48380_/Y sky130_fd_sc_hd__nand2_2
+XPHY_5852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72644_ _72644_/A _72644_/B VGND VGND VPWR VPWR _81377_/D sky130_fd_sc_hd__nand2_2
+XPHY_6597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_461_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_166_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45592_ _79127_/Q _45589_/B VGND VGND VPWR VPWR _45592_/Y sky130_fd_sc_hd__nor2_2
+XPHY_37600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57578_ _57667_/A _57578_/B _57578_/C VGND VGND VPWR VPWR _57579_/C sky130_fd_sc_hd__nor3_2
+XPHY_38345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47331_ _42232_/Y _47302_/X _42235_/A _47304_/X VGND VGND VPWR VPWR _47517_/A sky130_fd_sc_hd__o22a_4
+X_59317_ _59317_/A VGND VGND VPWR VPWR _59491_/A sky130_fd_sc_hd__buf_1
+XFILLER_38_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78151_ _78708_/CLK _78151_/D VGND VGND VPWR VPWR _62088_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_426_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44543_ _72922_/A _44535_/B VGND VGND VPWR VPWR _44544_/C sky130_fd_sc_hd__nor2_2
+X_56529_ _56529_/A VGND VGND VPWR VPWR _57340_/A sky130_fd_sc_hd__buf_1
+XPHY_27110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75363_ _75363_/A VGND VGND VPWR VPWR _75375_/B sky130_fd_sc_hd__buf_1
+XFILLER_56_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41755_ wbs_dat_i[14] VGND VGND VPWR VPWR _46245_/A sky130_fd_sc_hd__inv_8
+XFILLER_79_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72575_ _72575_/A _72574_/Y VGND VGND VPWR VPWR _81394_/D sky130_fd_sc_hd__nand2_2
+XFILLER_363_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_324_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_4_12_0_u_core.clock clkbuf_3_6_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_4_12_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_92_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_225_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_305_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_421_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77102_ _76911_/CLK _77102_/D VGND VGND VPWR VPWR _59358_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74314_ _74195_/A _74311_/B VGND VGND VPWR VPWR _74314_/X sky130_fd_sc_hd__or2_2
+XFILLER_183_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40706_ _40670_/A VGND VGND VPWR VPWR _40707_/A sky130_fd_sc_hd__buf_1
+XFILLER_16_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_423_0_u_core.clock clkbuf_9_423_0_u_core.clock/A VGND VGND VPWR VPWR _80147_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_92_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_95_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71526_ _71461_/A _71526_/B VGND VGND VPWR VPWR _71526_/Y sky130_fd_sc_hd__nor2_2
+X_47262_ _41699_/Y _47246_/X VGND VGND VPWR VPWR _47262_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_207_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59248_ _58910_/A VGND VGND VPWR VPWR _59607_/A sky130_fd_sc_hd__buf_1
+XPHY_36943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78082_ _78586_/CLK _50412_/Y VGND VGND VPWR VPWR _62519_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_232_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44474_ _44520_/A _44474_/B VGND VGND VPWR VPWR _44474_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_302_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75294_ _74811_/A _75186_/A VGND VGND VPWR VPWR _75294_/X sky130_fd_sc_hd__or2_2
+X_41686_ _41706_/C VGND VGND VPWR VPWR _41708_/C sky130_fd_sc_hd__inv_8
+XFILLER_147_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49001_ _78448_/Q _48987_/B VGND VGND VPWR VPWR _49001_/Y sky130_fd_sc_hd__nand2_2
+XPHY_27187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46213_ _79035_/Q _46213_/B VGND VGND VPWR VPWR _46213_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_144_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77033_ _77029_/CLK _77033_/D VGND VGND VPWR VPWR _59835_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43425_ _43422_/X _43424_/Y VGND VGND VPWR VPWR _79353_/D sky130_fd_sc_hd__nand2_2
+XFILLER_51_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74245_ _74245_/A _74245_/B VGND VGND VPWR VPWR _74245_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_319_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40637_ _40635_/X _40636_/Y VGND VGND VPWR VPWR _40637_/Y sky130_fd_sc_hd__nand2_2
+XPHY_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47193_ _47189_/B _47192_/Y _47184_/C VGND VGND VPWR VPWR _78889_/D sky130_fd_sc_hd__nor3_2
+XFILLER_548_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59179_ _59897_/A _59179_/B VGND VGND VPWR VPWR _59274_/B sky130_fd_sc_hd__nor2_2
+XFILLER_31_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71457_ _71457_/A _71457_/B VGND VGND VPWR VPWR _71458_/C sky130_fd_sc_hd__nor2_2
+XFILLER_158_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_197_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_179_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_502_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_654_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_559_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61210_ _60898_/A _61204_/Y _61209_/Y VGND VGND VPWR VPWR _61210_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_305_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46144_ _46142_/X _46143_/X VGND VGND VPWR VPWR _46161_/A sky130_fd_sc_hd__nand2_2
+XFILLER_591_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_129_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70408_ _56205_/A _70408_/B VGND VGND VPWR VPWR _70409_/B sky130_fd_sc_hd__nor2_2
+XFILLER_477_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_12_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43356_ _42994_/A _43359_/B VGND VGND VPWR VPWR _43356_/X sky130_fd_sc_hd__or2_2
+XFILLER_297_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74176_ _74176_/A _74173_/B VGND VGND VPWR VPWR _74176_/X sky130_fd_sc_hd__or2_2
+XFILLER_373_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62190_ _62190_/A _62189_/X VGND VGND VPWR VPWR _62190_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_307_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_494_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40568_ _40568_/A _40565_/B VGND VGND VPWR VPWR _40568_/X sky130_fd_sc_hd__or2_2
+XPHY_25774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71388_ _70337_/Y _71388_/B VGND VGND VPWR VPWR _71388_/X sky130_fd_sc_hd__or2_2
+XPHY_15251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_9_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_474_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_184_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42307_ _42307_/A _42306_/Y VGND VGND VPWR VPWR _42307_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_534_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61141_ _77633_/Q _60825_/B VGND VGND VPWR VPWR _61141_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_452_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73127_ _73123_/A _73112_/B _69058_/A VGND VGND VPWR VPWR _73132_/A sky130_fd_sc_hd__nand3_2
+XFILLER_144_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_2718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46075_ _55878_/B _42817_/B _42443_/X VGND VGND VPWR VPWR _46076_/C sky130_fd_sc_hd__nor3_2
+XFILLER_507_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70339_ _70313_/Y _70339_/B VGND VGND VPWR VPWR _70339_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_724_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43287_ _43287_/A _43286_/Y VGND VGND VPWR VPWR _79390_/D sky130_fd_sc_hd__nand2_2
+XFILLER_126_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_361_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78984_ _79557_/CLK _46682_/Y VGND VGND VPWR VPWR io_out[23] sky130_fd_sc_hd__dfxtp_4
+XFILLER_236_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40499_ _40503_/A _40503_/B _56669_/A VGND VGND VPWR VPWR _40500_/B sky130_fd_sc_hd__nand3_2
+XFILLER_199_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_455_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_194_0_u_core.clock clkbuf_7_97_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_389_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_696_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_711_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49903_ _49903_/A _49906_/B VGND VGND VPWR VPWR _49905_/A sky130_fd_sc_hd__nand2_2
+X_45026_ _45026_/A _41964_/A VGND VGND VPWR VPWR _45026_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_649_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42238_ _79499_/Q VGND VGND VPWR VPWR _45205_/A sky130_fd_sc_hd__buf_1
+XFILLER_125_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61072_ _61072_/A _61071_/X VGND VGND VPWR VPWR _61072_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_193_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73058_ _42952_/A _73072_/B VGND VGND VPWR VPWR _73058_/X sky130_fd_sc_hd__or2_2
+X_77935_ _77937_/CLK _50957_/Y VGND VGND VPWR VPWR _62007_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_652_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_433_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_49_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60023_ _59122_/A VGND VGND VPWR VPWR _60353_/B sky130_fd_sc_hd__buf_1
+X_64900_ _81138_/Q _64741_/B VGND VGND VPWR VPWR _64901_/C sky130_fd_sc_hd__nor2_2
+XFILLER_29_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_72009_ _71144_/X _71993_/X _71153_/X _42795_/B VGND VGND VPWR VPWR _72010_/A sky130_fd_sc_hd__o22a_4
+XPHY_13882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_45_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49834_ _78235_/Q _49844_/B VGND VGND VPWR VPWR _49834_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_472_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42169_ _42169_/A _42168_/X _42177_/C VGND VGND VPWR VPWR _42170_/B sky130_fd_sc_hd__nor3_2
+X_65880_ _65880_/A _66538_/B VGND VGND VPWR VPWR _65881_/C sky130_fd_sc_hd__nor2_2
+X_77866_ _78002_/CLK _77866_/D VGND VGND VPWR VPWR _62493_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_720_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_663_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_287_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_618_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79605_ _79686_/CLK _79605_/D VGND VGND VPWR VPWR _41491_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_141_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_253_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_154_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_119_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64831_ _65177_/A _64823_/Y _64830_/Y VGND VGND VPWR VPWR _64831_/Y sky130_fd_sc_hd__nor3_2
+X_76817_ _76815_/CLK _76817_/D VGND VGND VPWR VPWR _76817_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_386_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49765_ _49764_/X VGND VGND VPWR VPWR _49765_/X sky130_fd_sc_hd__buf_1
+XPHY_30560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46977_ _46977_/A _46976_/X VGND VGND VPWR VPWR _46978_/B sky130_fd_sc_hd__and2_2
+Xclkbuf_8_89_0_u_core.clock clkbuf_8_88_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_89_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_77797_ _77295_/CLK _51495_/Y VGND VGND VPWR VPWR _77797_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_80_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_449_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_646_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48716_ _48716_/A _48715_/X VGND VGND VPWR VPWR _48716_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_724_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67550_ _67550_/A _67546_/Y _67550_/C VGND VGND VPWR VPWR _67559_/B sky130_fd_sc_hd__nor3_2
+XPHY_20070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_1405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_67_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79536_ _79540_/CLK _79536_/D VGND VGND VPWR VPWR _79536_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_626_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45928_ _45911_/X _45928_/B _45928_/C VGND VGND VPWR VPWR _79067_/D sky130_fd_sc_hd__nor3_2
+X_64762_ _64577_/X VGND VGND VPWR VPWR _64924_/A sky130_fd_sc_hd__buf_1
+XFILLER_583_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76748_ _78867_/CLK _76748_/D VGND VGND VPWR VPWR _45320_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61974_ _61666_/A _61974_/B _61973_/Y VGND VGND VPWR VPWR _61974_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_691_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49696_ _49696_/A _49702_/B VGND VGND VPWR VPWR _49696_/X sky130_fd_sc_hd__or2_2
+XFILLER_3_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_23_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_709_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66501_ _66828_/A _66501_/B _66501_/C VGND VGND VPWR VPWR _66509_/B sky130_fd_sc_hd__nor3_2
+XFILLER_62_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63713_ _63713_/A _59313_/B VGND VGND VPWR VPWR _63713_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_62_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_110_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60925_ _60925_/A _60925_/B VGND VGND VPWR VPWR _60925_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_239_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48647_ _48646_/X VGND VGND VPWR VPWR _48652_/B sky130_fd_sc_hd__buf_1
+XFILLER_672_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67481_ _79969_/Q _67649_/B VGND VGND VPWR VPWR _67482_/C sky130_fd_sc_hd__nor2_2
+XFILLER_254_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_446_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_67_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79467_ _79470_/CLK _42670_/Y VGND VGND VPWR VPWR _55177_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_646_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45859_ _45859_/A _45850_/B VGND VGND VPWR VPWR _45859_/Y sky130_fd_sc_hd__nor2_2
+X_64693_ _64693_/A _64421_/Y _64693_/C _64693_/D VGND VGND VPWR VPWR _76686_/D sky130_fd_sc_hd__or4_2
+XFILLER_97_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76679_ _79145_/CLK _76679_/D VGND VGND VPWR VPWR _76679_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_444_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_251_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_66_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38400_ _38400_/A _38400_/B _38400_/C VGND VGND VPWR VPWR _38400_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_208_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69220_ _69220_/A _64463_/B VGND VGND VPWR VPWR _69220_/Y sky130_fd_sc_hd__nor2_2
+X_66432_ _74232_/C _65763_/B VGND VGND VPWR VPWR _66432_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_604_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78418_ _78428_/CLK _78418_/D VGND VGND VPWR VPWR _63698_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_247_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39380_ _39380_/A _39380_/B VGND VGND VPWR VPWR _39380_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_97_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63644_ _63906_/A _63644_/B _63643_/Y VGND VGND VPWR VPWR _63644_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_282_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_384_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48578_ _47820_/A _48578_/B VGND VGND VPWR VPWR _54340_/A sky130_fd_sc_hd__or2_2
+X_60856_ _59205_/A VGND VGND VPWR VPWR _60864_/A sky130_fd_sc_hd__buf_1
+XFILLER_97_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79398_ _79368_/CLK _79398_/D VGND VGND VPWR VPWR _58701_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_409_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_561_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_702_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_81_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_184_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38331_ _76330_/A _38331_/B VGND VGND VPWR VPWR _38331_/X sky130_fd_sc_hd__or2_2
+XFILLER_325_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69151_ _69151_/A _68687_/B VGND VGND VPWR VPWR _69151_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_558_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_110_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_162_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47529_ _47624_/A _47508_/X VGND VGND VPWR VPWR _47530_/B sky130_fd_sc_hd__or2_2
+X_66363_ _80314_/Q _65695_/X VGND VGND VPWR VPWR _66363_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_479_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78349_ _78332_/CLK _49398_/Y VGND VGND VPWR VPWR _61808_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_698_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63575_ _49297_/A _63837_/B VGND VGND VPWR VPWR _63576_/C sky130_fd_sc_hd__nor2_2
+XFILLER_412_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_602_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60787_ _77607_/Q _60167_/B VGND VGND VPWR VPWR _60787_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_165_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_225_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_412_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68102_ _67939_/A _68102_/B _68102_/C VGND VGND VPWR VPWR _68175_/B sky130_fd_sc_hd__nor3_2
+XFILLER_147_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65314_ _80948_/Q _65314_/B VGND VGND VPWR VPWR _65316_/B sky130_fd_sc_hd__nor2_2
+XFILLER_368_124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_577_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38262_ _76261_/A _38271_/B VGND VGND VPWR VPWR _38264_/A sky130_fd_sc_hd__or2_2
+XFILLER_405_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50540_ _61879_/A _50543_/B VGND VGND VPWR VPWR _50540_/Y sky130_fd_sc_hd__nand2_2
+X_62526_ _62508_/Y _62525_/Y VGND VGND VPWR VPWR _62526_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_602_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81360_ _81393_/CLK _81360_/D VGND VGND VPWR VPWR _64605_/A sky130_fd_sc_hd__dfxtp_4
+X_69082_ _69500_/A _69082_/B _69081_/Y VGND VGND VPWR VPWR _69082_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_260_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66294_ _64218_/A VGND VGND VPWR VPWR _66294_/X sky130_fd_sc_hd__buf_1
+XFILLER_411_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_opt_5_u_core.clock _79557_/CLK VGND VGND VPWR VPWR _79401_/CLK sky130_fd_sc_hd__clkbuf_16
+XFILLER_577_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_555_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_167_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_245_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_618_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68033_ _68033_/A _68187_/B VGND VGND VPWR VPWR _68033_/Y sky130_fd_sc_hd__nor2_2
+X_80311_ _80279_/CLK _38712_/Y VGND VGND VPWR VPWR _80311_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_108_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_108_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65245_ _65245_/A _64727_/B VGND VGND VPWR VPWR _65245_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_396_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50471_ _50461_/X VGND VGND VPWR VPWR _50484_/B sky130_fd_sc_hd__buf_1
+XFILLER_499_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62457_ _50796_/A _61986_/X VGND VGND VPWR VPWR _62457_/Y sky130_fd_sc_hd__nor2_2
+X_81291_ _79368_/CLK _73003_/Y VGND VGND VPWR VPWR _72999_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_260_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_258_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_323_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_538_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_176_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52210_ _77608_/Q _52195_/X VGND VGND VPWR VPWR _52210_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_309_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_301_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61408_ _59828_/X VGND VGND VPWR VPWR _61409_/B sky130_fd_sc_hd__buf_1
+XFILLER_525_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80242_ _80238_/CLK _80242_/D VGND VGND VPWR VPWR _38969_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_715_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_109_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_713_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53190_ _53156_/A _53219_/A VGND VGND VPWR VPWR _53192_/A sky130_fd_sc_hd__or2_2
+Xclkbuf_9_69_0_u_core.clock clkbuf_9_69_0_u_core.clock/A VGND VGND VPWR VPWR _77474_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_202_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65176_ _64374_/A _65172_/Y _65175_/Y VGND VGND VPWR VPWR _65176_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_176_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62388_ _60826_/A VGND VGND VPWR VPWR _62389_/B sky130_fd_sc_hd__buf_1
+XFILLER_301_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_418_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_89_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_571_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_301_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_276_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52141_ _77623_/Q _52125_/X VGND VGND VPWR VPWR _52141_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_379_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64127_ _66702_/A VGND VGND VPWR VPWR _69149_/B sky130_fd_sc_hd__buf_1
+XFILLER_273_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_434_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61339_ _77850_/Q _61179_/B VGND VGND VPWR VPWR _61340_/C sky130_fd_sc_hd__nor2_2
+XFILLER_136_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_703_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80173_ _80239_/CLK _39261_/Y VGND VGND VPWR VPWR _80173_/Q sky130_fd_sc_hd__dfxtp_4
+X_69984_ _55178_/A VGND VGND VPWR VPWR _70425_/A sky130_fd_sc_hd__inv_8
+XFILLER_653_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_581_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_507_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_159_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_555_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_117_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52072_ _52044_/A _52087_/B VGND VGND VPWR VPWR _52072_/X sky130_fd_sc_hd__or2_2
+XFILLER_375_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68935_ _64255_/X VGND VGND VPWR VPWR _69500_/A sky130_fd_sc_hd__buf_1
+X_64058_ _78828_/Q _59080_/B VGND VGND VPWR VPWR _64058_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_254_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_195_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_239_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_514_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_82_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55900_ _55896_/X _55900_/B VGND VGND VPWR VPWR _55902_/A sky130_fd_sc_hd__and2_2
+X_63009_ _62697_/A _63009_/B _63008_/Y VGND VGND VPWR VPWR _63013_/B sky130_fd_sc_hd__nor3_2
+X_51023_ _51052_/A _51019_/B VGND VGND VPWR VPWR _51024_/B sky130_fd_sc_hd__or2_2
+XPHY_11209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_416_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_85_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_667_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_439_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56880_ _65489_/A _56702_/B VGND VGND VPWR VPWR _56881_/C sky130_fd_sc_hd__nor2_2
+XFILLER_116_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68866_ _68198_/A _68864_/Y _68865_/Y VGND VGND VPWR VPWR _68866_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_143_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_292_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_236_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_47_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55831_ _55829_/Y _55831_/B VGND VGND VPWR VPWR _55831_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_236_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67817_ _67323_/A _67813_/Y _67817_/C VGND VGND VPWR VPWR _67828_/B sky130_fd_sc_hd__nor3_2
+XFILLER_28_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_686_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68797_ _68627_/A _68797_/B _68797_/C VGND VGND VPWR VPWR _68798_/C sky130_fd_sc_hd__nor3_2
+XFILLER_510_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_664_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_493_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_3084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39716_ _39231_/A _39701_/X VGND VGND VPWR VPWR _39716_/X sky130_fd_sc_hd__or2_2
+XFILLER_210_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58550_ _68946_/A _58225_/X VGND VGND VPWR VPWR _58550_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_80_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_683_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_219_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_625_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67748_ _80931_/Q _67572_/B VGND VGND VPWR VPWR _67748_/Y sky130_fd_sc_hd__nor2_2
+X_55762_ _54986_/A _55756_/B VGND VGND VPWR VPWR _55762_/X sky130_fd_sc_hd__or2_2
+XFILLER_382_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_644_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52974_ _52974_/A _52984_/B VGND VGND VPWR VPWR _52976_/A sky130_fd_sc_hd__nand2_2
+XFILLER_312_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_474_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57501_ _57102_/A VGND VGND VPWR VPWR _57501_/X sky130_fd_sc_hd__buf_1
+XFILLER_347_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54713_ _54798_/A _54722_/B VGND VGND VPWR VPWR _54714_/B sky130_fd_sc_hd__or2_2
+XFILLER_189_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_490_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51925_ _61294_/A _51918_/B VGND VGND VPWR VPWR _51928_/A sky130_fd_sc_hd__nand2_2
+X_39647_ _39143_/A _39647_/B VGND VGND VPWR VPWR _39651_/A sky130_fd_sc_hd__or2_2
+XFILLER_213_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_218_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58481_ _58391_/A _58481_/B _58481_/C VGND VGND VPWR VPWR _58485_/B sky130_fd_sc_hd__nor3_2
+XFILLER_41_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55693_ io_out[25] _55711_/B VGND VGND VPWR VPWR _55695_/B sky130_fd_sc_hd__nor2_2
+X_67679_ _67660_/Y _67679_/B VGND VGND VPWR VPWR _67680_/B sky130_fd_sc_hd__nor2_2
+XFILLER_607_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_603_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_480_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_6_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_248_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_544_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_435_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57432_ _57281_/A _57432_/B _57431_/Y VGND VGND VPWR VPWR _57432_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_183_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69418_ _79206_/Q VGND VGND VPWR VPWR _69418_/Y sky130_fd_sc_hd__inv_8
+XPHY_4403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54644_ _76963_/Q _54654_/B VGND VGND VPWR VPWR _54646_/A sky130_fd_sc_hd__nand2_2
+XFILLER_547_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_660_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51856_ _51853_/A VGND VGND VPWR VPWR _51884_/B sky130_fd_sc_hd__buf_1
+XFILLER_490_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_427_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39578_ _39576_/X _39577_/Y VGND VGND VPWR VPWR _80089_/D sky130_fd_sc_hd__nand2_2
+XFILLER_364_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70690_ _70678_/X _70688_/X VGND VGND VPWR VPWR _70690_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_306_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2845 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_305 _47838_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_269_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_261_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_316 _47821_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_57_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_327 _48103_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_187_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50807_ _50836_/A _50807_/B VGND VGND VPWR VPWR _50807_/X sky130_fd_sc_hd__or2_2
+XFILLER_54_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38529_ _74732_/A VGND VGND VPWR VPWR _38531_/A sky130_fd_sc_hd__buf_1
+XANTENNA_338 _55795_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_198_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57363_ _57288_/A _57363_/B _57362_/Y VGND VGND VPWR VPWR _57364_/B sky130_fd_sc_hd__nor3_2
+X_69349_ _69489_/A _69349_/B VGND VGND VPWR VPWR _69350_/C sky130_fd_sc_hd__nor2_2
+XFILLER_35_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54575_ _76982_/Q _54572_/B VGND VGND VPWR VPWR _54575_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_243_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_349 _51161_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51787_ _51729_/A _51790_/B VGND VGND VPWR VPWR _51787_/X sky130_fd_sc_hd__or2_2
+XPHY_4469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_226_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_180_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59102_ _59112_/A VGND VGND VPWR VPWR _60449_/A sky130_fd_sc_hd__buf_1
+XFILLER_208_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56314_ _56536_/A _56314_/B _56314_/C VGND VGND VPWR VPWR _56315_/B sky130_fd_sc_hd__nor3_2
+XFILLER_560_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41540_ _41180_/A _41527_/B VGND VGND VPWR VPWR _41542_/A sky130_fd_sc_hd__or2_2
+XFILLER_204_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53526_ _53526_/A _53523_/B VGND VGND VPWR VPWR _53528_/A sky130_fd_sc_hd__nand2_2
+XFILLER_74_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72360_ _72346_/X VGND VGND VPWR VPWR _72417_/A sky130_fd_sc_hd__buf_1
+XPHY_3757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50738_ _50823_/A _50756_/B VGND VGND VPWR VPWR _50739_/B sky130_fd_sc_hd__or2_2
+XFILLER_694_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57294_ _66297_/A _57126_/X VGND VGND VPWR VPWR _57294_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_701_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_306_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_39_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59033_ _63636_/A _59033_/B _59032_/Y VGND VGND VPWR VPWR _59033_/Y sky130_fd_sc_hd__nor3_2
+X_71311_ _71001_/X _71310_/X VGND VGND VPWR VPWR _71425_/B sky130_fd_sc_hd__and2_2
+XFILLER_697_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56245_ _56244_/X VGND VGND VPWR VPWR _58831_/B sky130_fd_sc_hd__buf_1
+X_80509_ _80508_/CLK _80509_/D VGND VGND VPWR VPWR _80509_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_25015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53457_ _53457_/A _53448_/B VGND VGND VPWR VPWR _53457_/X sky130_fd_sc_hd__or2_2
+XFILLER_55_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_35_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41471_ _41506_/A VGND VGND VPWR VPWR _41486_/A sky130_fd_sc_hd__buf_1
+XFILLER_224_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72291_ _72291_/A _72291_/B _81463_/Q VGND VGND VPWR VPWR _72292_/B sky130_fd_sc_hd__nand3_2
+XFILLER_17_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50669_ _62805_/A _50668_/X VGND VGND VPWR VPWR _50672_/A sky130_fd_sc_hd__nand2_2
+XPHY_34815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_591_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81489_ _79439_/CLK _81489_/D VGND VGND VPWR VPWR _56543_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_298_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_10_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_104_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43210_ _43210_/A _43041_/X _43210_/C _43210_/D VGND VGND VPWR VPWR _43211_/C sky130_fd_sc_hd__nor4_2
+X_74030_ _74029_/X _74025_/B VGND VGND VPWR VPWR _74032_/A sky130_fd_sc_hd__or2_2
+XFILLER_181_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_202_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40422_ _39155_/A VGND VGND VPWR VPWR _40565_/A sky130_fd_sc_hd__buf_1
+XFILLER_276_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71242_ _70242_/Y _71279_/B VGND VGND VPWR VPWR _71242_/X sky130_fd_sc_hd__or2_2
+X_52408_ _52408_/A _52408_/B VGND VGND VPWR VPWR _77555_/D sky130_fd_sc_hd__nand2_2
+XFILLER_224_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44190_ _44190_/A VGND VGND VPWR VPWR _44190_/X sky130_fd_sc_hd__buf_1
+XFILLER_70_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56176_ _45120_/A _56157_/X VGND VGND VPWR VPWR _56177_/B sky130_fd_sc_hd__nor2_2
+XPHY_24325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53388_ _60072_/A _53381_/B VGND VGND VPWR VPWR _53388_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_339_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_417_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_202_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_319_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_356_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43141_ _46148_/B VGND VGND VPWR VPWR _46146_/B sky130_fd_sc_hd__inv_8
+XFILLER_718_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55127_ _55127_/A _55136_/B VGND VGND VPWR VPWR _55128_/C sky130_fd_sc_hd__nor2_2
+XFILLER_356_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_573_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40353_ _40351_/X _40353_/B VGND VGND VPWR VPWR _79891_/D sky130_fd_sc_hd__nand2_2
+XPHY_23624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52339_ _52339_/A _52338_/X VGND VGND VPWR VPWR _52339_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_555_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71173_ _70202_/Y _71214_/B VGND VGND VPWR VPWR _71173_/X sky130_fd_sc_hd__or2_2
+XFILLER_87_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70124_ _70124_/A _70463_/B _70056_/A VGND VGND VPWR VPWR _70124_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_571_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_317_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59935_ _76954_/Q _59615_/B VGND VGND VPWR VPWR _59937_/B sky130_fd_sc_hd__nor2_2
+XPHY_23668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43072_ _45341_/A _46105_/B VGND VGND VPWR VPWR _43113_/A sky130_fd_sc_hd__nor2_2
+X_55058_ _47094_/C _55058_/B _55057_/Y VGND VGND VPWR VPWR _55059_/C sky130_fd_sc_hd__nor3_2
+XFILLER_172_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_430_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40284_ _40281_/X _40284_/B VGND VGND VPWR VPWR _40284_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_276_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_100_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75981_ _75468_/A _75992_/B VGND VGND VPWR VPWR _75981_/X sky130_fd_sc_hd__or2_2
+XPHY_23679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_589_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42023_ _42257_/A VGND VGND VPWR VPWR _42034_/B sky130_fd_sc_hd__buf_1
+X_46900_ _46900_/A _46900_/B VGND VGND VPWR VPWR _46900_/X sky130_fd_sc_hd__and2_2
+XPHY_13178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77720_ _77714_/CLK _77720_/D VGND VGND VPWR VPWR _60970_/A sky130_fd_sc_hd__dfxtp_4
+X_54009_ _54006_/Y _54009_/B VGND VGND VPWR VPWR _54009_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_317_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74932_ _74931_/X _74919_/B _74932_/C VGND VGND VPWR VPWR _74933_/B sky130_fd_sc_hd__nand3_2
+XFILLER_469_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70055_ _69954_/A VGND VGND VPWR VPWR _70056_/A sky130_fd_sc_hd__buf_1
+XPHY_13189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47880_ _78734_/Q _47865_/B VGND VGND VPWR VPWR _47880_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59866_ _59866_/A _59866_/B VGND VGND VPWR VPWR _59866_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_133_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_669_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_213_0_u_core.clock clkbuf_9_213_0_u_core.clock/A VGND VGND VPWR VPWR _79290_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_150_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_123_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_647_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46831_ _55047_/A _55909_/A VGND VGND VPWR VPWR _46831_/Y sky130_fd_sc_hd__nor2_2
+XPHY_11743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58817_ _58616_/A _58817_/B _58817_/C VGND VGND VPWR VPWR _58821_/B sky130_fd_sc_hd__nor3_2
+X_77651_ _78176_/CLK _77651_/D VGND VGND VPWR VPWR _77651_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_270_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74863_ _74863_/A VGND VGND VPWR VPWR _74878_/B sky130_fd_sc_hd__buf_1
+XFILLER_485_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_412_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59797_ _54174_/A _59797_/B VGND VGND VPWR VPWR _59799_/B sky130_fd_sc_hd__nor2_2
+XFILLER_415_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76602_ VGND VGND VPWR VPWR _76602_/HI la_data_out[98] sky130_fd_sc_hd__conb_1
+XFILLER_584_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_580_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_137_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_4_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73814_ _73814_/A _73804_/B VGND VGND VPWR VPWR _73816_/A sky130_fd_sc_hd__or2_2
+XFILLER_231_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49550_ _49550_/A _49549_/X VGND VGND VPWR VPWR _49550_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_466_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_153_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_666_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46762_ _46762_/A _46762_/B _46768_/C VGND VGND VPWR VPWR _78964_/D sky130_fd_sc_hd__nor3_2
+XPHY_11798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58748_ _81325_/Q _58681_/B VGND VGND VPWR VPWR _58750_/B sky130_fd_sc_hd__nor2_2
+XFILLER_428_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77582_ _77365_/CLK _77582_/D VGND VGND VPWR VPWR _60637_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_23_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43974_ _43710_/A VGND VGND VPWR VPWR _43974_/Y sky130_fd_sc_hd__inv_8
+XFILLER_40_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74794_ _74674_/A VGND VGND VPWR VPWR _74877_/A sky130_fd_sc_hd__buf_1
+XFILLER_584_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_382_0_u_core.clock clkbuf_9_383_0_u_core.clock/A VGND VGND VPWR VPWR _77183_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_7051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48501_ _48465_/X _48500_/X VGND VGND VPWR VPWR _48502_/B sky130_fd_sc_hd__or2_2
+XFILLER_209_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_248_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79321_ _78929_/CLK _79321_/D VGND VGND VPWR VPWR _71480_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_428_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45713_ _45713_/A _45795_/A VGND VGND VPWR VPWR _45719_/A sky130_fd_sc_hd__nor2_2
+XFILLER_647_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76533_ VGND VGND VPWR VPWR _76533_/HI la_data_out[25] sky130_fd_sc_hd__conb_1
+XPHY_7073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42925_ _42925_/A _42925_/B VGND VGND VPWR VPWR _42925_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_264_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49481_ _49481_/A _49480_/X VGND VGND VPWR VPWR _49481_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_654_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73745_ _73756_/A _73635_/B _81103_/Q VGND VGND VPWR VPWR _73745_/Y sky130_fd_sc_hd__nand3_2
+XPHY_7084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46693_ _46692_/A VGND VGND VPWR VPWR _46693_/X sky130_fd_sc_hd__buf_1
+XFILLER_95_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58679_ _58679_/A _58679_/B VGND VGND VPWR VPWR _58680_/C sky130_fd_sc_hd__nor2_2
+X_70957_ _71997_/A _70957_/B VGND VGND VPWR VPWR _70957_/X sky130_fd_sc_hd__or2_2
+XFILLER_37_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_625_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_526_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_110_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_98_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_363_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60710_ _60710_/A _60398_/B VGND VGND VPWR VPWR _60710_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48432_ _63720_/A _48425_/B VGND VGND VPWR VPWR _48432_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_580_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_9_329_0_u_core.clock clkbuf_9_328_0_u_core.clock/A VGND VGND VPWR VPWR _78667_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_79252_ _79248_/CLK _79252_/D VGND VGND VPWR VPWR _44019_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_94_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45644_ _45644_/A _45637_/B VGND VGND VPWR VPWR _45646_/B sky130_fd_sc_hd__nor2_2
+XPHY_38120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_28_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76464_ VGND VGND VPWR VPWR _76464_/HI io_oeb[24] sky130_fd_sc_hd__conb_1
+XFILLER_606_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_425_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_287_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_3056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61690_ _78173_/Q _61066_/B VGND VGND VPWR VPWR _61691_/C sky130_fd_sc_hd__nor2_2
+X_42856_ _42860_/A _42853_/Y _42856_/C VGND VGND VPWR VPWR _79449_/D sky130_fd_sc_hd__nor3_2
+XFILLER_2_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_642_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73676_ _73796_/A _73662_/B VGND VGND VPWR VPWR _73678_/A sky130_fd_sc_hd__or2_2
+XFILLER_409_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70888_ _70888_/A _69918_/X VGND VGND VPWR VPWR _70888_/X sky130_fd_sc_hd__or2_2
+XFILLER_441_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78203_ _78186_/CLK _78203_/D VGND VGND VPWR VPWR _78203_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_406_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75415_ _75413_/X _75415_/B VGND VGND VPWR VPWR _75415_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_217_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_480_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_144_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_406_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_381_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41807_ _41805_/Y _41774_/X _41806_/Y _41776_/X VGND VGND VPWR VPWR _41808_/B sky130_fd_sc_hd__o22a_4
+XPHY_38164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72627_ _72211_/A _72622_/X VGND VGND VPWR VPWR _72629_/A sky130_fd_sc_hd__or2_2
+X_60641_ _52216_/A _60167_/B VGND VGND VPWR VPWR _60641_/Y sky130_fd_sc_hd__nor2_2
+X_48363_ _48361_/Y _48363_/B VGND VGND VPWR VPWR _78613_/D sky130_fd_sc_hd__nand2_2
+XPHY_5682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79183_ _79183_/CLK _79183_/D VGND VGND VPWR VPWR _44654_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_57_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45575_ _71372_/A _45572_/B VGND VGND VPWR VPWR _45576_/C sky130_fd_sc_hd__nor2_2
+XPHY_37430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76395_ _76395_/A VGND VGND VPWR VPWR _76396_/B sky130_fd_sc_hd__buf_1
+XPHY_5693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42787_ _42783_/Y _42787_/B _42786_/X _42777_/D VGND VGND VPWR VPWR _42787_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_695_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_504_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_498_0_u_core.clock clkbuf_9_499_0_u_core.clock/A VGND VGND VPWR VPWR _80465_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_422_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_261_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47314_ _49233_/A VGND VGND VPWR VPWR _47433_/A sky130_fd_sc_hd__buf_1
+XFILLER_260_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78134_ _78728_/CLK _50206_/Y VGND VGND VPWR VPWR _78134_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_301_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44526_ _44526_/A _44526_/B _44542_/C VGND VGND VPWR VPWR _44526_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63360_ _63360_/A _63359_/X VGND VGND VPWR VPWR _63360_/Y sky130_fd_sc_hd__nor2_2
+X_75346_ _75352_/A _75360_/B _67864_/A VGND VGND VPWR VPWR _75347_/B sky130_fd_sc_hd__nand3_2
+XFILLER_162_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_18_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41738_ _41726_/A VGND VGND VPWR VPWR _41768_/A sky130_fd_sc_hd__buf_1
+XFILLER_140_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48294_ _48294_/A VGND VGND VPWR VPWR _48328_/B sky130_fd_sc_hd__buf_1
+X_60572_ _60098_/A _60563_/Y _60571_/Y VGND VGND VPWR VPWR _60572_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_324_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72558_ _72558_/A _72558_/B VGND VGND VPWR VPWR _72558_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_60_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_225_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_127_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62311_ _77937_/Q _61850_/X VGND VGND VPWR VPWR _62311_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_105_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47245_ _55235_/A _47245_/B VGND VGND VPWR VPWR _47249_/B sky130_fd_sc_hd__nor2_2
+X_71509_ _71507_/X _71509_/B VGND VGND VPWR VPWR _81505_/D sky130_fd_sc_hd__nand2_2
+XFILLER_92_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_340_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_296_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78065_ _78080_/CLK _50476_/Y VGND VGND VPWR VPWR _62356_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_3076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_496_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44457_ _73262_/X VGND VGND VPWR VPWR _44457_/X sky130_fd_sc_hd__buf_1
+X_75277_ _75277_/A _75271_/B VGND VGND VPWR VPWR _75277_/X sky130_fd_sc_hd__or2_2
+XFILLER_158_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63291_ _49274_/A _63125_/X VGND VGND VPWR VPWR _63292_/C sky130_fd_sc_hd__nor2_2
+XFILLER_359_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_299_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_441_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41669_ _41669_/A _41669_/B _55130_/A _55132_/A VGND VGND VPWR VPWR _41669_/X sky130_fd_sc_hd__or4_2
+XPHY_36784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72489_ _72413_/X VGND VGND VPWR VPWR _72489_/X sky130_fd_sc_hd__buf_1
+XFILLER_594_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_35_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_578_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_109_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65030_ _65877_/A VGND VGND VPWR VPWR _65714_/B sky130_fd_sc_hd__buf_1
+X_77016_ _77029_/CLK _77016_/D VGND VGND VPWR VPWR _59665_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_508_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43408_ _42926_/A _43398_/B VGND VGND VPWR VPWR _43410_/A sky130_fd_sc_hd__or2_2
+XFILLER_51_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_507_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74228_ _74086_/A _74227_/X VGND VGND VPWR VPWR _74230_/A sky130_fd_sc_hd__or2_2
+X_62242_ _62242_/A _62239_/Y _62242_/C VGND VGND VPWR VPWR _62242_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_400_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_347_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_26294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47176_ _46380_/B _47176_/B VGND VGND VPWR VPWR _47176_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_242_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_158_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_122_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44388_ _44539_/A _44387_/Y VGND VGND VPWR VPWR _44389_/B sky130_fd_sc_hd__nor2_2
+XFILLER_199_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_619_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_591_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_290_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46127_ _46124_/X _46127_/B VGND VGND VPWR VPWR _46128_/B sky130_fd_sc_hd__and2_2
+XFILLER_200_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_712_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43339_ _71889_/B _43346_/B VGND VGND VPWR VPWR _43342_/A sky130_fd_sc_hd__or2_2
+XFILLER_277_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62173_ _62173_/A _62172_/X VGND VGND VPWR VPWR _62174_/C sky130_fd_sc_hd__nor2_2
+XPHY_15070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74159_ _74667_/A _74167_/B VGND VGND VPWR VPWR _74159_/X sky130_fd_sc_hd__or2_2
+XFILLER_537_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_69_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_361_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_357_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_515_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_362_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61124_ _59536_/A VGND VGND VPWR VPWR _61758_/A sky130_fd_sc_hd__buf_1
+XFILLER_474_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46058_ _46058_/A _46057_/Y _46055_/Y VGND VGND VPWR VPWR _46058_/X sky130_fd_sc_hd__and3_2
+XFILLER_511_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66981_ _66329_/A _66977_/Y _66981_/C VGND VGND VPWR VPWR _66982_/C sky130_fd_sc_hd__nor3_2
+X_78967_ _79497_/CLK _46753_/Y VGND VGND VPWR VPWR _46752_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_14380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_99_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_711_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_696_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45009_ _44938_/A _45004_/Y _45008_/Y VGND VGND VPWR VPWR _45009_/X sky130_fd_sc_hd__or3_2
+XFILLER_172_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68720_ _64449_/A VGND VGND VPWR VPWR _69324_/A sky130_fd_sc_hd__buf_1
+XFILLER_331_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65932_ _65593_/X _65932_/B _65932_/C VGND VGND VPWR VPWR _65953_/A sky130_fd_sc_hd__nor3_2
+XFILLER_451_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61055_ _61055_/A _60739_/B VGND VGND VPWR VPWR _61056_/C sky130_fd_sc_hd__nor2_2
+XFILLER_5_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77918_ _78428_/CLK _51024_/Y VGND VGND VPWR VPWR _61860_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_589_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38880_ _38488_/A _38876_/X VGND VGND VPWR VPWR _38882_/A sky130_fd_sc_hd__or2_2
+XFILLER_449_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78898_ _78897_/CLK _47165_/Y VGND VGND VPWR VPWR _46366_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_585_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_151_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_9_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_29_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60006_ _59088_/A VGND VGND VPWR VPWR _60101_/A sky130_fd_sc_hd__buf_1
+XFILLER_286_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_687_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49817_ _49817_/A VGND VGND VPWR VPWR _49933_/A sky130_fd_sc_hd__buf_1
+XPHY_31080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68651_ _68651_/A _68651_/B _68650_/Y VGND VGND VPWR VPWR _68651_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_664_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65863_ _65863_/A _65863_/B _65862_/Y VGND VGND VPWR VPWR _65864_/C sky130_fd_sc_hd__nor3_2
+XFILLER_233_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77849_ _77840_/CLK _77849_/D VGND VGND VPWR VPWR _51295_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_330_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_448_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_351_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_288_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_3104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67602_ _67602_/A _67942_/B VGND VGND VPWR VPWR _67604_/B sky130_fd_sc_hd__nor2_2
+XFILLER_661_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64814_ _64814_/A _64802_/Y _64813_/Y VGND VGND VPWR VPWR _64815_/B sky130_fd_sc_hd__nor3_2
+XFILLER_468_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49748_ _78257_/Q _49736_/X VGND VGND VPWR VPWR _49750_/A sky130_fd_sc_hd__nand2_2
+XFILLER_86_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80860_ _80862_/CLK _74759_/Y VGND VGND VPWR VPWR _74758_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_30390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68582_ _73013_/C _67922_/X VGND VGND VPWR VPWR _68582_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_302_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_386_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_268_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65794_ _65471_/A _65791_/Y _65794_/C VGND VGND VPWR VPWR _65794_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_228_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_490_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39501_ _39108_/A _39501_/B VGND VGND VPWR VPWR _39501_/X sky130_fd_sc_hd__or2_2
+XFILLER_329_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67533_ _80866_/Q _67213_/B VGND VGND VPWR VPWR _67534_/C sky130_fd_sc_hd__nor2_2
+XFILLER_472_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79519_ _79122_/CLK _79519_/D VGND VGND VPWR VPWR _79519_/Q sky130_fd_sc_hd__dfxtp_4
+X_64745_ _81041_/Q _65074_/B VGND VGND VPWR VPWR _64748_/B sky130_fd_sc_hd__nor2_2
+XFILLER_255_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49679_ _53501_/A _49679_/B VGND VGND VPWR VPWR _49705_/B sky130_fd_sc_hd__or2_2
+X_61957_ _60394_/A VGND VGND VPWR VPWR _62119_/B sky130_fd_sc_hd__buf_1
+X_80791_ _80852_/CLK _75033_/Y VGND VGND VPWR VPWR _65728_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_269_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_3_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_665_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51710_ _77739_/Q _51710_/B VGND VGND VPWR VPWR _51710_/Y sky130_fd_sc_hd__nand2_2
+X_39432_ _39430_/X _39431_/Y VGND VGND VPWR VPWR _39432_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_446_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60908_ _52266_/A _60442_/B VGND VGND VPWR VPWR _60910_/B sky130_fd_sc_hd__nor2_2
+XFILLER_266_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_427_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67464_ _67147_/A _67462_/Y _67463_/Y VGND VGND VPWR VPWR _67464_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_58_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52690_ _52718_/A _52693_/B VGND VGND VPWR VPWR _52690_/X sky130_fd_sc_hd__or2_2
+XFILLER_329_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_110_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_678_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64676_ _66357_/A VGND VGND VPWR VPWR _65357_/B sky130_fd_sc_hd__buf_1
+XFILLER_167_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_149_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61888_ _61888_/A _61734_/B VGND VGND VPWR VPWR _61889_/C sky130_fd_sc_hd__nor2_2
+XFILLER_604_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_266_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_605_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69203_ _69203_/A _64213_/B VGND VGND VPWR VPWR _69204_/C sky130_fd_sc_hd__nor2_2
+XFILLER_269_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_145_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_364_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66415_ _66250_/A _66415_/B VGND VGND VPWR VPWR _66456_/B sky130_fd_sc_hd__nor2_2
+XFILLER_604_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_462_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39363_ _39363_/A VGND VGND VPWR VPWR _39364_/A sky130_fd_sc_hd__buf_1
+X_51641_ _51584_/A _51638_/B VGND VGND VPWR VPWR _51641_/X sky130_fd_sc_hd__or2_2
+XFILLER_270_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63627_ _63023_/A _63625_/Y _63626_/Y VGND VGND VPWR VPWR _63627_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_346_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60839_ _60839_/A _60999_/B VGND VGND VPWR VPWR _60841_/B sky130_fd_sc_hd__nor2_2
+XFILLER_247_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67395_ _67395_/A _67394_/Y VGND VGND VPWR VPWR _67396_/B sky130_fd_sc_hd__nor2_2
+XFILLER_596_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_93_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_266_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_23_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_479_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38314_ _38314_/A _38314_/B VGND VGND VPWR VPWR _38314_/X sky130_fd_sc_hd__or2_2
+X_69134_ _68670_/A _69134_/B _69134_/C VGND VGND VPWR VPWR _69135_/B sky130_fd_sc_hd__nor3_2
+X_81412_ _81382_/CLK _81412_/D VGND VGND VPWR VPWR _67927_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_637_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54360_ _54244_/X _54360_/B VGND VGND VPWR VPWR _54361_/B sky130_fd_sc_hd__or2_2
+X_66346_ _57240_/A _65843_/X VGND VGND VPWR VPWR _66346_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_211_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_2955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_459_2906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51572_ _51571_/X _51567_/X VGND VGND VPWR VPWR _51573_/B sky130_fd_sc_hd__or2_2
+XFILLER_585_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39294_ _39151_/A _39301_/B VGND VGND VPWR VPWR _39294_/X sky130_fd_sc_hd__or2_2
+X_63558_ _78681_/Q _63408_/X VGND VGND VPWR VPWR _63559_/C sky130_fd_sc_hd__nor2_2
+XFILLER_58_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_24_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_247_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_698_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53311_ _53617_/A VGND VGND VPWR VPWR _53441_/A sky130_fd_sc_hd__buf_1
+XFILLER_384_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38245_ _38245_/A _38244_/Y VGND VGND VPWR VPWR _80426_/D sky130_fd_sc_hd__nand2_2
+XFILLER_303_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62509_ _78090_/Q _62342_/X VGND VGND VPWR VPWR _62511_/B sky130_fd_sc_hd__nor2_2
+X_50523_ _50520_/Y _50523_/B VGND VGND VPWR VPWR _78052_/D sky130_fd_sc_hd__nand2_2
+X_81343_ _81343_/CLK _81343_/D VGND VGND VPWR VPWR _72770_/C sky130_fd_sc_hd__dfxtp_4
+X_69065_ _72726_/C _69343_/B VGND VGND VPWR VPWR _69067_/B sky130_fd_sc_hd__nor2_2
+XFILLER_356_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_672_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54291_ _54289_/Y _54290_/X VGND VGND VPWR VPWR _54291_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_718_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66277_ _66277_/A _66276_/X VGND VGND VPWR VPWR _66277_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_546_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63489_ _63023_/A _63487_/Y _63488_/Y VGND VGND VPWR VPWR _63489_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_51_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_149_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_659_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_295_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_47_0_u_core.clock ANTENNA_559/DIODE VGND VGND VPWR VPWR clkbuf_7_95_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_56030_ _55284_/B _56014_/X VGND VGND VPWR VPWR _56031_/C sky130_fd_sc_hd__nor2_2
+XFILLER_557_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68016_ _67995_/Y _68016_/B VGND VGND VPWR VPWR _68016_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_17_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_149_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53242_ _53242_/A _53241_/X VGND VGND VPWR VPWR _77335_/D sky130_fd_sc_hd__nand2_2
+XFILLER_221_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65228_ _65228_/A VGND VGND VPWR VPWR _66886_/A sky130_fd_sc_hd__buf_1
+XFILLER_377_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_10_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50454_ _50452_/Y _50453_/X VGND VGND VPWR VPWR _78071_/D sky130_fd_sc_hd__nand2_2
+XFILLER_358_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81274_ _79368_/CLK _73067_/Y VGND VGND VPWR VPWR _66277_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_162_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_718_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_375_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80225_ _80315_/CLK _80225_/D VGND VGND VPWR VPWR _80225_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_299_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53173_ _77352_/Q _53173_/B VGND VGND VPWR VPWR _53173_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_713_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65159_ _65133_/Y _65159_/B VGND VGND VPWR VPWR _65159_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_715_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50385_ _62199_/A _50371_/B VGND VGND VPWR VPWR _50387_/A sky130_fd_sc_hd__nand2_2
+XFILLER_453_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_2052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52124_ _52124_/A _52138_/B VGND VGND VPWR VPWR _52124_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_434_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80156_ _80095_/CLK _39325_/Y VGND VGND VPWR VPWR _66677_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57981_ _57981_/A _57963_/Y _57981_/C VGND VGND VPWR VPWR _57981_/X sky130_fd_sc_hd__or3_2
+XFILLER_156_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69967_ _42819_/A _69897_/Y VGND VGND VPWR VPWR _69968_/A sky130_fd_sc_hd__or2_2
+XFILLER_703_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_117_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59720_ _59720_/A _59720_/B VGND VGND VPWR VPWR _59720_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_219_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_412_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52055_ _52055_/A _52054_/X VGND VGND VPWR VPWR _52055_/Y sky130_fd_sc_hd__nand2_2
+X_56932_ _65690_/A _57167_/B VGND VGND VPWR VPWR _56932_/Y sky130_fd_sc_hd__nor2_2
+X_68918_ _68918_/A _69063_/B VGND VGND VPWR VPWR _68918_/Y sky130_fd_sc_hd__nor2_2
+XPHY_21529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80087_ _80099_/CLK _80087_/D VGND VGND VPWR VPWR _80087_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_305_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_696_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69898_ _69886_/B _69897_/Y VGND VGND VPWR VPWR _69898_/X sky130_fd_sc_hd__or2_2
+XFILLER_195_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_132_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51006_ _51027_/B VGND VGND VPWR VPWR _51019_/B sky130_fd_sc_hd__buf_1
+XFILLER_510_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59651_ _76912_/Q _59326_/B VGND VGND VPWR VPWR _59652_/C sky130_fd_sc_hd__nor2_2
+XPHY_20828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_10305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56863_ _57094_/A _56863_/B _56863_/C VGND VGND VPWR VPWR _56869_/B sky130_fd_sc_hd__nor3_2
+XFILLER_486_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68849_ _44093_/A VGND VGND VPWR VPWR _68849_/Y sky130_fd_sc_hd__inv_8
+XPHY_20839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_609_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_584_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58602_ _58530_/X _58600_/Y _58602_/C VGND VGND VPWR VPWR _58602_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_134_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_369_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55814_ _76768_/Q _55817_/B VGND VGND VPWR VPWR _55814_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_605_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_80_0_u_core.clock clkbuf_7_81_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_80_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_59582_ _77287_/Q _59751_/B VGND VGND VPWR VPWR _59584_/B sky130_fd_sc_hd__nor2_2
+X_71860_ _71642_/X _71838_/X _71650_/X _42743_/B VGND VGND VPWR VPWR _71861_/A sky130_fd_sc_hd__o22a_4
+XFILLER_28_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56794_ _56794_/A _56794_/B VGND VGND VPWR VPWR _56795_/B sky130_fd_sc_hd__nor2_2
+XFILLER_132_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_666_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_429 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58533_ _58530_/X _58533_/B _58532_/Y VGND VGND VPWR VPWR _58533_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_308_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70811_ _70811_/A _72032_/A VGND VGND VPWR VPWR _70812_/A sky130_fd_sc_hd__or2_2
+XFILLER_447_1619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_445_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_636_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55745_ _60115_/A _55741_/B VGND VGND VPWR VPWR _55747_/A sky130_fd_sc_hd__nand2_2
+XFILLER_382_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_246_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52957_ _52985_/A _52960_/B VGND VGND VPWR VPWR _52957_/X sky130_fd_sc_hd__or2_2
+X_40971_ _40988_/A VGND VGND VPWR VPWR _40982_/A sky130_fd_sc_hd__buf_1
+XFILLER_189_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71791_ _71795_/A _71711_/B VGND VGND VPWR VPWR _71792_/C sky130_fd_sc_hd__nor2_2
+XFILLER_408_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_343_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_407_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80989_ _81025_/CLK _80989_/D VGND VGND VPWR VPWR _80989_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_467_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_27_0_u_core.clock clkbuf_7_27_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_27_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_267_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_100_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_724_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42710_ _42691_/A _42710_/B VGND VGND VPWR VPWR _42710_/X sky130_fd_sc_hd__and2_2
+X_73530_ _73530_/A VGND VGND VPWR VPWR _73531_/B sky130_fd_sc_hd__buf_1
+XFILLER_527_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51908_ _51936_/A _51911_/B VGND VGND VPWR VPWR _51908_/X sky130_fd_sc_hd__or2_2
+XFILLER_425_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70742_ _71844_/A VGND VGND VPWR VPWR _71881_/A sky130_fd_sc_hd__inv_8
+XFILLER_41_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58464_ _38765_/C _58464_/B VGND VGND VPWR VPWR _58464_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_46_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_562_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43690_ _43690_/A VGND VGND VPWR VPWR _67519_/A sky130_fd_sc_hd__inv_8
+XPHY_4200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55676_ _55676_/A _55668_/B VGND VGND VPWR VPWR _55677_/C sky130_fd_sc_hd__and2_2
+XFILLER_230_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52888_ _52885_/Y _52888_/B VGND VGND VPWR VPWR _77426_/D sky130_fd_sc_hd__nand2_2
+XFILLER_189_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_102 _38621_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_508_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_113 _70505_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_57415_ _80284_/Q _57495_/B VGND VGND VPWR VPWR _57416_/C sky130_fd_sc_hd__nor2_2
+XFILLER_167_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42641_ _67030_/A _42575_/B VGND VGND VPWR VPWR _42647_/A sky130_fd_sc_hd__nor2_2
+X_54627_ _54625_/Y _54627_/B VGND VGND VPWR VPWR _76968_/D sky130_fd_sc_hd__nand2_2
+XFILLER_96_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_73461_ _73461_/A _73461_/B VGND VGND VPWR VPWR _73461_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_282_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_124 _71114_/C VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_462_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_425_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51839_ _51839_/A _51839_/B VGND VGND VPWR VPWR _51839_/Y sky130_fd_sc_hd__nand2_2
+XPHY_18624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58395_ _58484_/A _58395_/B _58395_/C VGND VGND VPWR VPWR _58395_/Y sky130_fd_sc_hd__nor3_2
+XPHY_19369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70673_ _70673_/A VGND VGND VPWR VPWR _70674_/A sky130_fd_sc_hd__inv_8
+XFILLER_480_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_135 _39165_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_163_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_146 _42948_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_57_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75200_ _75322_/A _75213_/B VGND VGND VPWR VPWR _75202_/A sky130_fd_sc_hd__or2_2
+XFILLER_458_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_157 _39202_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_76_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72412_ _72256_/A _72412_/B VGND VGND VPWR VPWR _72412_/X sky130_fd_sc_hd__or2_2
+XPHY_17912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_510_0_u_core.clock clkbuf_9_511_0_u_core.clock/A VGND VGND VPWR VPWR _80420_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_540_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57346_ _57180_/A _57346_/B _57346_/C VGND VGND VPWR VPWR _57347_/B sky130_fd_sc_hd__nor3_2
+XFILLER_399_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_168 _72013_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_35_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45360_ _46643_/A _45309_/B VGND VGND VPWR VPWR _45362_/A sky130_fd_sc_hd__or2_2
+XPHY_36025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76180_ _76176_/A _76171_/B _80504_/Q VGND VGND VPWR VPWR _76180_/Y sky130_fd_sc_hd__nand3_2
+XPHY_3543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54558_ _54555_/Y _54558_/B VGND VGND VPWR VPWR _76988_/D sky130_fd_sc_hd__nand2_2
+XFILLER_328_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42572_ _79475_/Q _42572_/B VGND VGND VPWR VPWR _42572_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_588_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73392_ _74950_/A _73497_/B VGND VGND VPWR VPWR _73392_/X sky130_fd_sc_hd__or2_2
+XFILLER_198_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_179 _72228_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_230_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_556_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44311_ _44287_/A _44287_/B VGND VGND VPWR VPWR _44311_/X sky130_fd_sc_hd__and2_2
+XPHY_17956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75131_ _75131_/A _75124_/B _80765_/Q VGND VGND VPWR VPWR _75131_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_592_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41523_ _41517_/A _41517_/B _79596_/Q VGND VGND VPWR VPWR _41523_/Y sky130_fd_sc_hd__nand3_2
+XPHY_36069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53509_ _53509_/A _53509_/B VGND VGND VPWR VPWR _53509_/Y sky130_fd_sc_hd__nand2_2
+X_72343_ _75825_/A _72343_/B VGND VGND VPWR VPWR _72343_/X sky130_fd_sc_hd__or2_2
+XPHY_2842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45291_ _44933_/A _45290_/Y VGND VGND VPWR VPWR _45292_/B sky130_fd_sc_hd__nor2_2
+XFILLER_204_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57277_ _56878_/A VGND VGND VPWR VPWR _57280_/A sky130_fd_sc_hd__buf_1
+XFILLER_430_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54489_ _54489_/A _54517_/B VGND VGND VPWR VPWR _54490_/A sky130_fd_sc_hd__or2_2
+XFILLER_576_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_2662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_719_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47030_ _47023_/A _47030_/B VGND VGND VPWR VPWR _47034_/A sky130_fd_sc_hd__or2_2
+XFILLER_718_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59016_ _60933_/A VGND VGND VPWR VPWR _59344_/B sky130_fd_sc_hd__buf_1
+Xclkbuf_8_112_0_u_core.clock clkbuf_7_56_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_225_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_34623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44242_ _44239_/Y _44242_/B VGND VGND VPWR VPWR _44242_/Y sky130_fd_sc_hd__nor2_2
+XPHY_2886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56228_ _56228_/A VGND VGND VPWR VPWR _58824_/A sky130_fd_sc_hd__buf_1
+XPHY_24100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75062_ _75183_/A _75070_/B VGND VGND VPWR VPWR _75066_/A sky130_fd_sc_hd__or2_2
+XFILLER_475_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_518_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41454_ _41490_/A VGND VGND VPWR VPWR _41454_/X sky130_fd_sc_hd__buf_1
+XFILLER_224_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_35_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72274_ _72274_/A VGND VGND VPWR VPWR _72291_/B sky130_fd_sc_hd__buf_1
+XPHY_2897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_172_0_u_core.clock clkbuf_8_86_0_u_core.clock/X VGND VGND VPWR VPWR _79040_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_167_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_636_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_474_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_14_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74013_ _74013_/A VGND VGND VPWR VPWR _74014_/A sky130_fd_sc_hd__buf_1
+XFILLER_128_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40405_ _40405_/A _40405_/B VGND VGND VPWR VPWR _40407_/A sky130_fd_sc_hd__or2_2
+XFILLER_210_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71225_ _71225_/A VGND VGND VPWR VPWR _69563_/A sky130_fd_sc_hd__buf_1
+Xclkbuf_8_60_0_u_core.clock clkbuf_7_30_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_60_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_655_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44173_ _44047_/X VGND VGND VPWR VPWR _44174_/C sky130_fd_sc_hd__inv_8
+XFILLER_100_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79870_ _79837_/CLK _40450_/Y VGND VGND VPWR VPWR _67001_/A sky130_fd_sc_hd__dfxtp_4
+X_56159_ _56171_/A _56159_/B VGND VGND VPWR VPWR _56160_/B sky130_fd_sc_hd__nor2_2
+XFILLER_456_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_182_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41385_ _41385_/A _41385_/B VGND VGND VPWR VPWR _41385_/Y sky130_fd_sc_hd__nand2_2
+XPHY_33944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_688_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_119_0_u_core.clock clkbuf_8_59_0_u_core.clock/X VGND VGND VPWR VPWR _77379_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_632_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43124_ _43124_/A _46138_/B VGND VGND VPWR VPWR _43129_/B sky130_fd_sc_hd__nor2_2
+XFILLER_135_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78821_ _78809_/CLK _47535_/Y VGND VGND VPWR VPWR _78821_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_690_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40336_ _39363_/A VGND VGND VPWR VPWR _40337_/A sky130_fd_sc_hd__buf_1
+XFILLER_115_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71156_ _71289_/A _71006_/Y _71019_/X VGND VGND VPWR VPWR _71157_/B sky130_fd_sc_hd__o21a_4
+XPHY_33988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48981_ _62931_/A _48960_/B VGND VGND VPWR VPWR _48983_/A sky130_fd_sc_hd__nand2_2
+XFILLER_573_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70107_ _70095_/C VGND VGND VPWR VPWR _70182_/C sky130_fd_sc_hd__buf_1
+XFILLER_520_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47932_ _78720_/Q _47929_/B VGND VGND VPWR VPWR _47934_/A sky130_fd_sc_hd__nand2_2
+XFILLER_512_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43055_ _43055_/A _43055_/B VGND VGND VPWR VPWR _43056_/B sky130_fd_sc_hd__and2_2
+X_59918_ _59440_/A _59918_/B _59917_/Y VGND VGND VPWR VPWR _59918_/Y sky130_fd_sc_hd__nor3_2
+XPHY_12230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78752_ _78758_/CLK _78752_/D VGND VGND VPWR VPWR _63506_/A sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_8_228_0_u_core.clock clkbuf_7_114_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_457_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_40267_ _40278_/A _40251_/X _58557_/A VGND VGND VPWR VPWR _40268_/B sky130_fd_sc_hd__nand3_2
+XFILLER_108_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75964_ _75978_/A _75967_/B _80555_/Q VGND VGND VPWR VPWR _75964_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_48_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71087_ _71420_/A VGND VGND VPWR VPWR _71392_/B sky130_fd_sc_hd__buf_1
+XPHY_12241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_354_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_313_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_190_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_288_0_u_core.clock clkbuf_9_289_0_u_core.clock/A VGND VGND VPWR VPWR _79285_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_46_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42006_ _42005_/Y _42014_/B VGND VGND VPWR VPWR _42006_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77703_ _77195_/CLK _51845_/Y VGND VGND VPWR VPWR _60814_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_250_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_684_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74915_ _74915_/A _74901_/B _65567_/A VGND VGND VPWR VPWR _74915_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_586_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_313_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70038_ _70771_/B VGND VGND VPWR VPWR _70628_/B sky130_fd_sc_hd__buf_1
+XFILLER_646_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_215_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47863_ _47860_/Y _47862_/X VGND VGND VPWR VPWR _47863_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_512_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59849_ _59687_/A _59847_/Y _59849_/C VGND VGND VPWR VPWR _59849_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_312_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_11540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78683_ _77613_/CLK _78683_/D VGND VGND VPWR VPWR _48079_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_311_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40198_ _40317_/A _40201_/B VGND VGND VPWR VPWR _40198_/X sky130_fd_sc_hd__or2_2
+XFILLER_669_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75895_ _75889_/A _75889_/B _66722_/A VGND VGND VPWR VPWR _75895_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_300_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_649_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_285_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49602_ _49632_/A _49596_/B VGND VGND VPWR VPWR _49602_/X sky130_fd_sc_hd__or2_2
+XFILLER_510_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_7_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46814_ _46702_/B VGND VGND VPWR VPWR _46815_/B sky130_fd_sc_hd__inv_8
+XFILLER_513_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77634_ _77634_/CLK _52105_/Y VGND VGND VPWR VPWR _61300_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_627_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_215_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62860_ _62547_/A _62851_/Y _62860_/C VGND VGND VPWR VPWR _62878_/A sky130_fd_sc_hd__nor3_2
+XFILLER_289_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_289_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74846_ _74856_/A _74860_/B _80841_/Q VGND VGND VPWR VPWR _74847_/B sky130_fd_sc_hd__nand3_2
+X_47794_ _47791_/Y _47793_/X VGND VGND VPWR VPWR _47794_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_660_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_300_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_662_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61811_ _61811_/A _61182_/B VGND VGND VPWR VPWR _61811_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_187_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49533_ _49619_/A _49551_/B VGND VGND VPWR VPWR _49534_/B sky130_fd_sc_hd__or2_2
+XFILLER_725_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46745_ _46724_/X VGND VGND VPWR VPWR _46750_/A sky130_fd_sc_hd__inv_8
+XPHY_10883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77565_ _78559_/CLK _52371_/Y VGND VGND VPWR VPWR _60488_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_231_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_49_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43957_ _75043_/A _43957_/B VGND VGND VPWR VPWR _43958_/C sky130_fd_sc_hd__nor2_2
+X_74777_ _74775_/X _74776_/Y VGND VGND VPWR VPWR _80856_/D sky130_fd_sc_hd__nand2_2
+XFILLER_567_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62791_ _62624_/X _62791_/B _62790_/Y VGND VGND VPWR VPWR _62801_/B sky130_fd_sc_hd__nor3_2
+XPHY_10894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71989_ _69880_/A _71989_/B VGND VGND VPWR VPWR _71989_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_662_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_162_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_640_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79304_ _79307_/CLK _79304_/D VGND VGND VPWR VPWR _72016_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_209_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_292_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64530_ _81136_/Q _64741_/B VGND VGND VPWR VPWR _64530_/Y sky130_fd_sc_hd__nor2_2
+X_76516_ VGND VGND VPWR VPWR _76516_/HI la_data_out[8] sky130_fd_sc_hd__conb_1
+XFILLER_541_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_248_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42908_ _71262_/B _42908_/B VGND VGND VPWR VPWR _42908_/X sky130_fd_sc_hd__or2_2
+X_73728_ _73969_/A _73722_/B VGND VGND VPWR VPWR _73728_/X sky130_fd_sc_hd__or2_2
+X_61742_ _61277_/A _61740_/Y _61741_/Y VGND VGND VPWR VPWR _61742_/Y sky130_fd_sc_hd__nor3_2
+X_49464_ _49434_/A _49482_/B VGND VGND VPWR VPWR _49464_/X sky130_fd_sc_hd__or2_2
+XFILLER_387_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46676_ _46681_/A _46676_/B _46675_/Y VGND VGND VPWR VPWR _46677_/C sky130_fd_sc_hd__nor3_2
+XFILLER_91_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77496_ _77515_/CLK _77496_/D VGND VGND VPWR VPWR _77496_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_643_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43888_ _43720_/X VGND VGND VPWR VPWR _43897_/A sky130_fd_sc_hd__inv_8
+XPHY_6180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_40_0_u_core.clock clkbuf_8_20_0_u_core.clock/X VGND VGND VPWR VPWR _79500_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_48415_ _48413_/Y _48414_/X VGND VGND VPWR VPWR _78599_/D sky130_fd_sc_hd__nand2_2
+XFILLER_413_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_92_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79235_ _79238_/CLK _79235_/D VGND VGND VPWR VPWR _44407_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_98_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45627_ _71825_/A _45617_/B VGND VGND VPWR VPWR _45628_/C sky130_fd_sc_hd__nor2_2
+XFILLER_18_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64461_ _64461_/A VGND VGND VPWR VPWR _67371_/A sky130_fd_sc_hd__buf_1
+XFILLER_346_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76447_ VGND VGND VPWR VPWR _76447_/HI io_oeb[7] sky130_fd_sc_hd__conb_1
+XFILLER_308_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42839_ _46170_/A VGND VGND VPWR VPWR _42839_/X sky130_fd_sc_hd__buf_1
+XFILLER_111_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_463_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61673_ _77957_/Q _61201_/B VGND VGND VPWR VPWR _61673_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_94_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49395_ _49393_/Y _49395_/B VGND VGND VPWR VPWR _49395_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_703_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73659_ _73656_/A _73656_/B _81127_/Q VGND VGND VPWR VPWR _73659_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_307_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66200_ _66686_/A _66200_/B _66199_/Y VGND VGND VPWR VPWR _66201_/C sky130_fd_sc_hd__nor3_2
+XFILLER_441_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_105_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63412_ _59042_/A VGND VGND VPWR VPWR _63412_/X sky130_fd_sc_hd__buf_1
+XFILLER_261_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60624_ _59973_/A _60622_/Y _60623_/Y VGND VGND VPWR VPWR _60624_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_326_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_561_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67180_ _39314_/C _67180_/B VGND VGND VPWR VPWR _67181_/C sky130_fd_sc_hd__nor2_2
+XPHY_19892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48346_ _48336_/X VGND VGND VPWR VPWR _48353_/B sky130_fd_sc_hd__buf_1
+XFILLER_75_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79166_ _79163_/CLK _45220_/Y VGND VGND VPWR VPWR _69702_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_209_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_441_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_57_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45558_ _45552_/X _45558_/B _45558_/C VGND VGND VPWR VPWR _79137_/D sky130_fd_sc_hd__nor3_2
+XPHY_37260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76378_ _38259_/A _76388_/B VGND VGND VPWR VPWR _76378_/X sky130_fd_sc_hd__or2_2
+X_64392_ _56277_/A _64392_/B VGND VGND VPWR VPWR _64396_/B sky130_fd_sc_hd__nor2_2
+XFILLER_476_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_205_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_37_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_691_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78117_ _77628_/CLK _50282_/Y VGND VGND VPWR VPWR _61772_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_124_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66131_ _65471_/A _66129_/Y _66130_/Y VGND VGND VPWR VPWR _66131_/Y sky130_fd_sc_hd__nor3_2
+XPHY_37293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44509_ _72904_/A _44503_/B VGND VGND VPWR VPWR _44510_/C sky130_fd_sc_hd__nor2_2
+X_63343_ _63343_/A _63343_/B VGND VGND VPWR VPWR _63343_/Y sky130_fd_sc_hd__nor2_2
+X_75329_ _75329_/A _75329_/B VGND VGND VPWR VPWR _75329_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_31_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_582_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48277_ _63335_/A _48283_/B VGND VGND VPWR VPWR _48277_/Y sky130_fd_sc_hd__nand2_2
+X_60555_ _60075_/A _60546_/Y _60555_/C VGND VGND VPWR VPWR _60573_/A sky130_fd_sc_hd__nor3_2
+XFILLER_88_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79097_ _79074_/CLK _45785_/Y VGND VGND VPWR VPWR _42259_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_555_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_33_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45489_ _42091_/A _45489_/B VGND VGND VPWR VPWR _45494_/B sky130_fd_sc_hd__nor2_2
+XPHY_36570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47228_ _46692_/A VGND VGND VPWR VPWR _47228_/X sky130_fd_sc_hd__buf_1
+XFILLER_200_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66062_ _66884_/A VGND VGND VPWR VPWR _66709_/B sky130_fd_sc_hd__buf_1
+XFILLER_299_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_583_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78048_ _78048_/CLK _50536_/Y VGND VGND VPWR VPWR _62195_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_716_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63274_ _49303_/A _63837_/B VGND VGND VPWR VPWR _63275_/C sky130_fd_sc_hd__nor2_2
+XFILLER_222_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60486_ _60325_/A _60482_/Y _60486_/C VGND VGND VPWR VPWR _60498_/B sky130_fd_sc_hd__nor3_2
+XFILLER_259_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_379_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_685_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_615_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65013_ _65013_/A _65013_/B VGND VGND VPWR VPWR _65013_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_347_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_524_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62225_ _78200_/Q _62225_/B VGND VGND VPWR VPWR _62228_/B sky130_fd_sc_hd__nor2_2
+XFILLER_381_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_302_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47159_ _47159_/A _47165_/B _47158_/Y VGND VGND VPWR VPWR _78900_/D sky130_fd_sc_hd__nor3_2
+XFILLER_31_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_140_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_551_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_69_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_524_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_552_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80010_ _80010_/CLK _80010_/D VGND VGND VPWR VPWR _68966_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_334_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_632_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69821_ _70383_/B _69771_/Y VGND VGND VPWR VPWR _69824_/C sky130_fd_sc_hd__nor2_2
+XFILLER_134_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50170_ _78144_/Q _50170_/B VGND VGND VPWR VPWR _50170_/Y sky130_fd_sc_hd__nand2_2
+X_62156_ _62002_/A _62151_/Y _62156_/C VGND VGND VPWR VPWR _62157_/C sky130_fd_sc_hd__nor3_2
+XFILLER_537_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39981_ _39963_/A VGND VGND VPWR VPWR _39986_/A sky130_fd_sc_hd__buf_1
+XFILLER_650_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_277_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_530_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_353_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_697_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79999_ _80123_/CLK _79999_/D VGND VGND VPWR VPWR _79999_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_357_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_318_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_513_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61107_ _77609_/Q _60953_/B VGND VGND VPWR VPWR _61108_/C sky130_fd_sc_hd__nor2_2
+XFILLER_322_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_118_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38932_ _38554_/A _38935_/B VGND VGND VPWR VPWR _38934_/A sky130_fd_sc_hd__or2_2
+XFILLER_361_174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_515_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_510_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69752_ _69752_/A VGND VGND VPWR VPWR _69752_/X sky130_fd_sc_hd__buf_1
+XFILLER_710_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_489_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62087_ _62243_/A _62087_/B _62086_/Y VGND VGND VPWR VPWR _62096_/B sky130_fd_sc_hd__nor3_2
+X_66964_ _66470_/A _66962_/Y _66963_/Y VGND VGND VPWR VPWR _66964_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_533_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_700_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_335_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68703_ _68365_/X _68701_/Y _68702_/Y VGND VGND VPWR VPWR _68703_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_287_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_114_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65915_ _66250_/A _65914_/Y VGND VGND VPWR VPWR _65955_/B sky130_fd_sc_hd__nor2_2
+X_61038_ _77816_/Q _60883_/B VGND VGND VPWR VPWR _61039_/C sky130_fd_sc_hd__nor2_2
+XFILLER_64_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38863_ _38862_/X VGND VGND VPWR VPWR _38921_/A sky130_fd_sc_hd__buf_1
+X_69683_ _70712_/B VGND VGND VPWR VPWR _70667_/C sky130_fd_sc_hd__inv_8
+XFILLER_667_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66895_ _80574_/Q _67055_/B VGND VGND VPWR VPWR _66896_/C sky130_fd_sc_hd__nor2_2
+XFILLER_315_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_214_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_248_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_585_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_233_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_302_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_9_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80912_ _80912_/CLK _74537_/Y VGND VGND VPWR VPWR _80912_/Q sky130_fd_sc_hd__dfxtp_4
+X_68634_ _80008_/Q _68803_/B VGND VGND VPWR VPWR _68634_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_151_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_446_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53860_ _53857_/Y _53860_/B VGND VGND VPWR VPWR _77172_/D sky130_fd_sc_hd__nand2_2
+XFILLER_214_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65846_ _66013_/A _65840_/Y _65845_/Y VGND VGND VPWR VPWR _65846_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_25_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_637_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_287_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_570_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38794_ _38531_/A _38800_/B VGND VGND VPWR VPWR _38794_/X sky130_fd_sc_hd__or2_2
+XFILLER_487_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52811_ _52839_/A _52811_/B VGND VGND VPWR VPWR _52811_/X sky130_fd_sc_hd__or2_2
+XFILLER_112_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_214_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_661_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80843_ _80841_/CLK _74838_/Y VGND VGND VPWR VPWR _69015_/A sky130_fd_sc_hd__dfxtp_4
+X_68565_ _68565_/A _68725_/B VGND VGND VPWR VPWR _68565_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_288_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_151_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53791_ _59385_/A _53791_/B VGND VGND VPWR VPWR _53791_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_228_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_626_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65777_ _81399_/Q _65453_/B VGND VGND VPWR VPWR _65777_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_56_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_95_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62989_ _63301_/A _62987_/Y _62989_/C VGND VGND VPWR VPWR _62989_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_407_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55530_ _55334_/B _55530_/B VGND VGND VPWR VPWR _55533_/B sky130_fd_sc_hd__nor2_2
+XFILLER_708_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67516_ _44681_/A _66861_/X VGND VGND VPWR VPWR _67683_/A sky130_fd_sc_hd__nor2_2
+X_52742_ _52742_/A _52741_/X VGND VGND VPWR VPWR _52742_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_262_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_112_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64728_ _64728_/A _65246_/B VGND VGND VPWR VPWR _64728_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_509_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_445_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80774_ _80725_/CLK _80774_/D VGND VGND VPWR VPWR _80774_/Q sky130_fd_sc_hd__dfxtp_4
+X_68496_ _68010_/A _68494_/Y _68496_/C VGND VGND VPWR VPWR _68501_/B sky130_fd_sc_hd__nor3_2
+XFILLER_563_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39415_ _39151_/A _39422_/B VGND VGND VPWR VPWR _39415_/X sky130_fd_sc_hd__or2_2
+XFILLER_460_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_71_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_596_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_35_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_544_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_58_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55461_ _55461_/A _55461_/B VGND VGND VPWR VPWR _55461_/X sky130_fd_sc_hd__or2_2
+XFILLER_481_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67447_ _67286_/X _67445_/Y _67446_/Y VGND VGND VPWR VPWR _67451_/B sky130_fd_sc_hd__nor3_2
+XFILLER_431_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52673_ _52696_/B VGND VGND VPWR VPWR _52692_/B sky130_fd_sc_hd__buf_1
+XPHY_27709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64659_ _65339_/A _64659_/B _64658_/Y VGND VGND VPWR VPWR _64659_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_561_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_55_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_621_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_420_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57200_ _57190_/Y _57200_/B VGND VGND VPWR VPWR _57201_/B sky130_fd_sc_hd__nor2_2
+XFILLER_24_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_231_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54412_ _54412_/A _54412_/B VGND VGND VPWR VPWR _54412_/Y sky130_fd_sc_hd__nand2_2
+XPHY_17208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_639_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39346_ _39346_/A _39331_/X _65691_/A VGND VGND VPWR VPWR _39346_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_405_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51624_ _77763_/Q _51619_/X VGND VGND VPWR VPWR _51624_/Y sky130_fd_sc_hd__nand2_2
+XPHY_17219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58180_ _58180_/A _58180_/B _58179_/Y VGND VGND VPWR VPWR _58180_/X sky130_fd_sc_hd__or3_2
+XPHY_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_344_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55392_ _45268_/Y _55312_/X VGND VGND VPWR VPWR _55393_/B sky130_fd_sc_hd__nor2_2
+X_67378_ _80545_/Q _66894_/B VGND VGND VPWR VPWR _67380_/B sky130_fd_sc_hd__nor2_2
+XFILLER_602_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_247_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_385_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_282_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_397_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57131_ _57055_/A _57131_/B _57131_/C VGND VGND VPWR VPWR _57131_/Y sky130_fd_sc_hd__nor3_2
+X_69117_ _68646_/X _69115_/Y _69117_/C VGND VGND VPWR VPWR _69117_/Y sky130_fd_sc_hd__nor3_2
+XPHY_2138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54343_ _54366_/B VGND VGND VPWR VPWR _54362_/B sky130_fd_sc_hd__buf_1
+X_66329_ _66329_/A _66325_/Y _66329_/C VGND VGND VPWR VPWR _66330_/C sky130_fd_sc_hd__nor3_2
+XPHY_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_498_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39277_ _39295_/A VGND VGND VPWR VPWR _39292_/B sky130_fd_sc_hd__buf_1
+XFILLER_401_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51555_ _51567_/A VGND VGND VPWR VPWR _51555_/X sky130_fd_sc_hd__buf_1
+XFILLER_51_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_401_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_11_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_639_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_400_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38228_ _38238_/A VGND VGND VPWR VPWR _38331_/B sky130_fd_sc_hd__buf_1
+XFILLER_570_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_357_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50506_ _50418_/X _50499_/X VGND VGND VPWR VPWR _50507_/B sky130_fd_sc_hd__or2_2
+XFILLER_453_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57062_ _56806_/X _57058_/Y _57062_/C VGND VGND VPWR VPWR _57062_/Y sky130_fd_sc_hd__nor3_2
+X_69048_ _69048_/A _69188_/B VGND VGND VPWR VPWR _69048_/Y sky130_fd_sc_hd__nor2_2
+X_81326_ _81326_/CLK _81326_/D VGND VGND VPWR VPWR _72835_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_32_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_385_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54274_ _59523_/A _54262_/B VGND VGND VPWR VPWR _54274_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_149_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_260_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51486_ _51484_/Y _51485_/X VGND VGND VPWR VPWR _51486_/Y sky130_fd_sc_hd__nand2_2
+XPHY_1459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_595_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_51_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_109_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56013_ _56041_/B VGND VGND VPWR VPWR _56082_/B sky130_fd_sc_hd__buf_1
+XFILLER_143_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_372_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53225_ _53225_/A _53243_/B VGND VGND VPWR VPWR _53226_/B sky130_fd_sc_hd__or2_2
+XFILLER_384_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_637_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50437_ _50400_/X _50458_/B VGND VGND VPWR VPWR _50437_/X sky130_fd_sc_hd__or2_2
+XFILLER_492_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81257_ _81273_/CLK _73142_/Y VGND VGND VPWR VPWR _68744_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_355_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_475_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_381_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_355_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_320_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_371_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_123_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71010_ _71198_/A VGND VGND VPWR VPWR _71213_/A sky130_fd_sc_hd__inv_8
+XFILLER_453_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_618_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_515_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80208_ _80304_/CLK _39096_/Y VGND VGND VPWR VPWR _80208_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41170_ _41168_/X _41170_/B VGND VGND VPWR VPWR _41170_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_84_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53156_ _53156_/A VGND VGND VPWR VPWR _53178_/A sky130_fd_sc_hd__buf_1
+XFILLER_715_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50368_ _50815_/A VGND VGND VPWR VPWR _50369_/B sky130_fd_sc_hd__buf_1
+XFILLER_195_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81188_ _81190_/CLK _81188_/D VGND VGND VPWR VPWR _81188_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_197_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_192_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40121_ _40112_/A _40121_/B _64657_/A VGND VGND VPWR VPWR _40121_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_371_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_136_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52107_ _52051_/A _52113_/B VGND VGND VPWR VPWR _52107_/X sky130_fd_sc_hd__or2_2
+XFILLER_464_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_31838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_336_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80139_ _80178_/CLK _80139_/D VGND VGND VPWR VPWR _39391_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_21315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_65_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57964_ _80163_/Q _57728_/B VGND VGND VPWR VPWR _57966_/B sky130_fd_sc_hd__nor2_2
+XFILLER_380_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53087_ _53059_/A _53105_/B VGND VGND VPWR VPWR _53087_/X sky130_fd_sc_hd__or2_2
+XPHY_31849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_351_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50299_ _78112_/Q _50284_/X VGND VGND VPWR VPWR _50299_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_273_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_300_0_u_core.clock clkbuf_9_301_0_u_core.clock/A VGND VGND VPWR VPWR _81393_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_43_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59703_ _59703_/A _59541_/B VGND VGND VPWR VPWR _59704_/C sky130_fd_sc_hd__nor2_2
+XFILLER_431_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40052_ _39790_/X _40041_/X VGND VGND VPWR VPWR _40052_/X sky130_fd_sc_hd__or2_2
+XFILLER_8_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52038_ _52047_/A VGND VGND VPWR VPWR _52053_/B sky130_fd_sc_hd__buf_1
+X_56915_ _79990_/Q _57072_/B VGND VGND VPWR VPWR _56916_/C sky130_fd_sc_hd__nor2_2
+XPHY_20614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_1515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72961_ _72957_/A _72957_/B _81299_/Q VGND VGND VPWR VPWR _72962_/B sky130_fd_sc_hd__nand3_2
+XPHY_9767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57895_ _57815_/A _57895_/B _57895_/C VGND VGND VPWR VPWR _57896_/C sky130_fd_sc_hd__nor3_2
+XFILLER_117_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_78_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74700_ _76099_/A VGND VGND VPWR VPWR _75210_/A sky130_fd_sc_hd__buf_1
+XFILLER_455_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59634_ _76785_/Q _59633_/X VGND VGND VPWR VPWR _59635_/C sky130_fd_sc_hd__nor2_2
+X_71912_ _71912_/A _71912_/B VGND VGND VPWR VPWR _71912_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_210_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_238_307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_278_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_330_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44860_ _65552_/A _44856_/Y _44814_/X _44859_/X VGND VGND VPWR VPWR _44861_/B sky130_fd_sc_hd__o22a_4
+XFILLER_495_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56846_ _56846_/A _56510_/X VGND VGND VPWR VPWR _56846_/Y sky130_fd_sc_hd__nor2_2
+X_75680_ _75680_/A _75665_/X _65559_/A VGND VGND VPWR VPWR _75681_/B sky130_fd_sc_hd__nand3_2
+XFILLER_616_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72892_ _72892_/A _72891_/Y VGND VGND VPWR VPWR _72892_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_494_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_588_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_454_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_568_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43811_ _43739_/X _43808_/Y _43697_/A _43810_/X VGND VGND VPWR VPWR _43812_/B sky130_fd_sc_hd__o22a_4
+XFILLER_59_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74631_ _74557_/A VGND VGND VPWR VPWR _74646_/B sky130_fd_sc_hd__buf_1
+XFILLER_150_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_310_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_8_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71843_ _70945_/Y _71900_/B VGND VGND VPWR VPWR _71844_/B sky130_fd_sc_hd__or2_2
+X_59565_ _59408_/A _59565_/B _59564_/Y VGND VGND VPWR VPWR _59565_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_232_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44791_ _44679_/A _44787_/Y _44745_/X _44790_/X VGND VGND VPWR VPWR _44792_/B sky130_fd_sc_hd__o22a_4
+XFILLER_447_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56777_ _56739_/X _56759_/Y _56777_/C VGND VGND VPWR VPWR _56777_/X sky130_fd_sc_hd__or3_2
+XFILLER_707_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53989_ _53989_/A _53978_/B VGND VGND VPWR VPWR _53991_/A sky130_fd_sc_hd__nand2_2
+XFILLER_265_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_388_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_24_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_644_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46530_ _41808_/B _46525_/X VGND VGND VPWR VPWR _46530_/X sky130_fd_sc_hd__or2_2
+X_58516_ _58516_/A _58516_/B _58516_/C VGND VGND VPWR VPWR _58522_/B sky130_fd_sc_hd__nor3_2
+XFILLER_280_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77350_ _77252_/CLK _53186_/Y VGND VGND VPWR VPWR _77350_/Q sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_9_416_0_u_core.clock clkbuf_9_417_0_u_core.clock/A VGND VGND VPWR VPWR _80013_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_247_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43742_ _73106_/X VGND VGND VPWR VPWR _43823_/A sky130_fd_sc_hd__buf_1
+XPHY_19100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55728_ _55422_/A _55727_/X VGND VGND VPWR VPWR _55731_/A sky130_fd_sc_hd__nor2_2
+XFILLER_246_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74562_ _74176_/A _74562_/B VGND VGND VPWR VPWR _74562_/X sky130_fd_sc_hd__or2_2
+XFILLER_327_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_267_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40954_ _40967_/A _40943_/B _40954_/C VGND VGND VPWR VPWR _40955_/B sky130_fd_sc_hd__nand3_2
+XPHY_29634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_527_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71774_ _71890_/A _71890_/B _66146_/A VGND VGND VPWR VPWR _71775_/B sky130_fd_sc_hd__nand3_2
+X_59496_ _76855_/Q _59496_/B VGND VGND VPWR VPWR _59497_/C sky130_fd_sc_hd__nor2_2
+XFILLER_382_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_362_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_206_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_679_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76301_ _76169_/A _76294_/B VGND VGND VPWR VPWR _76301_/X sky130_fd_sc_hd__or2_2
+XFILLER_360_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_523_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73513_ _73635_/A _73507_/X VGND VGND VPWR VPWR _73513_/X sky130_fd_sc_hd__or2_2
+XPHY_28922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_605_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58447_ _58663_/A _58446_/Y VGND VGND VPWR VPWR _58447_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_280_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46461_ _46461_/A _46461_/B _46405_/X _46460_/Y VGND VGND VPWR VPWR _46461_/Y sky130_fd_sc_hd__nor4_2
+X_70725_ _70723_/Y _70725_/B _70725_/C VGND VGND VPWR VPWR _70726_/B sky130_fd_sc_hd__or3_2
+XFILLER_520_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77281_ _77275_/CLK _53452_/Y VGND VGND VPWR VPWR _77281_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_28933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43673_ _43669_/A VGND VGND VPWR VPWR _43877_/A sky130_fd_sc_hd__buf_1
+XPHY_4030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55659_ _55676_/A _55655_/Y _55658_/Y VGND VGND VPWR VPWR _55659_/Y sky130_fd_sc_hd__nor3_2
+X_74493_ _74515_/A VGND VGND VPWR VPWR _74510_/B sky130_fd_sc_hd__buf_1
+XFILLER_407_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40885_ _40994_/B VGND VGND VPWR VPWR _40889_/B sky130_fd_sc_hd__buf_1
+XFILLER_61_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_54_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_480_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_15_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48200_ _48134_/A _52065_/A VGND VGND VPWR VPWR _48200_/X sky130_fd_sc_hd__or2_2
+XPHY_18432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_611_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79020_ _79501_/CLK _79020_/D VGND VGND VPWR VPWR _43232_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_657_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45412_ _45412_/A _45412_/B VGND VGND VPWR VPWR _45412_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_167_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76232_ _76220_/X _76244_/B _69304_/A VGND VGND VPWR VPWR _76233_/B sky130_fd_sc_hd__nand3_2
+XPHY_28966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_489_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42624_ _42580_/A _42624_/B VGND VGND VPWR VPWR _42624_/X sky130_fd_sc_hd__and2_2
+XFILLER_226_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49180_ _49177_/Y _49179_/X VGND VGND VPWR VPWR _78402_/D sky130_fd_sc_hd__nand2_2
+XFILLER_696_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73444_ _73425_/A VGND VGND VPWR VPWR _73444_/X sky130_fd_sc_hd__buf_1
+XFILLER_54_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46392_ _46379_/B VGND VGND VPWR VPWR _46393_/B sky130_fd_sc_hd__inv_8
+XFILLER_603_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58378_ _58369_/Y _58378_/B VGND VGND VPWR VPWR _58379_/B sky130_fd_sc_hd__nor2_2
+XPHY_19199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70656_ _76651_/Q _70628_/B VGND VGND VPWR VPWR _70658_/A sky130_fd_sc_hd__nand2_2
+XFILLER_692_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_382_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_348_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48131_ _48129_/Y _48131_/B VGND VGND VPWR VPWR _78669_/D sky130_fd_sc_hd__nand2_2
+XFILLER_278_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_128_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_677_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45343_ _45342_/X VGND VGND VPWR VPWR _45343_/X sky130_fd_sc_hd__buf_1
+XFILLER_243_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57329_ _57329_/A _57651_/B VGND VGND VPWR VPWR _57332_/B sky130_fd_sc_hd__nor2_2
+XFILLER_109_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76163_ _76161_/X _76163_/B VGND VGND VPWR VPWR _76163_/Y sky130_fd_sc_hd__nand2_2
+XPHY_81 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42555_ _42511_/X _42554_/Y _70238_/X _42512_/X VGND VGND VPWR VPWR _42555_/X sky130_fd_sc_hd__o22a_4
+XFILLER_726_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_261_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73375_ _75052_/A _73382_/B VGND VGND VPWR VPWR _73375_/X sky130_fd_sc_hd__or2_2
+XPHY_35121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_15_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_127_0_u_core.clock clkbuf_6_63_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_254_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_321_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70587_ _69893_/X _70583_/Y _69806_/X _70586_/X VGND VGND VPWR VPWR _70587_/X sky130_fd_sc_hd__o22a_4
+XFILLER_203_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_673_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_187_0_u_core.clock clkbuf_7_93_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_375_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_203_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75114_ _75108_/X _75114_/B VGND VGND VPWR VPWR _75114_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_592_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41506_ _41506_/A VGND VGND VPWR VPWR _41517_/A sky130_fd_sc_hd__buf_1
+XFILLER_651_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60340_ _59536_/A VGND VGND VPWR VPWR _60347_/A sky130_fd_sc_hd__buf_1
+XFILLER_565_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48062_ _78687_/Q _48068_/B VGND VGND VPWR VPWR _48064_/A sky130_fd_sc_hd__nand2_2
+X_72326_ _72326_/A _72310_/B _64795_/A VGND VGND VPWR VPWR _72327_/B sky130_fd_sc_hd__nand3_2
+XPHY_2672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45274_ _45218_/A _45274_/B VGND VGND VPWR VPWR _45274_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_725_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76094_ _38246_/A _76085_/X VGND VGND VPWR VPWR _76094_/X sky130_fd_sc_hd__or2_2
+XFILLER_553_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42486_ _42449_/A VGND VGND VPWR VPWR _42615_/C sky130_fd_sc_hd__buf_1
+XFILLER_70_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_471_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47013_ _47004_/X _71781_/B _71707_/B _46979_/X VGND VGND VPWR VPWR _47014_/B sky130_fd_sc_hd__o22a_4
+XFILLER_690_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44225_ _44044_/X VGND VGND VPWR VPWR _44226_/C sky130_fd_sc_hd__inv_8
+XFILLER_123_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75045_ _75051_/A _75038_/B _65043_/A VGND VGND VPWR VPWR _75047_/A sky130_fd_sc_hd__nand3_2
+X_79922_ _79921_/CLK _79922_/D VGND VGND VPWR VPWR _64986_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_316_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_373_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41437_ _41440_/A _41440_/B _67948_/A VGND VGND VPWR VPWR _41438_/B sky130_fd_sc_hd__nand3_2
+XPHY_34464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_508_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_60271_ _59630_/A _60271_/B _60270_/Y VGND VGND VPWR VPWR _60275_/B sky130_fd_sc_hd__nor3_2
+XFILLER_377_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72257_ _72263_/A _72263_/B _81469_/Q VGND VGND VPWR VPWR _72258_/B sky130_fd_sc_hd__nand3_2
+XFILLER_89_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_196_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_128_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_551_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62010_ _61843_/X _62010_/B _62009_/Y VGND VGND VPWR VPWR _62010_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_616_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71208_ _71083_/A VGND VGND VPWR VPWR _71208_/X sky130_fd_sc_hd__buf_1
+XFILLER_651_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44156_ _43772_/X VGND VGND VPWR VPWR _44224_/B sky130_fd_sc_hd__buf_1
+XFILLER_274_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79853_ _80013_/CLK _40528_/Y VGND VGND VPWR VPWR _69393_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_48_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41368_ _41368_/A _41368_/B VGND VGND VPWR VPWR _41368_/Y sky130_fd_sc_hd__nand2_2
+XPHY_33774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72188_ _72188_/A _72176_/X VGND VGND VPWR VPWR _72188_/X sky130_fd_sc_hd__or2_2
+XFILLER_100_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_372_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_512_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_372_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43107_ _43107_/A _43107_/B VGND VGND VPWR VPWR _43108_/B sky130_fd_sc_hd__nor2_2
+XFILLER_632_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78804_ _78284_/CLK _78804_/D VGND VGND VPWR VPWR _64042_/A sky130_fd_sc_hd__dfxtp_4
+X_40319_ _40326_/A _40322_/B _66640_/A VGND VGND VPWR VPWR _40319_/Y sky130_fd_sc_hd__nand3_2
+XPHY_23284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71139_ _71188_/A _71188_/B _69089_/A VGND VGND VPWR VPWR _71140_/B sky130_fd_sc_hd__nand3_2
+XFILLER_569_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48964_ _48964_/A _48964_/B VGND VGND VPWR VPWR _48964_/Y sky130_fd_sc_hd__nand2_2
+X_44087_ _44087_/A _44087_/B VGND VGND VPWR VPWR _44087_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_252_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79784_ _79778_/CLK _40788_/Y VGND VGND VPWR VPWR _68643_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_477_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41299_ _41180_/A _41291_/B VGND VGND VPWR VPWR _41299_/X sky130_fd_sc_hd__or2_2
+XFILLER_331_336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76996_ _76993_/CLK _76996_/D VGND VGND VPWR VPWR _76996_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_343_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47915_ _47915_/A _47886_/B VGND VGND VPWR VPWR _53706_/A sky130_fd_sc_hd__or2_2
+X_43038_ _79499_/Q VGND VGND VPWR VPWR _43038_/Y sky130_fd_sc_hd__inv_8
+XFILLER_414_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_174_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78735_ _78734_/CLK _47879_/Y VGND VGND VPWR VPWR _63316_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_315_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_551_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63961_ _78428_/Q _59362_/B VGND VGND VPWR VPWR _63962_/C sky130_fd_sc_hd__nor2_2
+X_75947_ _75947_/A _75827_/B VGND VGND VPWR VPWR _75970_/A sky130_fd_sc_hd__or2_2
+XFILLER_452_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_152_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48895_ _63805_/A _48891_/B VGND VGND VPWR VPWR _48897_/A sky130_fd_sc_hd__nand2_2
+XPHY_21860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_252_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_649_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_452_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_675_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65700_ _65862_/A _65700_/B _65700_/C VGND VGND VPWR VPWR _65700_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_684_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_69_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_135_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62912_ _49487_/A _63064_/B VGND VGND VPWR VPWR _62913_/C sky130_fd_sc_hd__nor2_2
+XFILLER_4_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66680_ _80284_/Q _66362_/B VGND VGND VPWR VPWR _66680_/Y sky130_fd_sc_hd__nor2_2
+X_47846_ _48001_/A VGND VGND VPWR VPWR _47847_/A sky130_fd_sc_hd__buf_1
+XFILLER_22_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78666_ _76917_/CLK _78666_/D VGND VGND VPWR VPWR _63761_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_289_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_708_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75878_ _75876_/X _75877_/Y VGND VGND VPWR VPWR _80578_/D sky130_fd_sc_hd__nand2_2
+X_63892_ _63892_/A _63892_/B VGND VGND VPWR VPWR _63893_/C sky130_fd_sc_hd__nor2_2
+XFILLER_29_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_469_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_215_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77617_ _77628_/CLK _52173_/Y VGND VGND VPWR VPWR _77617_/Q sky130_fd_sc_hd__dfxtp_4
+X_65631_ _65631_/A _65630_/Y VGND VGND VPWR VPWR _65631_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_662_2443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_81_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_445_237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_285_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62843_ _62804_/X _62842_/Y VGND VGND VPWR VPWR _62843_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_634_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74829_ _74816_/X _74841_/B _69293_/A VGND VGND VPWR VPWR _74829_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_529_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_387_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_661_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_2930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47777_ _47777_/A _47777_/B VGND VGND VPWR VPWR _78760_/D sky130_fd_sc_hd__nand2_2
+XFILLER_110_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78597_ _78599_/CLK _48421_/Y VGND VGND VPWR VPWR _62940_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_310_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44989_ _44988_/Y _45004_/B VGND VGND VPWR VPWR _44996_/B sky130_fd_sc_hd__nor2_2
+XFILLER_367_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_285_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_65_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_564_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49516_ _49817_/A VGND VGND VPWR VPWR _49635_/A sky130_fd_sc_hd__buf_1
+XFILLER_77_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68350_ _68350_/A _68350_/B VGND VGND VPWR VPWR _68350_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_348_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_560_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46728_ _43024_/B _46727_/X VGND VGND VPWR VPWR _46729_/A sky130_fd_sc_hd__or2_2
+X_65562_ _65562_/A _66057_/B VGND VGND VPWR VPWR _65562_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_4_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77548_ _77540_/CLK _52434_/Y VGND VGND VPWR VPWR _77548_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_42_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62774_ _59457_/A VGND VGND VPWR VPWR _62774_/X sky130_fd_sc_hd__buf_1
+XFILLER_560_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_65_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_185_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67301_ _81312_/Q _66971_/B VGND VGND VPWR VPWR _67301_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_77_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_482_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64513_ _64733_/A _64513_/B _64513_/C VGND VGND VPWR VPWR _64513_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_380_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_361_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_253_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61725_ _61099_/A _61723_/Y _61725_/C VGND VGND VPWR VPWR _61726_/C sky130_fd_sc_hd__nor3_2
+X_49447_ _49353_/X _49444_/B VGND VGND VPWR VPWR _49447_/X sky130_fd_sc_hd__or2_2
+XFILLER_601_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68281_ _74312_/C _68281_/B VGND VGND VPWR VPWR _68281_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_413_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46659_ _46681_/A VGND VGND VPWR VPWR _46659_/Y sky130_fd_sc_hd__inv_8
+XFILLER_55_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65493_ _81301_/Q _65315_/B VGND VGND VPWR VPWR _65493_/Y sky130_fd_sc_hd__nor2_2
+X_77479_ _77454_/CLK _77479_/D VGND VGND VPWR VPWR _60735_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_146_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39200_ _39195_/A _39200_/B _66365_/A VGND VGND VPWR VPWR _39201_/B sky130_fd_sc_hd__nand3_2
+XFILLER_225_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_678_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67232_ _67232_/A _67391_/B VGND VGND VPWR VPWR _67232_/Y sky130_fd_sc_hd__nor2_2
+X_79218_ _81212_/CLK _79218_/D VGND VGND VPWR VPWR _44549_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_399_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_621_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64444_ _66386_/A VGND VGND VPWR VPWR _64444_/X sky130_fd_sc_hd__buf_1
+XFILLER_601_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61656_ _59252_/A VGND VGND VPWR VPWR _61657_/B sky130_fd_sc_hd__buf_1
+X_49378_ _49434_/A _49396_/B VGND VGND VPWR VPWR _49379_/B sky130_fd_sc_hd__or2_2
+X_80490_ _80465_/CLK _80490_/D VGND VGND VPWR VPWR _76244_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_379_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_52_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_498_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39131_ _39127_/X _39130_/Y VGND VGND VPWR VPWR _80201_/D sky130_fd_sc_hd__nand2_2
+XFILLER_656_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_90_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60607_ _59807_/A VGND VGND VPWR VPWR _60758_/A sky130_fd_sc_hd__buf_1
+X_48329_ _47532_/A VGND VGND VPWR VPWR _48330_/A sky130_fd_sc_hd__buf_1
+XFILLER_556_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67163_ _68810_/A VGND VGND VPWR VPWR _67652_/B sky130_fd_sc_hd__buf_1
+XFILLER_263_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79149_ _78301_/CLK _45494_/Y VGND VGND VPWR VPWR _42091_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_593_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64375_ _65177_/A _64375_/B _64374_/Y VGND VGND VPWR VPWR _64415_/A sky130_fd_sc_hd__nor3_2
+XFILLER_476_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61587_ _61587_/A _62218_/B VGND VGND VPWR VPWR _61588_/C sky130_fd_sc_hd__nor2_2
+XFILLER_140_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_307_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_240_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_20_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66114_ _65621_/A _66112_/Y _66114_/C VGND VGND VPWR VPWR _66114_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_33_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_13 io_in[28] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_144_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63326_ _63326_/A _63324_/Y _63326_/C VGND VGND VPWR VPWR _63327_/C sky130_fd_sc_hd__nor3_2
+X_39062_ _39062_/A _39061_/Y VGND VGND VPWR VPWR _80218_/D sky130_fd_sc_hd__nand2_2
+X_51340_ _61535_/A _51339_/X VGND VGND VPWR VPWR _51343_/A sky130_fd_sc_hd__nand2_2
+XFILLER_221_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_24 io_in[30] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_556_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60538_ _60538_/A _60375_/X VGND VGND VPWR VPWR _60540_/B sky130_fd_sc_hd__nor2_2
+XFILLER_556_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67094_ _67094_/A _66932_/B VGND VGND VPWR VPWR _67094_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_222_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_35 wbs_adr_i[0] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_120_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_46 wbs_adr_i[4] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_496_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_57 _57308_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_81111_ _81144_/CLK _73718_/Y VGND VGND VPWR VPWR _65751_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_355_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_634_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66045_ _65207_/A VGND VGND VPWR VPWR _66210_/B sky130_fd_sc_hd__buf_1
+XFILLER_120_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_105_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_68 _56573_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_454_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_418_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51271_ _51271_/A VGND VGND VPWR VPWR _53075_/A sky130_fd_sc_hd__buf_1
+X_63257_ _62624_/X _63257_/B _63256_/Y VGND VGND VPWR VPWR _63257_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_146_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_457_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60469_ _60469_/A _59975_/B VGND VGND VPWR VPWR _60471_/B sky130_fd_sc_hd__nor2_2
+XANTENNA_79 _59453_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_382_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_689_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_119_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_493_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_198_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_3056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_333_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53010_ _53010_/A _53019_/B VGND VGND VPWR VPWR _53010_/X sky130_fd_sc_hd__or2_2
+XFILLER_21_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50222_ _50104_/A _50222_/B VGND VGND VPWR VPWR _50223_/B sky130_fd_sc_hd__or2_2
+XFILLER_713_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62208_ _61891_/X _62208_/B _62207_/Y VGND VGND VPWR VPWR _62212_/B sky130_fd_sc_hd__nor3_2
+XFILLER_517_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_81042_ _81166_/CLK _81042_/D VGND VGND VPWR VPWR _73977_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_710_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_669_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63188_ _63348_/A _63188_/B VGND VGND VPWR VPWR _63232_/B sky130_fd_sc_hd__nor2_2
+XFILLER_551_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_651_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_686_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69804_ _69804_/A VGND VGND VPWR VPWR _43527_/A sky130_fd_sc_hd__buf_1
+XPHY_9008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50153_ _50153_/A _50153_/B VGND VGND VPWR VPWR _50153_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_12_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62139_ _59034_/X VGND VGND VPWR VPWR _62139_/X sky130_fd_sc_hd__buf_1
+XFILLER_587_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39964_ _39964_/A _39964_/B _79995_/Q VGND VGND VPWR VPWR _39965_/B sky130_fd_sc_hd__nand3_2
+XFILLER_362_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67996_ _67172_/A VGND VGND VPWR VPWR _68156_/B sky130_fd_sc_hd__buf_1
+XFILLER_413_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_434_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_667_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38915_ _38915_/A _38915_/B _80257_/Q VGND VGND VPWR VPWR _38915_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_685_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69735_ _69735_/A VGND VGND VPWR VPWR _69836_/A sky130_fd_sc_hd__inv_8
+XFILLER_216_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50084_ _78166_/Q _50084_/B VGND VGND VPWR VPWR _50084_/Y sky130_fd_sc_hd__nand2_2
+X_54961_ _54961_/A _54945_/A VGND VGND VPWR VPWR _54961_/X sky130_fd_sc_hd__or2_2
+XFILLER_118_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66947_ _66777_/A _66947_/B VGND VGND VPWR VPWR _66947_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_303_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_233_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39895_ _40254_/A _40002_/B VGND VGND VPWR VPWR _39897_/A sky130_fd_sc_hd__or2_2
+XPHY_8329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56700_ _56780_/A _56697_/Y _56700_/C VGND VGND VPWR VPWR _56704_/B sky130_fd_sc_hd__nor3_2
+XPHY_7606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_114_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_331_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53912_ _52001_/A _53912_/B VGND VGND VPWR VPWR _53913_/A sky130_fd_sc_hd__or2_2
+XFILLER_153_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38846_ _38846_/A _38845_/Y VGND VGND VPWR VPWR _80275_/D sky130_fd_sc_hd__nand2_2
+XFILLER_487_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57680_ _57672_/X _57680_/B _57680_/C VGND VGND VPWR VPWR _57680_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_276_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69666_ _69659_/Y _69665_/Y _69629_/A _69665_/A VGND VGND VPWR VPWR _64072_/A sky130_fd_sc_hd__o22a_4
+XFILLER_581_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54892_ _59917_/A _54895_/B VGND VGND VPWR VPWR _54892_/Y sky130_fd_sc_hd__nand2_2
+X_66878_ _66056_/A VGND VGND VPWR VPWR _66881_/A sky130_fd_sc_hd__buf_1
+XFILLER_476_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_60_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_436_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_464_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56631_ _64970_/A _56886_/B VGND VGND VPWR VPWR _56631_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_131_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68617_ _74305_/C _68787_/B VGND VGND VPWR VPWR _68619_/B sky130_fd_sc_hd__nor2_2
+XFILLER_609_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53843_ _77176_/Q _53840_/B VGND VGND VPWR VPWR _53843_/Y sky130_fd_sc_hd__nand2_2
+XPHY_39409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65829_ _80023_/Q _65828_/X VGND VGND VPWR VPWR _65830_/C sky130_fd_sc_hd__nor2_2
+XPHY_6927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38777_ _38787_/A _38780_/B _38777_/C VGND VGND VPWR VPWR _38778_/B sky130_fd_sc_hd__nand3_2
+XFILLER_77_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69597_ _69614_/A _69681_/A VGND VGND VPWR VPWR _69602_/A sky130_fd_sc_hd__or2_2
+XPHY_6938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_247_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59350_ _64028_/A _59346_/Y _59350_/C VGND VGND VPWR VPWR _59350_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_426_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56562_ _56366_/X _56558_/Y _56561_/Y VGND VGND VPWR VPWR _56562_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_151_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80826_ _80826_/CLK _74902_/Y VGND VGND VPWR VPWR _80826_/Q sky130_fd_sc_hd__dfxtp_4
+X_68548_ _68374_/A _68543_/Y _68548_/C VGND VGND VPWR VPWR _68548_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_28_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53774_ _77194_/Q _53783_/B VGND VGND VPWR VPWR _53774_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_448_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_38_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_228_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50986_ _50986_/A _50985_/X VGND VGND VPWR VPWR _77927_/D sky130_fd_sc_hd__nand2_2
+XFILLER_262_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_99_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58301_ _58301_/A VGND VGND VPWR VPWR _58472_/B sky130_fd_sc_hd__buf_1
+XFILLER_446_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55513_ _55251_/X VGND VGND VPWR VPWR _55644_/B sky130_fd_sc_hd__inv_8
+XFILLER_446_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_680_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52725_ _52723_/Y _52724_/X VGND VGND VPWR VPWR _77469_/D sky130_fd_sc_hd__nand2_2
+XPHY_28229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59281_ _59281_/A _63870_/B VGND VGND VPWR VPWR _59281_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_432_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80757_ _80852_/CLK _80757_/D VGND VGND VPWR VPWR _75160_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56493_ _56493_/A _56492_/Y VGND VGND VPWR VPWR _56493_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_271_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68479_ _67822_/A _68479_/B _68479_/C VGND VGND VPWR VPWR _68479_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_207_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_460_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_73_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_129_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58232_ _80262_/Q _57912_/X VGND VGND VPWR VPWR _58233_/C sky130_fd_sc_hd__nor2_2
+X_70510_ _70509_/Y VGND VGND VPWR VPWR _70525_/A sky130_fd_sc_hd__inv_8
+XPHY_27528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55444_ _55444_/A _55444_/B VGND VGND VPWR VPWR _55444_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_422_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40670_ _40670_/A VGND VGND VPWR VPWR _40670_/X sky130_fd_sc_hd__buf_1
+X_52656_ _52741_/A _52665_/B VGND VGND VPWR VPWR _52656_/X sky130_fd_sc_hd__or2_2
+XFILLER_110_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71490_ _71490_/A _70398_/Y VGND VGND VPWR VPWR _71491_/B sky130_fd_sc_hd__nor2_2
+XFILLER_38_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_696_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80688_ _80845_/CLK _75418_/Y VGND VGND VPWR VPWR _80688_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_26805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_361_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_621_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39329_ _39326_/X _39328_/Y VGND VGND VPWR VPWR _80155_/D sky130_fd_sc_hd__nand2_2
+X_51607_ _51663_/A _51613_/B VGND VGND VPWR VPWR _51608_/B sky130_fd_sc_hd__or2_2
+XPHY_16304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58163_ _58088_/A _58163_/B VGND VGND VPWR VPWR _58180_/B sky130_fd_sc_hd__nor2_2
+XPHY_17049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70441_ _70438_/Y _70164_/X _70165_/X _70440_/X VGND VGND VPWR VPWR _70441_/X sky130_fd_sc_hd__o22a_4
+XPHY_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_546_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_240_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55375_ _55375_/A _55374_/X VGND VGND VPWR VPWR _55375_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_184_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52587_ _77506_/Q _52579_/X VGND VGND VPWR VPWR _52587_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_196_431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_397_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_655_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57114_ _57513_/A VGND VGND VPWR VPWR _57283_/B sky130_fd_sc_hd__buf_1
+XPHY_15603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42340_ _41764_/A _42313_/Y VGND VGND VPWR VPWR _42341_/C sky130_fd_sc_hd__nor2_2
+XFILLER_547_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54326_ _54324_/Y _54326_/B VGND VGND VPWR VPWR _77049_/D sky130_fd_sc_hd__nand2_2
+XFILLER_157_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_320_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73160_ _73160_/A _73159_/X VGND VGND VPWR VPWR _81253_/D sky130_fd_sc_hd__nand2_2
+XPHY_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_71_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51538_ _51538_/A _51538_/B VGND VGND VPWR VPWR _77786_/D sky130_fd_sc_hd__nand2_2
+XPHY_15614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58094_ _58169_/A _58092_/Y _58093_/Y VGND VGND VPWR VPWR _58095_/C sky130_fd_sc_hd__nor3_2
+XFILLER_212_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70372_ _70371_/Y _70108_/X _70325_/X _70360_/A VGND VGND VPWR VPWR _70372_/X sky130_fd_sc_hd__o22a_4
+XFILLER_494_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_168_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_357_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_609_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_1131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_633_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72111_ _72111_/A _72111_/B VGND VGND VPWR VPWR _72111_/X sky130_fd_sc_hd__or2_2
+XFILLER_572_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57045_ _56638_/X VGND VGND VPWR VPWR _57055_/A sky130_fd_sc_hd__buf_1
+XFILLER_674_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81309_ _81305_/CLK _72916_/Y VGND VGND VPWR VPWR _72915_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_373_2108 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_32_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_328_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42271_ _42270_/X VGND VGND VPWR VPWR _42272_/A sky130_fd_sc_hd__buf_1
+XFILLER_570_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54257_ _77068_/Q _54271_/B VGND VGND VPWR VPWR _54257_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_722_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73091_ _73089_/Y _73091_/B VGND VGND VPWR VPWR _73091_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_534_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51469_ _51478_/A VGND VGND VPWR VPWR _51477_/B sky130_fd_sc_hd__buf_1
+XPHY_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_149_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_206_0_u_core.clock clkbuf_9_207_0_u_core.clock/A VGND VGND VPWR VPWR _76651_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_33048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_390_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44010_ _44010_/A VGND VGND VPWR VPWR _68344_/A sky130_fd_sc_hd__inv_8
+XFILLER_394_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53208_ _53208_/A _53207_/X VGND VGND VPWR VPWR _53208_/Y sky130_fd_sc_hd__nand2_2
+X_41222_ _41240_/A VGND VGND VPWR VPWR _41230_/B sky130_fd_sc_hd__buf_1
+XPHY_33059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72042_ _71039_/A _42994_/A VGND VGND VPWR VPWR _72042_/X sky130_fd_sc_hd__or2_2
+XFILLER_390_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_158_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_301_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54188_ _54188_/A _54187_/X VGND VGND VPWR VPWR _77085_/D sky130_fd_sc_hd__nand2_2
+XFILLER_45_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_1506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_84_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41153_ _76066_/A _40881_/B VGND VGND VPWR VPWR _41154_/A sky130_fd_sc_hd__or2_2
+XFILLER_218_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53139_ _53139_/A _53221_/B VGND VGND VPWR VPWR _53156_/A sky130_fd_sc_hd__or2_2
+XPHY_32369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76850_ _79040_/CLK _76850_/D VGND VGND VPWR VPWR _55064_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_611_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_668_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_408_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58996_ _60033_/A VGND VGND VPWR VPWR _59335_/A sky130_fd_sc_hd__buf_1
+XFILLER_325_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_101_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_375_0_u_core.clock clkbuf_9_375_0_u_core.clock/A VGND VGND VPWR VPWR _78191_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_152_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40104_ _40068_/A VGND VGND VPWR VPWR _40104_/X sky130_fd_sc_hd__buf_1
+XPHY_9531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75801_ _75552_/A _75808_/B VGND VGND VPWR VPWR _75804_/A sky130_fd_sc_hd__or2_2
+XFILLER_79_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_69_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57947_ _57947_/A _57947_/B VGND VGND VPWR VPWR _57947_/Y sky130_fd_sc_hd__nor2_2
+X_45961_ _45961_/A _45958_/B VGND VGND VPWR VPWR _45964_/B sky130_fd_sc_hd__nor2_2
+X_41084_ _39184_/A VGND VGND VPWR VPWR _41084_/X sky130_fd_sc_hd__buf_1
+XPHY_31679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76781_ _77422_/CLK _55772_/Y VGND VGND VPWR VPWR _76781_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_9553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_466_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73993_ _73991_/A VGND VGND VPWR VPWR _73994_/A sky130_fd_sc_hd__buf_1
+XFILLER_45_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47700_ _47730_/A _53501_/A VGND VGND VPWR VPWR _47701_/A sky130_fd_sc_hd__or2_2
+XFILLER_79_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_3074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78520_ _78102_/CLK _48722_/Y VGND VGND VPWR VPWR _63415_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_191_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40035_ _40018_/X _40031_/X _79976_/Q VGND VGND VPWR VPWR _40035_/Y sky130_fd_sc_hd__nand3_2
+XPHY_9586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44912_ _44911_/X VGND VGND VPWR VPWR _45210_/A sky130_fd_sc_hd__inv_8
+XFILLER_709_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75732_ _75732_/A _75731_/Y VGND VGND VPWR VPWR _80617_/D sky130_fd_sc_hd__nand2_2
+XPHY_21189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_3163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48680_ _48677_/Y _48679_/X VGND VGND VPWR VPWR _78532_/D sky130_fd_sc_hd__nand2_2
+XFILLER_136_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72944_ _72944_/A _72943_/Y VGND VGND VPWR VPWR _72944_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_310_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_670_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45892_ _41806_/Y _45891_/X _70677_/Y _45888_/X VGND VGND VPWR VPWR _45893_/B sky130_fd_sc_hd__o22a_4
+X_57878_ _57796_/A _57876_/Y _57877_/Y VGND VGND VPWR VPWR _57878_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_117_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_267_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_726_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47631_ _47656_/B VGND VGND VPWR VPWR _47632_/B sky130_fd_sc_hd__buf_1
+XFILLER_656_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59617_ _76960_/Q _59616_/X VGND VGND VPWR VPWR _59617_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_683_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78451_ _78451_/CLK _78451_/D VGND VGND VPWR VPWR _63811_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_455_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44843_ _44840_/X _44842_/X VGND VGND VPWR VPWR _44843_/Y sky130_fd_sc_hd__nor2_2
+XPHY_8896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56829_ _79925_/Q _56742_/X VGND VGND VPWR VPWR _56829_/Y sky130_fd_sc_hd__nor2_2
+X_75663_ _75660_/X _75662_/Y VGND VGND VPWR VPWR _80635_/D sky130_fd_sc_hd__nand2_2
+XFILLER_616_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72875_ _72875_/A VGND VGND VPWR VPWR _73545_/A sky130_fd_sc_hd__buf_1
+XFILLER_310_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_289_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_286_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_581_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77402_ _77840_/CLK _77402_/D VGND VGND VPWR VPWR _61342_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_726_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_722_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74614_ _74086_/A _74613_/X VGND VGND VPWR VPWR _74614_/X sky130_fd_sc_hd__or2_2
+XFILLER_281_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59548_ _77175_/Q _59388_/B VGND VGND VPWR VPWR _59548_/Y sky130_fd_sc_hd__nor2_2
+X_71826_ _74109_/A VGND VGND VPWR VPWR _39211_/A sky130_fd_sc_hd__buf_1
+X_47562_ _47562_/A _47562_/B VGND VGND VPWR VPWR _47562_/Y sky130_fd_sc_hd__nand2_2
+X_78382_ _78384_/CLK _49250_/Y VGND VGND VPWR VPWR _63183_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_671_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44774_ _44773_/X _44681_/B VGND VGND VPWR VPWR _44775_/B sky130_fd_sc_hd__and2_2
+XFILLER_707_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75594_ _75592_/X _75593_/Y VGND VGND VPWR VPWR _80653_/D sky130_fd_sc_hd__nand2_2
+XPHY_29420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_69 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41986_ _46105_/A VGND VGND VPWR VPWR _45317_/A sky130_fd_sc_hd__buf_1
+XFILLER_98_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_112_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_1567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49301_ _49243_/A _49295_/B VGND VGND VPWR VPWR _49302_/B sky130_fd_sc_hd__or2_2
+XFILLER_569_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46513_ _46497_/B _46506_/C VGND VGND VPWR VPWR _46513_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_463_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77333_ _77333_/CLK _77333_/D VGND VGND VPWR VPWR _59233_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_207_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_235_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43725_ _43725_/A _43778_/A _43687_/X _43795_/B VGND VGND VPWR VPWR _43725_/X sky130_fd_sc_hd__or4_4
+XFILLER_147_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74545_ _74667_/A _74660_/B VGND VGND VPWR VPWR _74549_/A sky130_fd_sc_hd__or2_2
+XFILLER_267_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_542_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40937_ _40937_/A _40936_/Y VGND VGND VPWR VPWR _79745_/D sky130_fd_sc_hd__nand2_2
+XFILLER_612_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47493_ _47492_/X VGND VGND VPWR VPWR _47494_/B sky130_fd_sc_hd__buf_1
+XFILLER_640_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59479_ _59479_/A VGND VGND VPWR VPWR _59800_/B sky130_fd_sc_hd__buf_1
+XFILLER_19_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71757_ _71757_/A _71714_/B _71757_/C VGND VGND VPWR VPWR _71757_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_228_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49232_ _49232_/A _49242_/B VGND VGND VPWR VPWR _49234_/A sky130_fd_sc_hd__nand2_2
+X_61510_ _47424_/A VGND VGND VPWR VPWR _61581_/A sky130_fd_sc_hd__buf_1
+XFILLER_225_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_262_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46444_ _46435_/Y _46444_/B _46444_/C VGND VGND VPWR VPWR _46444_/X sky130_fd_sc_hd__or3_2
+XFILLER_36_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_368_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70708_ _70708_/A VGND VGND VPWR VPWR _70708_/X sky130_fd_sc_hd__buf_1
+XFILLER_460_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77264_ _77265_/CLK _77264_/D VGND VGND VPWR VPWR _53517_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_700_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43656_ _72016_/A _43562_/A VGND VGND VPWR VPWR _43659_/B sky130_fd_sc_hd__nor2_2
+XFILLER_598_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62490_ _59785_/A VGND VGND VPWR VPWR _62962_/B sky130_fd_sc_hd__buf_1
+XFILLER_605_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74476_ _74475_/Y _74471_/X VGND VGND VPWR VPWR _74476_/X sky130_fd_sc_hd__or2_2
+XFILLER_340_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_600_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40868_ _40868_/A _40867_/Y VGND VGND VPWR VPWR _79762_/D sky130_fd_sc_hd__nand2_2
+XFILLER_61_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71688_ _71081_/X _71688_/B VGND VGND VPWR VPWR _71689_/B sky130_fd_sc_hd__nor2_2
+XFILLER_182_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_327_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_206_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79003_ _79557_/CLK _46552_/Y _46549_/X VGND VGND VPWR VPWR _42372_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_245_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76215_ _76199_/A _76080_/B _76215_/C VGND VGND VPWR VPWR _76216_/B sky130_fd_sc_hd__nand3_2
+XFILLER_389_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61441_ _60811_/A _61439_/Y _61441_/C VGND VGND VPWR VPWR _61442_/C sky130_fd_sc_hd__nor3_2
+XFILLER_348_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42607_ _79472_/Q _42607_/B VGND VGND VPWR VPWR _42616_/B sky130_fd_sc_hd__nor2_2
+X_73427_ _74985_/A _73433_/B VGND VGND VPWR VPWR _73428_/B sky130_fd_sc_hd__or2_2
+X_49163_ _49161_/Y _49163_/B VGND VGND VPWR VPWR _78406_/D sky130_fd_sc_hd__nand2_2
+XFILLER_696_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46375_ _43084_/Y _46372_/B _46371_/Y _46374_/Y VGND VGND VPWR VPWR _46375_/X sky130_fd_sc_hd__a211o_4
+X_70639_ _70639_/A _69752_/X _70675_/C VGND VGND VPWR VPWR _70639_/X sky130_fd_sc_hd__and3_2
+XFILLER_618_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77195_ _77195_/CLK _77195_/D VGND VGND VPWR VPWR _60192_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_163_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43587_ _43576_/A _43584_/Y _43586_/Y VGND VGND VPWR VPWR _79324_/D sky130_fd_sc_hd__nor3_2
+XFILLER_549_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40799_ _40417_/X _40807_/B VGND VGND VPWR VPWR _40799_/X sky130_fd_sc_hd__or2_2
+XFILLER_50_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_519_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48114_ _48104_/X VGND VGND VPWR VPWR _48121_/B sky130_fd_sc_hd__buf_1
+XFILLER_337_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45326_ _45218_/A _45326_/B VGND VGND VPWR VPWR _45326_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_666_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64160_ _67877_/A VGND VGND VPWR VPWR _69455_/A sky130_fd_sc_hd__buf_1
+XFILLER_37_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76146_ _76146_/A VGND VGND VPWR VPWR _76153_/B sky130_fd_sc_hd__buf_1
+XPHY_17583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42538_ _42538_/A _42536_/Y _42537_/X _42581_/D VGND VGND VPWR VPWR _42538_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_501_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61372_ _52882_/A _61216_/X VGND VGND VPWR VPWR _61374_/B sky130_fd_sc_hd__nor2_2
+XFILLER_340_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49094_ _47517_/A VGND VGND VPWR VPWR _49214_/A sky130_fd_sc_hd__buf_1
+XFILLER_657_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_73358_ _75035_/A _73366_/B VGND VGND VPWR VPWR _73358_/X sky130_fd_sc_hd__or2_2
+XFILLER_15_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_564_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_176_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_375_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63111_ _48791_/A _62966_/B VGND VGND VPWR VPWR _63111_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_364_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48045_ _48045_/A _48044_/X VGND VGND VPWR VPWR _48045_/Y sky130_fd_sc_hd__nand2_2
+X_60323_ _77108_/Q _60167_/B VGND VGND VPWR VPWR _60324_/C sky130_fd_sc_hd__nor2_2
+XFILLER_102_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72309_ _72810_/A _72295_/B VGND VGND VPWR VPWR _72309_/X sky130_fd_sc_hd__or2_2
+XPHY_16882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_321_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45257_ _45429_/A _45257_/B VGND VGND VPWR VPWR _45257_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_238_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_638_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64091_ _64091_/A VGND VGND VPWR VPWR _64091_/X sky130_fd_sc_hd__buf_1
+XFILLER_635_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76077_ _76097_/A _76091_/B _76077_/C VGND VGND VPWR VPWR _76078_/B sky130_fd_sc_hd__nand3_2
+XFILLER_293_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_514_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42469_ _42469_/A VGND VGND VPWR VPWR _43856_/A sky130_fd_sc_hd__buf_1
+XFILLER_436_3064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73289_ _73295_/A _73295_/B _68741_/A VGND VGND VPWR VPWR _73289_/Y sky130_fd_sc_hd__nand3_2
+XPHY_34261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_356_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_575_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44208_ _44208_/A VGND VGND VPWR VPWR _44208_/X sky130_fd_sc_hd__buf_1
+XFILLER_458_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79905_ _79902_/CLK _40302_/Y VGND VGND VPWR VPWR _67463_/A sky130_fd_sc_hd__dfxtp_4
+X_75028_ _75028_/A _75014_/B VGND VGND VPWR VPWR _75028_/X sky130_fd_sc_hd__or2_2
+X_63042_ _62574_/A _63042_/B _63041_/Y VGND VGND VPWR VPWR _63043_/C sky130_fd_sc_hd__nor3_2
+XFILLER_190_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_455_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60254_ _60096_/A _60252_/Y _60254_/C VGND VGND VPWR VPWR _60255_/C sky130_fd_sc_hd__nor3_2
+XFILLER_363_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45188_ _45188_/A _45222_/B VGND VGND VPWR VPWR _45188_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_158_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_710_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_490_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44139_ _44185_/A _44139_/B _44139_/C VGND VGND VPWR VPWR _79261_/D sky130_fd_sc_hd__nor3_2
+XPHY_23070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67850_ _68336_/A _67808_/Y _67850_/C VGND VGND VPWR VPWR _67850_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_217_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79836_ _79837_/CLK _79836_/D VGND VGND VPWR VPWR _57393_/A sky130_fd_sc_hd__dfxtp_4
+X_60185_ _60185_/A _60185_/B _60184_/Y VGND VGND VPWR VPWR _60185_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_354_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49996_ _78191_/Q _49996_/B VGND VGND VPWR VPWR _49996_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_318_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_666_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66801_ _66801_/A _66800_/X VGND VGND VPWR VPWR _66801_/Y sky130_fd_sc_hd__nor2_2
+XPHY_32892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_115_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48947_ _78462_/Q _48928_/B VGND VGND VPWR VPWR _48947_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_237_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_629_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67781_ _68431_/A _67781_/B _67780_/Y VGND VGND VPWR VPWR _67782_/C sky130_fd_sc_hd__nor3_2
+X_79767_ _79772_/CLK _40851_/Y VGND VGND VPWR VPWR _56997_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_350_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_488_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76979_ _76975_/CLK _54589_/Y VGND VGND VPWR VPWR _60108_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_331_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64993_ _64365_/A _64991_/Y _64992_/Y VGND VGND VPWR VPWR _64993_/Y sky130_fd_sc_hd__nor3_2
+XPHY_22391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38700_ _38682_/A VGND VGND VPWR VPWR _38701_/B sky130_fd_sc_hd__buf_1
+XFILLER_410_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69520_ _64563_/A _69520_/B _69520_/C VGND VGND VPWR VPWR _69521_/B sky130_fd_sc_hd__nor3_2
+XFILLER_291_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_130_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66732_ _80413_/Q _66732_/B VGND VGND VPWR VPWR _66734_/B sky130_fd_sc_hd__nor2_2
+X_78718_ _78737_/CLK _47940_/Y VGND VGND VPWR VPWR _78718_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_419_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39680_ _39185_/A _39677_/B VGND VGND VPWR VPWR _39680_/X sky130_fd_sc_hd__or2_2
+XFILLER_97_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63944_ _59287_/A _63944_/B _63943_/Y VGND VGND VPWR VPWR _63948_/B sky130_fd_sc_hd__nor3_2
+XFILLER_300_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_61_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_586_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48878_ _48878_/A _48877_/X VGND VGND VPWR VPWR _48878_/Y sky130_fd_sc_hd__nand2_2
+XPHY_21690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79698_ _79788_/CLK _41137_/Y VGND VGND VPWR VPWR _64950_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_258_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_61_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38631_ _38478_/A _38631_/B VGND VGND VPWR VPWR _38631_/X sky130_fd_sc_hd__or2_2
+XFILLER_26_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69451_ _38230_/C _69311_/B VGND VGND VPWR VPWR _69451_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_482_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_664_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47829_ _47829_/A VGND VGND VPWR VPWR _47865_/A sky130_fd_sc_hd__buf_1
+XFILLER_170_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_646_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_567_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66663_ _66832_/A _66661_/Y _66662_/Y VGND VGND VPWR VPWR _66663_/Y sky130_fd_sc_hd__nor3_2
+X_78649_ _78638_/CLK _48215_/Y VGND VGND VPWR VPWR _63623_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_313_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63875_ _63613_/A _63871_/Y _63875_/C VGND VGND VPWR VPWR _63875_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_449_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68402_ _68738_/A _68402_/B _68402_/C VGND VGND VPWR VPWR _68423_/A sky130_fd_sc_hd__nor3_2
+XFILLER_84_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65614_ _65614_/A _64919_/B VGND VGND VPWR VPWR _65617_/B sky130_fd_sc_hd__nor2_2
+XFILLER_701_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38562_ _38562_/A _38558_/B VGND VGND VPWR VPWR _38562_/X sky130_fd_sc_hd__or2_2
+X_50840_ _50840_/A _50839_/X VGND VGND VPWR VPWR _77966_/D sky130_fd_sc_hd__nand2_2
+XFILLER_263_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62826_ _62826_/A _62983_/B VGND VGND VPWR VPWR _62828_/B sky130_fd_sc_hd__nor2_2
+XFILLER_697_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_482_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69382_ _64736_/A _69382_/B VGND VGND VPWR VPWR _69382_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_272_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_560_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_545_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66594_ _66594_/A _66932_/B VGND VGND VPWR VPWR _66594_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_285_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_506_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_328_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_525_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_725_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80611_ _81005_/CLK _80611_/D VGND VGND VPWR VPWR _75752_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_631_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68333_ _68502_/A _68325_/Y _68333_/C VGND VGND VPWR VPWR _68334_/B sky130_fd_sc_hd__nor3_2
+XFILLER_367_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_285_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65545_ _65863_/A _65545_/B _65544_/Y VGND VGND VPWR VPWR _65546_/C sky130_fd_sc_hd__nor3_2
+XFILLER_465_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_705_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38493_ _38493_/A VGND VGND VPWR VPWR _38517_/A sky130_fd_sc_hd__buf_1
+X_50771_ _50771_/A _50771_/B VGND VGND VPWR VPWR _77986_/D sky130_fd_sc_hd__nand2_2
+XFILLER_415_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62757_ _62757_/A _62756_/Y VGND VGND VPWR VPWR _62757_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_224_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_408_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_344_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_203_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_129_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_443_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52510_ _52566_/A _52516_/B VGND VGND VPWR VPWR _52510_/X sky130_fd_sc_hd__or2_2
+XFILLER_441_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61708_ _58986_/A VGND VGND VPWR VPWR _61708_/X sky130_fd_sc_hd__buf_1
+XFILLER_59_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80542_ _80543_/CLK _76013_/Y VGND VGND VPWR VPWR _80542_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_359_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68264_ _68264_/A _68602_/B VGND VGND VPWR VPWR _68266_/B sky130_fd_sc_hd__nor2_2
+XFILLER_541_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53490_ _53639_/A VGND VGND VPWR VPWR _53521_/A sky130_fd_sc_hd__buf_1
+X_65476_ _65301_/A _65476_/B _65476_/C VGND VGND VPWR VPWR _65476_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_55_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62688_ _62688_/A _62532_/B VGND VGND VPWR VPWR _62688_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_386_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67215_ _80768_/Q _67215_/B VGND VGND VPWR VPWR _67217_/B sky130_fd_sc_hd__nor2_2
+XFILLER_502_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_228_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_575_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64427_ _64427_/A VGND VGND VPWR VPWR _66699_/A sky130_fd_sc_hd__buf_1
+XFILLER_361_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52441_ _52438_/Y _52440_/X VGND VGND VPWR VPWR _77546_/D sky130_fd_sc_hd__nand2_2
+XFILLER_601_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61639_ _77788_/Q _61483_/B VGND VGND VPWR VPWR _61640_/C sky130_fd_sc_hd__nor2_2
+X_80473_ _80473_/CLK _76307_/Y VGND VGND VPWR VPWR _80473_/Q sky130_fd_sc_hd__dfxtp_4
+X_68195_ _80774_/Q _68195_/B VGND VGND VPWR VPWR _68198_/B sky130_fd_sc_hd__nor2_2
+XFILLER_718_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_556_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39114_ _39109_/X _39105_/B _69270_/A VGND VGND VPWR VPWR _39114_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_634_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_410_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55160_ _79472_/Q _55160_/B VGND VGND VPWR VPWR _55161_/C sky130_fd_sc_hd__nor2_2
+X_67146_ _67146_/A _66640_/B VGND VGND VPWR VPWR _67147_/C sky130_fd_sc_hd__nor2_2
+XFILLER_593_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_494_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52372_ _48518_/X _52280_/B VGND VGND VPWR VPWR _52382_/A sky130_fd_sc_hd__or2_2
+XFILLER_558_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64358_ _64070_/X VGND VGND VPWR VPWR _64365_/A sky130_fd_sc_hd__buf_1
+XFILLER_394_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_421_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_354_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_584_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_394_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54111_ _54111_/A _54118_/B VGND VGND VPWR VPWR _54113_/A sky130_fd_sc_hd__nand2_2
+XFILLER_33_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_558_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51323_ _51323_/A _51311_/X VGND VGND VPWR VPWR _51323_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_194_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_691_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39045_ _39045_/A _39045_/B _80222_/Q VGND VGND VPWR VPWR _39045_/Y sky130_fd_sc_hd__nand3_2
+X_63309_ _63606_/A _63309_/B _63308_/Y VGND VGND VPWR VPWR _63388_/A sky130_fd_sc_hd__nor3_2
+XPHY_14209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_704_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67077_ _66417_/X _67074_/Y _67077_/C VGND VGND VPWR VPWR _67077_/Y sky130_fd_sc_hd__nor3_2
+X_55091_ _55129_/A VGND VGND VPWR VPWR _55092_/A sky130_fd_sc_hd__buf_1
+XFILLER_653_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64289_ _69487_/A _64265_/Y _64288_/Y VGND VGND VPWR VPWR _64289_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_68_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_555_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_517_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_394_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54042_ _77122_/Q _54046_/B VGND VGND VPWR VPWR _54042_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_552_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_539_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66028_ _57093_/A _66028_/B VGND VGND VPWR VPWR _66028_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_105_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51254_ _51254_/A _51262_/B VGND VGND VPWR VPWR _51254_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_200_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_570_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_180_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_713_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50205_ _50120_/A _50202_/B VGND VGND VPWR VPWR _50206_/B sky130_fd_sc_hd__or2_2
+X_81025_ _81025_/CLK _81025_/D VGND VGND VPWR VPWR _67411_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_85_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58850_ _58850_/A _58850_/B VGND VGND VPWR VPWR io_out[20] sky130_fd_sc_hd__xor2_2
+XFILLER_612_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_391_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_700_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_7_73_0_u_core.clock clkbuf_7_73_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_73_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_512_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51185_ _62200_/A _51170_/X VGND VGND VPWR VPWR _51187_/A sky130_fd_sc_hd__nand2_2
+XFILLER_192_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_2662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57801_ _80161_/Q _57728_/B VGND VGND VPWR VPWR _57803_/B sky130_fd_sc_hd__nor2_2
+XPHY_30219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_701_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50136_ _50152_/B VGND VGND VPWR VPWR _50149_/B sky130_fd_sc_hd__buf_1
+XFILLER_311_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39947_ _39947_/A _39947_/B VGND VGND VPWR VPWR _39947_/X sky130_fd_sc_hd__or2_2
+XFILLER_134_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_162_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58781_ _56200_/A _58747_/X _58780_/X _74410_/A _56413_/A VGND VGND VPWR VPWR _76674_/D
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_431_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55993_ _45424_/Y _55986_/B VGND VGND VPWR VPWR _55995_/B sky130_fd_sc_hd__nor2_2
+XFILLER_639_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67979_ _66333_/A VGND VGND VPWR VPWR _68475_/A sky130_fd_sc_hd__buf_1
+XFILLER_40_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_1446 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_496_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_689_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_685_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57732_ _56767_/A VGND VGND VPWR VPWR _57735_/A sky130_fd_sc_hd__buf_1
+XFILLER_288_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69718_ _69654_/A VGND VGND VPWR VPWR _69719_/B sky130_fd_sc_hd__buf_1
+XFILLER_5_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50067_ _50064_/Y _50066_/X VGND VGND VPWR VPWR _78172_/D sky130_fd_sc_hd__nand2_2
+X_54944_ _60063_/A _54948_/B VGND VGND VPWR VPWR _54944_/Y sky130_fd_sc_hd__nand2_2
+X_39878_ _39242_/A VGND VGND VPWR VPWR _40001_/A sky130_fd_sc_hd__buf_1
+XPHY_7414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_88_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70990_ _70903_/Y _70990_/B VGND VGND VPWR VPWR _70991_/B sky130_fd_sc_hd__nor2_2
+XFILLER_251_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_311_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38829_ _38793_/A VGND VGND VPWR VPWR _38829_/X sky130_fd_sc_hd__buf_1
+XFILLER_311_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57663_ _57663_/A VGND VGND VPWR VPWR _57813_/B sky130_fd_sc_hd__buf_1
+XFILLER_487_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_311_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69649_ _69663_/A _64066_/B VGND VGND VPWR VPWR _69649_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_248_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54875_ _54873_/Y _54874_/X VGND VGND VPWR VPWR _76902_/D sky130_fd_sc_hd__nand2_2
+XFILLER_350_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_723_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_480_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59402_ _59402_/A VGND VGND VPWR VPWR _60050_/A sky130_fd_sc_hd__buf_1
+XFILLER_331_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_186_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56614_ _56614_/A VGND VGND VPWR VPWR _56659_/A sky130_fd_sc_hd__buf_1
+XFILLER_680_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_503_0_u_core.clock clkbuf_9_503_0_u_core.clock/A VGND VGND VPWR VPWR _80508_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_480_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_79_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_426_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41840_ wbs_dat_i[2] VGND VGND VPWR VPWR _46670_/A sky130_fd_sc_hd__inv_8
+X_53826_ _53826_/A _53825_/X VGND VGND VPWR VPWR _53826_/Y sky130_fd_sc_hd__nand2_2
+XPHY_39239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72660_ _72678_/A VGND VGND VPWR VPWR _72674_/A sky130_fd_sc_hd__buf_1
+XPHY_6757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_5_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_704_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57594_ _56352_/A VGND VGND VPWR VPWR _57597_/A sky130_fd_sc_hd__buf_1
+XFILLER_366_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_491_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_131_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_504_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_309_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_1_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_217_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71611_ _71772_/A VGND VGND VPWR VPWR _71640_/A sky130_fd_sc_hd__buf_1
+X_59333_ _59333_/A VGND VGND VPWR VPWR _59495_/B sky130_fd_sc_hd__buf_1
+XFILLER_634_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56545_ _56465_/X _56545_/B _56544_/Y VGND VGND VPWR VPWR _56545_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_433_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80809_ _80809_/CLK _74967_/Y VGND VGND VPWR VPWR _68696_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41771_ _41780_/A VGND VGND VPWR VPWR _41771_/X sky130_fd_sc_hd__buf_1
+XPHY_37804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53757_ _77198_/Q _53757_/B VGND VGND VPWR VPWR _53757_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_327_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72591_ _72591_/A VGND VGND VPWR VPWR _72699_/B sky130_fd_sc_hd__buf_1
+XFILLER_383_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50969_ _50969_/A _50987_/B VGND VGND VPWR VPWR _50969_/X sky130_fd_sc_hd__or2_2
+XFILLER_246_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_290_268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_38_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43510_ _45071_/A _42876_/X _43503_/X VGND VGND VPWR VPWR _43510_/X sky130_fd_sc_hd__and3_2
+Xclkbuf_8_105_0_u_core.clock clkbuf_7_52_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_211_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_461_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74330_ _74320_/A _74320_/B _74330_/C VGND VGND VPWR VPWR _74331_/B sky130_fd_sc_hd__nand3_2
+XFILLER_216_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52708_ _52724_/B VGND VGND VPWR VPWR _52718_/B sky130_fd_sc_hd__buf_1
+X_40722_ _40722_/A _40722_/B VGND VGND VPWR VPWR _79801_/D sky130_fd_sc_hd__nand2_2
+XPHY_28059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59264_ _59264_/A _59604_/B VGND VGND VPWR VPWR _59264_/Y sky130_fd_sc_hd__nor2_2
+X_71542_ _76806_/Q VGND VGND VPWR VPWR _71543_/A sky130_fd_sc_hd__inv_8
+XFILLER_125_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_165_0_u_core.clock clkbuf_8_82_0_u_core.clock/X VGND VGND VPWR VPWR _76791_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_183_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44490_ _44505_/A _44490_/B _44489_/Y VGND VGND VPWR VPWR _79226_/D sky130_fd_sc_hd__nor3_2
+XPHY_27325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56476_ _56476_/A _56476_/B _56475_/Y VGND VGND VPWR VPWR _56477_/B sky130_fd_sc_hd__nor3_2
+XFILLER_405_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_16_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_43_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53688_ _53679_/A VGND VGND VPWR VPWR _53701_/B sky130_fd_sc_hd__buf_1
+XFILLER_340_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_26602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_349_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58215_ _58215_/A _58215_/B _58215_/C VGND VGND VPWR VPWR _58216_/B sky130_fd_sc_hd__nor3_2
+XPHY_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_53_0_u_core.clock clkbuf_8_53_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_53_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_281_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43441_ _43385_/X VGND VGND VPWR VPWR _43456_/B sky130_fd_sc_hd__buf_1
+XFILLER_403_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55427_ _55447_/A _55426_/Y VGND VGND VPWR VPWR _55427_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_164_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74261_ _74242_/X VGND VGND VPWR VPWR _74274_/A sky130_fd_sc_hd__buf_1
+XFILLER_305_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40653_ _40638_/X VGND VGND VPWR VPWR _40653_/X sky130_fd_sc_hd__buf_1
+XPHY_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52639_ _52788_/A VGND VGND VPWR VPWR _52753_/A sky130_fd_sc_hd__buf_1
+XFILLER_358_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59195_ _59416_/A VGND VGND VPWR VPWR _59202_/A sky130_fd_sc_hd__buf_1
+XFILLER_160_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71473_ _71301_/X _71446_/X _71309_/X _42614_/B VGND VGND VPWR VPWR _71474_/A sky130_fd_sc_hd__o22a_4
+XFILLER_420_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_420_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_197_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76000_ _75624_/A VGND VGND VPWR VPWR _76001_/A sky130_fd_sc_hd__buf_1
+XPHY_16123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_477_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73212_ _73208_/A _73226_/B _66112_/A VGND VGND VPWR VPWR _73215_/A sky130_fd_sc_hd__nand3_2
+XPHY_26657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_160_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46160_ _46160_/A _46159_/X VGND VGND VPWR VPWR _46160_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_224_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58146_ _57818_/A _58146_/B VGND VGND VPWR VPWR _58147_/C sky130_fd_sc_hd__nor2_2
+XFILLER_185_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70424_ _70424_/A _70381_/B VGND VGND VPWR VPWR _70426_/B sky130_fd_sc_hd__nor2_2
+XFILLER_40_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43372_ _42892_/A _43476_/B VGND VGND VPWR VPWR _43375_/A sky130_fd_sc_hd__or2_2
+XFILLER_223_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55358_ _55347_/A _55358_/B VGND VGND VPWR VPWR _55358_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_639_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74192_ _74192_/A _74192_/B VGND VGND VPWR VPWR _74192_/X sky130_fd_sc_hd__or2_2
+XPHY_16145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_546_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40584_ _40577_/A _40581_/B _67000_/A VGND VGND VPWR VPWR _40585_/B sky130_fd_sc_hd__nand3_2
+XFILLER_12_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_670_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_516_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45111_ _45022_/X _45111_/B _45110_/Y VGND VGND VPWR VPWR _45453_/B sky130_fd_sc_hd__or3_2
+XPHY_25956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_723_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54309_ _54252_/X _54284_/A VGND VGND VPWR VPWR _54310_/B sky130_fd_sc_hd__or2_2
+XFILLER_279_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42323_ _42373_/A _46533_/A VGND VGND VPWR VPWR _42325_/B sky130_fd_sc_hd__nor2_2
+XFILLER_455_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73143_ _73123_/A _73139_/B _81256_/Q VGND VGND VPWR VPWR _73143_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_574_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_635_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_392_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_617_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46091_ _46091_/A VGND VGND VPWR VPWR _46193_/C sky130_fd_sc_hd__inv_8
+X_70355_ _70355_/A _70381_/B VGND VGND VPWR VPWR _70357_/B sky130_fd_sc_hd__nor2_2
+XFILLER_554_2841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58077_ _67961_/A _57828_/X VGND VGND VPWR VPWR _58078_/C sky130_fd_sc_hd__nor2_2
+XFILLER_275_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_51_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55289_ _55626_/A _55613_/B VGND VGND VPWR VPWR _55289_/X sky130_fd_sc_hd__or2_2
+XPHY_14710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_724_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45042_ _45022_/X _45028_/Y _45042_/C VGND VGND VPWR VPWR _45443_/B sky130_fd_sc_hd__or3_2
+XPHY_32100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57028_ _56332_/A VGND VGND VPWR VPWR _57029_/B sky130_fd_sc_hd__buf_1
+XFILLER_338_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_689_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42254_ _43045_/A VGND VGND VPWR VPWR _45265_/A sky130_fd_sc_hd__buf_1
+XFILLER_84_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73074_ _73074_/A _73079_/B _81271_/Q VGND VGND VPWR VPWR _73074_/Y sky130_fd_sc_hd__nand3_2
+X_77951_ _77950_/CLK _50901_/Y VGND VGND VPWR VPWR _61995_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_172_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_32_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70286_ _70007_/C VGND VGND VPWR VPWR _70299_/A sky130_fd_sc_hd__buf_1
+XFILLER_360_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_488_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_67_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41205_ _41065_/X _41205_/B VGND VGND VPWR VPWR _41205_/X sky130_fd_sc_hd__or2_2
+XPHY_32144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76902_ _77379_/CLK _76902_/D VGND VGND VPWR VPWR _59325_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_338_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72025_ _72036_/C _72025_/B VGND VGND VPWR VPWR _72026_/C sky130_fd_sc_hd__nor2_2
+XPHY_14787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49850_ _78230_/Q _49847_/B VGND VGND VPWR VPWR _49850_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_488_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_101_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42185_ _42050_/C _42185_/B VGND VGND VPWR VPWR _42186_/B sky130_fd_sc_hd__and2_2
+XFILLER_687_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77882_ _78384_/CLK _51153_/Y VGND VGND VPWR VPWR _62562_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_136_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48801_ _48803_/A VGND VGND VPWR VPWR _48802_/B sky130_fd_sc_hd__buf_1
+XFILLER_668_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79621_ _79686_/CLK _79621_/D VGND VGND VPWR VPWR _41431_/C sky130_fd_sc_hd__dfxtp_4
+X_41136_ _41144_/A _41136_/B _64950_/A VGND VGND VPWR VPWR _41136_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_119_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76833_ _76843_/CLK _76833_/D VGND VGND VPWR VPWR _41670_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_351_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_234_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49781_ _49696_/A _49787_/B VGND VGND VPWR VPWR _49782_/B sky130_fd_sc_hd__or2_2
+XPHY_30720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46993_ _46969_/A _46993_/B VGND VGND VPWR VPWR _78923_/D sky130_fd_sc_hd__nor2_2
+XFILLER_234_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58979_ _58979_/A _58979_/B _58978_/Y VGND VGND VPWR VPWR _58980_/C sky130_fd_sc_hd__nor3_2
+XFILLER_453_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_212_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_629_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48732_ _48228_/A _48578_/B VGND VGND VPWR VPWR _54489_/A sky130_fd_sc_hd__or2_2
+XFILLER_312_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79552_ _79557_/CLK _79552_/D _41853_/X VGND VGND VPWR VPWR _46628_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_84_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45944_ _45812_/A VGND VGND VPWR VPWR _45959_/B sky130_fd_sc_hd__buf_1
+XFILLER_152_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41067_ _41065_/X _41066_/X VGND VGND VPWR VPWR _41067_/X sky130_fd_sc_hd__or2_2
+XFILLER_583_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76764_ _77365_/CLK _55831_/Y VGND VGND VPWR VPWR _76764_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_9383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61990_ _61830_/X _61987_/Y _61989_/Y VGND VGND VPWR VPWR _61990_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_80_1528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73976_ _72963_/X _73985_/B VGND VGND VPWR VPWR _73976_/X sky130_fd_sc_hd__or2_2
+XFILLER_513_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_43_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_33_0_u_core.clock clkbuf_8_16_0_u_core.clock/X VGND VGND VPWR VPWR _78890_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_78503_ _78514_/CLK _78503_/D VGND VGND VPWR VPWR _78503_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_23_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_617_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40018_ _39963_/A VGND VGND VPWR VPWR _40018_/X sky130_fd_sc_hd__buf_1
+XPHY_8671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75715_ _75838_/A _75712_/B VGND VGND VPWR VPWR _75719_/A sky130_fd_sc_hd__or2_2
+XFILLER_456_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_681_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_231_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60941_ _60311_/A _60939_/Y _60941_/C VGND VGND VPWR VPWR _60941_/Y sky130_fd_sc_hd__nor3_2
+X_72927_ _74764_/A VGND VGND VPWR VPWR _73947_/A sky130_fd_sc_hd__buf_1
+XFILLER_114_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48663_ _48721_/A _48657_/B VGND VGND VPWR VPWR _48663_/X sky130_fd_sc_hd__or2_2
+XPHY_20285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79483_ _79470_/CLK _79483_/D VGND VGND VPWR VPWR _42473_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_67_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45875_ _45875_/A _45912_/B VGND VGND VPWR VPWR _45878_/B sky130_fd_sc_hd__nor2_2
+XFILLER_171_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76695_ _76700_/CLK _76695_/D VGND VGND VPWR VPWR _70578_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_266_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_645_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_311_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_362_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47614_ _63649_/A _47607_/B VGND VGND VPWR VPWR _47614_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_521_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78434_ _77584_/CLK _49055_/Y VGND VGND VPWR VPWR _63683_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_7981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44826_ _44773_/X _44797_/B VGND VGND VPWR VPWR _44826_/X sky130_fd_sc_hd__and2_2
+XFILLER_466_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63660_ _59176_/A _63656_/Y _63659_/Y VGND VGND VPWR VPWR _63660_/Y sky130_fd_sc_hd__nor3_2
+X_75646_ _76007_/A _75650_/B VGND VGND VPWR VPWR _75649_/A sky130_fd_sc_hd__or2_2
+XFILLER_624_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_366_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60872_ _60247_/A _60870_/Y _60871_/Y VGND VGND VPWR VPWR _60873_/C sky130_fd_sc_hd__nor3_2
+XFILLER_40_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48594_ _48594_/A _48593_/X VGND VGND VPWR VPWR _78553_/D sky130_fd_sc_hd__nand2_2
+XFILLER_507_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_72858_ _72846_/A VGND VGND VPWR VPWR _72952_/A sky130_fd_sc_hd__buf_1
+XFILLER_616_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_583_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_706_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62611_ _59306_/A VGND VGND VPWR VPWR _63240_/A sky130_fd_sc_hd__buf_1
+XFILLER_613_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47545_ _47608_/A _47545_/B VGND VGND VPWR VPWR _47545_/X sky130_fd_sc_hd__or2_2
+XFILLER_384_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71809_ _71544_/X _71809_/B VGND VGND VPWR VPWR _71810_/D sky130_fd_sc_hd__nor2_2
+XFILLER_663_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78365_ _78523_/CLK _78365_/D VGND VGND VPWR VPWR _49309_/A sky130_fd_sc_hd__dfxtp_4
+X_44757_ _44382_/A _44757_/B VGND VGND VPWR VPWR _44757_/X sky130_fd_sc_hd__and2_2
+XPHY_29250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63591_ _59314_/A _63589_/Y _63591_/C VGND VGND VPWR VPWR _63591_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_1_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75577_ _75560_/A _75577_/B _80656_/Q VGND VGND VPWR VPWR _75577_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_466_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_444_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_182_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_3077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_327_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41969_ _41969_/A _41969_/B VGND VGND VPWR VPWR _41969_/X sky130_fd_sc_hd__or2_2
+XFILLER_520_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72789_ _72786_/X _72788_/Y VGND VGND VPWR VPWR _81338_/D sky130_fd_sc_hd__nand2_2
+XPHY_29261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_542_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_698_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65330_ _64517_/A VGND VGND VPWR VPWR _66163_/A sky130_fd_sc_hd__buf_1
+XFILLER_286_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77316_ _77295_/CLK _77316_/D VGND VGND VPWR VPWR _53309_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_29283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62542_ _61916_/A _62542_/B _62541_/Y VGND VGND VPWR VPWR _62542_/Y sky130_fd_sc_hd__nor3_2
+X_43708_ _79276_/Q VGND VGND VPWR VPWR _43708_/Y sky130_fd_sc_hd__inv_8
+X_74528_ _74527_/Y _74528_/B VGND VGND VPWR VPWR _74529_/B sky130_fd_sc_hd__or2_2
+XFILLER_342_2939 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_2930 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47476_ _47474_/Y _47475_/X VGND VGND VPWR VPWR _78832_/D sky130_fd_sc_hd__nand2_2
+XFILLER_411_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78296_ _78301_/CLK _78296_/D VGND VGND VPWR VPWR _62266_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_520_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44688_ _79202_/Q _44722_/C VGND VGND VPWR VPWR _44716_/C sky130_fd_sc_hd__nand2_2
+XFILLER_612_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_503_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49215_ _49213_/Y _49214_/X VGND VGND VPWR VPWR _78392_/D sky130_fd_sc_hd__nand2_2
+XFILLER_95_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46427_ _46427_/A _46426_/Y VGND VGND VPWR VPWR _46427_/X sky130_fd_sc_hd__and2_2
+XFILLER_602_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65261_ _81044_/Q _65074_/B VGND VGND VPWR VPWR _65263_/B sky130_fd_sc_hd__nor2_2
+XFILLER_657_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77247_ _77241_/CLK _77247_/D VGND VGND VPWR VPWR _77247_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_108_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43639_ _71867_/A _43650_/B VGND VGND VPWR VPWR _43642_/B sky130_fd_sc_hd__nor2_2
+XFILLER_147_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62473_ _62473_/A _61850_/X VGND VGND VPWR VPWR _62473_/Y sky130_fd_sc_hd__nor2_2
+X_74459_ _74456_/X _74458_/X VGND VGND VPWR VPWR _74459_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_76_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_343_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_241_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_249_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67000_ _67000_/A _67327_/B VGND VGND VPWR VPWR _67000_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_241_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64212_ _65828_/A VGND VGND VPWR VPWR _64213_/B sky130_fd_sc_hd__buf_1
+XFILLER_160_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_403_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61424_ _61109_/A _61420_/Y _61424_/C VGND VGND VPWR VPWR _61432_/B sky130_fd_sc_hd__nor3_2
+XFILLER_305_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49146_ _49082_/A _49164_/B VGND VGND VPWR VPWR _49147_/B sky130_fd_sc_hd__or2_2
+XFILLER_657_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_304_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65192_ _39085_/C _64410_/X VGND VGND VPWR VPWR _65192_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_301_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46358_ _45290_/A _46358_/B VGND VGND VPWR VPWR _46359_/C sky130_fd_sc_hd__nor2_2
+X_77178_ _77176_/CLK _53839_/Y VGND VGND VPWR VPWR _77178_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_477_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_3030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_301_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_501_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_89_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45309_ _46634_/A _45309_/B VGND VGND VPWR VPWR _45309_/X sky130_fd_sc_hd__or2_2
+XFILLER_176_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76129_ _76147_/A _76142_/B _67723_/A VGND VGND VPWR VPWR _76130_/B sky130_fd_sc_hd__nand3_2
+X_64143_ _64143_/A _64862_/B VGND VGND VPWR VPWR _64146_/B sky130_fd_sc_hd__nor2_2
+XFILLER_379_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61355_ _60888_/A _61355_/B VGND VGND VPWR VPWR _61356_/C sky130_fd_sc_hd__nor2_2
+X_49077_ _49074_/A VGND VGND VPWR VPWR _49082_/B sky130_fd_sc_hd__buf_1
+XFILLER_553_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46289_ _46292_/A _46289_/B _46289_/C VGND VGND VPWR VPWR _46289_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_129_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_537_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_653_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_616_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_356_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48028_ _48028_/A _48037_/B VGND VGND VPWR VPWR _48028_/X sky130_fd_sc_hd__or2_2
+X_60306_ _59973_/A _60304_/Y _60306_/C VGND VGND VPWR VPWR _60307_/C sky130_fd_sc_hd__nor3_2
+XFILLER_102_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68951_ _68948_/X _68951_/B _68950_/Y VGND VGND VPWR VPWR _68952_/C sky130_fd_sc_hd__nor3_2
+X_64074_ _64098_/A VGND VGND VPWR VPWR _64075_/A sky130_fd_sc_hd__buf_1
+XFILLER_373_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61286_ _61286_/A _60972_/X VGND VGND VPWR VPWR _61286_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_391_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_297_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_50_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67902_ _67902_/A _67742_/B VGND VGND VPWR VPWR _67902_/Y sky130_fd_sc_hd__nor2_2
+X_63025_ _63025_/A _62397_/B VGND VGND VPWR VPWR _63027_/B sky130_fd_sc_hd__nor2_2
+XFILLER_345_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_711_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60237_ _60237_/A _60388_/B VGND VGND VPWR VPWR _60237_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_317_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68882_ _68557_/A _68880_/Y _68882_/C VGND VGND VPWR VPWR _68882_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_334_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_239_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_360_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_158_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_710_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39801_ _39799_/X _39819_/B VGND VGND VPWR VPWR _39803_/A sky130_fd_sc_hd__or2_2
+XFILLER_369_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67833_ _80131_/Q _67335_/B VGND VGND VPWR VPWR _67835_/B sky130_fd_sc_hd__nor2_2
+X_79819_ _79788_/CLK _79819_/D VGND VGND VPWR VPWR _69113_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_695_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60168_ _59993_/A _60164_/Y _60167_/Y VGND VGND VPWR VPWR _60168_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_274_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_28_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49979_ _49979_/A _49986_/B VGND VGND VPWR VPWR _49982_/A sky130_fd_sc_hd__nand2_2
+XFILLER_360_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_351_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_569_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39732_ _69859_/A _38619_/B VGND VGND VPWR VPWR _39733_/A sky130_fd_sc_hd__or2_2
+XFILLER_44_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_217_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_193_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67764_ _67105_/A _67762_/Y _67763_/Y VGND VGND VPWR VPWR _67764_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_230_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64976_ _65505_/A _64968_/Y _64976_/C VGND VGND VPWR VPWR _64977_/B sky130_fd_sc_hd__nor3_2
+X_60099_ _60099_/A _60098_/Y VGND VGND VPWR VPWR _60100_/B sky130_fd_sc_hd__nor2_2
+X_52990_ _52990_/A _52993_/B VGND VGND VPWR VPWR _52992_/A sky130_fd_sc_hd__nand2_2
+XFILLER_334_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_414_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_350_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_488_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_449_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69503_ _64387_/A _69501_/Y _69502_/Y VGND VGND VPWR VPWR _69504_/C sky130_fd_sc_hd__nor3_2
+XFILLER_724_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66715_ _66715_/A _66715_/B _66714_/Y VGND VGND VPWR VPWR _66715_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_447_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51941_ _60513_/A _51935_/B VGND VGND VPWR VPWR _51943_/A sky130_fd_sc_hd__nand2_2
+X_39663_ _39663_/A _39668_/B _67662_/A VGND VGND VPWR VPWR _39663_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_386_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63927_ _63927_/A _59080_/B VGND VGND VPWR VPWR _63928_/C sky130_fd_sc_hd__nor2_2
+XFILLER_607_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_246_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67695_ _67690_/Y _67695_/B _67694_/Y VGND VGND VPWR VPWR _67695_/X sky130_fd_sc_hd__or3_2
+XFILLER_61_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_41_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_681_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38614_ _38659_/A VGND VGND VPWR VPWR _38637_/A sky130_fd_sc_hd__buf_1
+XFILLER_273_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_257_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_723_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69434_ _69434_/A _64480_/X VGND VGND VPWR VPWR _69434_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_462_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_684_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54660_ _59281_/A _54641_/X VGND VGND VPWR VPWR _54662_/A sky130_fd_sc_hd__nand2_2
+XFILLER_300_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66646_ _66982_/A _66638_/Y _66645_/Y VGND VGND VPWR VPWR _66647_/B sky130_fd_sc_hd__nor3_2
+XFILLER_269_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51872_ _51872_/A _51853_/X VGND VGND VPWR VPWR _51875_/A sky130_fd_sc_hd__nand2_2
+X_39594_ _39226_/A _39594_/B VGND VGND VPWR VPWR _39594_/X sky130_fd_sc_hd__or2_2
+XFILLER_427_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63858_ _63858_/A _63454_/X VGND VGND VPWR VPWR _63858_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_562_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_386_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_547_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53611_ _53611_/A _53608_/B VGND VGND VPWR VPWR _53613_/A sky130_fd_sc_hd__nand2_2
+XFILLER_57_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50823_ _50823_/A _50823_/B VGND VGND VPWR VPWR _50823_/X sky130_fd_sc_hd__or2_2
+XFILLER_84_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_697_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38545_ _38522_/A VGND VGND VPWR VPWR _38550_/A sky130_fd_sc_hd__buf_1
+X_62809_ _62809_/A _62966_/B VGND VGND VPWR VPWR _62809_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_650_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69365_ _69365_/A _69357_/Y _69365_/C VGND VGND VPWR VPWR _69365_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_148_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66577_ _73942_/C _66423_/X VGND VGND VPWR VPWR _66579_/B sky130_fd_sc_hd__nor2_2
+X_54591_ _54607_/B VGND VGND VPWR VPWR _54601_/B sky130_fd_sc_hd__buf_1
+XFILLER_282_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_113_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_588_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_509 _68896_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_482_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63789_ _78410_/Q _64051_/B VGND VGND VPWR VPWR _63790_/C sky130_fd_sc_hd__nor2_2
+XFILLER_642_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56330_ _57413_/A VGND VGND VPWR VPWR _58609_/A sky130_fd_sc_hd__buf_1
+X_68316_ _67810_/X _68306_/Y _68315_/Y VGND VGND VPWR VPWR _68316_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_658_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_263_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53542_ _59898_/A _53542_/B VGND VGND VPWR VPWR _53544_/A sky130_fd_sc_hd__nand2_2
+XFILLER_148_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65528_ _39711_/C _65849_/B VGND VGND VPWR VPWR _65531_/B sky130_fd_sc_hd__nor2_2
+XFILLER_387_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38476_ _38473_/X _38476_/B VGND VGND VPWR VPWR _38476_/Y sky130_fd_sc_hd__nand2_2
+X_50754_ _50724_/X _50754_/B VGND VGND VPWR VPWR _50754_/X sky130_fd_sc_hd__or2_2
+XFILLER_0_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69296_ _80781_/Q _69158_/B VGND VGND VPWR VPWR _69296_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_25_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_502_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_497_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_107_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_709_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_43_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_161_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56261_ _56261_/A _58835_/B VGND VGND VPWR VPWR _56262_/C sky130_fd_sc_hd__nor2_2
+XFILLER_81_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80525_ _80525_/CLK _76078_/Y VGND VGND VPWR VPWR _76077_/C sky130_fd_sc_hd__dfxtp_4
+X_68247_ _68247_/A _67592_/B VGND VGND VPWR VPWR _68247_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_80_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53473_ _53622_/A VGND VGND VPWR VPWR _53536_/A sky130_fd_sc_hd__buf_1
+XFILLER_55_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65459_ _65459_/A _65949_/B VGND VGND VPWR VPWR _65459_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_402_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_126_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50685_ _50685_/A _50685_/B VGND VGND VPWR VPWR _78008_/D sky130_fd_sc_hd__nand2_2
+XFILLER_541_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_240_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_557_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58000_ _58399_/A VGND VGND VPWR VPWR _58104_/A sky130_fd_sc_hd__buf_1
+XFILLER_558_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55212_ _69996_/A _55212_/B VGND VGND VPWR VPWR _55213_/C sky130_fd_sc_hd__nor2_2
+XFILLER_43_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_91_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_104_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52424_ _52422_/Y _52424_/B VGND VGND VPWR VPWR _77550_/D sky130_fd_sc_hd__nand2_2
+XFILLER_587_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80456_ _80375_/CLK _80456_/D VGND VGND VPWR VPWR _80456_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_573_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56192_ _56174_/A _56187_/Y _56192_/C VGND VGND VPWR VPWR wbs_dat_o[31] sky130_fd_sc_hd__nor3_2
+X_68178_ _68178_/A _67687_/X VGND VGND VPWR VPWR _68178_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_601_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_554_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_593_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_107_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_166_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55143_ _70285_/X _55107_/B VGND VGND VPWR VPWR _55144_/C sky130_fd_sc_hd__and2_2
+XFILLER_556_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_206_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67129_ _66464_/X _67127_/Y _67129_/C VGND VGND VPWR VPWR _67135_/B sky130_fd_sc_hd__nor3_2
+XFILLER_397_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52355_ _52320_/X _52364_/B VGND VGND VPWR VPWR _52356_/B sky130_fd_sc_hd__or2_2
+XFILLER_590_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80387_ _80421_/CLK _80387_/D VGND VGND VPWR VPWR _80387_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_593_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39028_ _39028_/A _39027_/Y VGND VGND VPWR VPWR _80227_/D sky130_fd_sc_hd__nand2_2
+X_51306_ _51306_/A _51305_/X VGND VGND VPWR VPWR _51306_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_339_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70140_ _70140_/A _70139_/Y VGND VGND VPWR VPWR _70140_/Y sky130_fd_sc_hd__nand2_2
+XPHY_14039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59951_ _59951_/A _59476_/B VGND VGND VPWR VPWR _59952_/C sky130_fd_sc_hd__nor2_2
+XPHY_23828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55074_ _55062_/B _55073_/X VGND VGND VPWR VPWR _55075_/B sky130_fd_sc_hd__and2_2
+XFILLER_194_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_571_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52286_ _61418_/A _52281_/X VGND VGND VPWR VPWR _52288_/A sky130_fd_sc_hd__nand2_2
+XFILLER_296_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_417_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_292_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_135_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54025_ _54025_/A _54025_/B VGND VGND VPWR VPWR _77127_/D sky130_fd_sc_hd__nand2_2
+X_58902_ _59137_/A VGND VGND VPWR VPWR _58903_/A sky130_fd_sc_hd__buf_1
+XFILLER_339_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_686_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_296_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_68_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_135_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_434_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51237_ _46245_/A _49363_/X _41756_/Y _49364_/X VGND VGND VPWR VPWR _51405_/A sky130_fd_sc_hd__o22a_4
+XPHY_12604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70071_ _70071_/A _70883_/A VGND VGND VPWR VPWR _70072_/A sky130_fd_sc_hd__or2_2
+XPHY_13349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59882_ _59882_/A VGND VGND VPWR VPWR _59882_/X sky130_fd_sc_hd__buf_1
+XFILLER_630_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_462_0_u_core.clock clkbuf_9_463_0_u_core.clock/A VGND VGND VPWR VPWR _81005_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_159_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_68_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_155_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81008_ _80259_/CLK _81008_/D VGND VGND VPWR VPWR _74148_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_175_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_454_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58833_ _58833_/A _58833_/B _58833_/C VGND VGND VPWR VPWR _58833_/Y sky130_fd_sc_hd__nor3_2
+XPHY_30016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51168_ _51168_/A _51167_/X VGND VGND VPWR VPWR _77877_/D sky130_fd_sc_hd__nand2_2
+XPHY_11914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_172_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_66_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50119_ _49821_/A VGND VGND VPWR VPWR _50120_/A sky130_fd_sc_hd__buf_1
+Xclkbuf_9_409_0_u_core.clock clkbuf_9_409_0_u_core.clock/A VGND VGND VPWR VPWR _81499_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_73830_ _73827_/X _73830_/B VGND VGND VPWR VPWR _73830_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_496_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_411_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_584_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58764_ _79629_/Q _58831_/B VGND VGND VPWR VPWR _58766_/B sky130_fd_sc_hd__nor2_2
+XPHY_11958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43990_ _43879_/A _43990_/B VGND VGND VPWR VPWR _43990_/Y sky130_fd_sc_hd__nor2_2
+XPHY_7200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55976_ _45008_/B _55987_/B VGND VGND VPWR VPWR _55977_/C sky130_fd_sc_hd__nor2_2
+X_51099_ _51011_/A _51108_/B VGND VGND VPWR VPWR _51100_/B sky130_fd_sc_hd__or2_2
+XPHY_11969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_235_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_608_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_2799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_49_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57715_ _57715_/A _57715_/B _57715_/C VGND VGND VPWR VPWR _57725_/A sky130_fd_sc_hd__nor3_2
+XFILLER_27_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42941_ _42959_/A VGND VGND VPWR VPWR _42949_/B sky130_fd_sc_hd__buf_1
+X_54927_ _54955_/A _54930_/B VGND VGND VPWR VPWR _54928_/B sky130_fd_sc_hd__or2_2
+X_73761_ _73748_/X VGND VGND VPWR VPWR _73762_/A sky130_fd_sc_hd__buf_1
+XFILLER_647_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58695_ _58695_/A _58694_/Y VGND VGND VPWR VPWR _58696_/B sky130_fd_sc_hd__nor2_2
+X_70973_ _70973_/A _72097_/A VGND VGND VPWR VPWR _70973_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_311_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_2108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75500_ _74741_/A VGND VGND VPWR VPWR _76007_/A sky130_fd_sc_hd__buf_1
+XFILLER_614_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72712_ _72712_/A VGND VGND VPWR VPWR _72820_/B sky130_fd_sc_hd__buf_1
+XFILLER_385_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_448_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45660_ _45660_/A _45659_/X VGND VGND VPWR VPWR _45660_/X sky130_fd_sc_hd__or2_4
+XFILLER_599_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57646_ _57796_/A _57644_/Y _57645_/Y VGND VGND VPWR VPWR _57646_/Y sky130_fd_sc_hd__nor3_2
+XPHY_39025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76480_ VGND VGND VPWR VPWR _76480_/HI io_out[2] sky130_fd_sc_hd__conb_1
+XFILLER_453_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42872_ _46228_/B _42871_/X VGND VGND VPWR VPWR _42874_/B sky130_fd_sc_hd__nor2_2
+XPHY_7288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54858_ _54942_/A _54873_/B VGND VGND VPWR VPWR _54858_/X sky130_fd_sc_hd__or2_2
+X_73692_ _73689_/A _73688_/X _66915_/A VGND VGND VPWR VPWR _73693_/B sky130_fd_sc_hd__nand3_2
+XFILLER_208_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_25_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_723_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_264_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_409_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_84_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_3074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_29_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44611_ _44612_/A _44589_/B VGND VGND VPWR VPWR _44611_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75431_ _75835_/A _75577_/B VGND VGND VPWR VPWR _75431_/X sky130_fd_sc_hd__or2_2
+XFILLER_565_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_63_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53809_ _53800_/A VGND VGND VPWR VPWR _53819_/B sky130_fd_sc_hd__buf_1
+XFILLER_57_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41823_ _41793_/X VGND VGND VPWR VPWR _41827_/A sky130_fd_sc_hd__buf_1
+XPHY_39069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72643_ _72656_/A _72632_/B _67428_/A VGND VGND VPWR VPWR _72644_/B sky130_fd_sc_hd__nand3_2
+XPHY_5842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_229_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_79_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45591_ _45599_/A _45591_/B _45590_/Y VGND VGND VPWR VPWR _45591_/Y sky130_fd_sc_hd__nor3_2
+XPHY_38335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57577_ _38805_/C _57495_/B VGND VGND VPWR VPWR _57578_/C sky130_fd_sc_hd__nor2_2
+XFILLER_406_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_699_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54789_ _60272_/A _54803_/B VGND VGND VPWR VPWR _54792_/A sky130_fd_sc_hd__nand2_2
+XFILLER_57_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_166_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_610_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47330_ _47330_/A _47330_/B VGND VGND VPWR VPWR _47330_/Y sky130_fd_sc_hd__nand2_2
+X_59316_ _60209_/A VGND VGND VPWR VPWR _59317_/A sky130_fd_sc_hd__buf_1
+XPHY_37623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78150_ _78708_/CLK _78150_/D VGND VGND VPWR VPWR _61929_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_406_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_406_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44542_ _44533_/B _44542_/B _44542_/C VGND VGND VPWR VPWR _44542_/Y sky130_fd_sc_hd__nor3_2
+XPHY_5886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56528_ _39092_/C _56768_/B VGND VGND VPWR VPWR _56532_/B sky130_fd_sc_hd__nor2_2
+XPHY_27100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75362_ _75241_/A _75366_/B VGND VGND VPWR VPWR _75362_/X sky130_fd_sc_hd__or2_2
+XFILLER_79_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_217_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41754_ _45080_/A _41754_/B VGND VGND VPWR VPWR _41754_/Y sky130_fd_sc_hd__nand2_2
+XPHY_37634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72574_ _72571_/A _72571_/B _64926_/A VGND VGND VPWR VPWR _72574_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_363_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_264_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_18_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_5_31_0_u_core.clock clkbuf_5_31_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_6_63_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_36911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77101_ _76907_/CLK _77101_/D VGND VGND VPWR VPWR _77101_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_324_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74313_ _74313_/A _74312_/Y VGND VGND VPWR VPWR _80966_/D sky130_fd_sc_hd__nand2_2
+XFILLER_109_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40705_ _40586_/A _40695_/B VGND VGND VPWR VPWR _40705_/X sky130_fd_sc_hd__or2_2
+XPHY_27144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47261_ _41696_/Y _47245_/B VGND VGND VPWR VPWR _47263_/B sky130_fd_sc_hd__nor2_2
+XFILLER_242_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_654_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59247_ _59441_/A _59247_/B _59247_/C VGND VGND VPWR VPWR _59271_/B sky130_fd_sc_hd__nor3_2
+X_71525_ _71208_/X _71524_/Y _71205_/X _70421_/Y VGND VGND VPWR VPWR _71526_/B sky130_fd_sc_hd__o22a_4
+XFILLER_160_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78081_ _78080_/CLK _78081_/D VGND VGND VPWR VPWR _62353_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56459_ _56538_/A _56459_/B VGND VGND VPWR VPWR _56459_/Y sky130_fd_sc_hd__nor2_2
+X_44473_ _44473_/A _44471_/Y _44473_/C VGND VGND VPWR VPWR _44474_/B sky130_fd_sc_hd__nor3_2
+X_75293_ _75291_/X _75293_/B VGND VGND VPWR VPWR _75293_/Y sky130_fd_sc_hd__nand2_2
+XPHY_27155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_595_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41685_ wbs_we_i VGND VGND VPWR VPWR _41685_/Y sky130_fd_sc_hd__inv_8
+XPHY_37689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_207_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49000_ _48998_/Y _49000_/B VGND VGND VPWR VPWR _49000_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_594_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_654_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_598_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46212_ _43011_/Y _46197_/X VGND VGND VPWR VPWR _46213_/B sky130_fd_sc_hd__nor2_2
+X_77032_ _77029_/CLK _54391_/Y VGND VGND VPWR VPWR _77032_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_359_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43424_ _43413_/A _43423_/X _57693_/A VGND VGND VPWR VPWR _43424_/Y sky130_fd_sc_hd__nand3_2
+X_74244_ _74243_/X _74235_/X _65928_/A VGND VGND VPWR VPWR _74245_/B sky130_fd_sc_hd__nand3_2
+XFILLER_422_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40636_ _40636_/A _40526_/B _79823_/Q VGND VGND VPWR VPWR _40636_/Y sky130_fd_sc_hd__nand3_2
+XPHY_27199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47192_ _46407_/B _47192_/B VGND VGND VPWR VPWR _47192_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_213_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_594_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59178_ _59178_/A _59178_/B VGND VGND VPWR VPWR _59179_/B sky130_fd_sc_hd__nor2_2
+XFILLER_400_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71456_ _71457_/A _71457_/B VGND VGND VPWR VPWR _71456_/X sky130_fd_sc_hd__and2_2
+XFILLER_160_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_340_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_537_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_199_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_717_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_575_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46143_ _43130_/Y _78954_/Q VGND VGND VPWR VPWR _46143_/X sky130_fd_sc_hd__or2_2
+X_58129_ _39413_/C _58201_/B VGND VGND VPWR VPWR _58129_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_298_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70407_ _70407_/A VGND VGND VPWR VPWR _56205_/A sky130_fd_sc_hd__inv_8
+XPHY_25753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_654_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43355_ _43355_/A _43354_/Y VGND VGND VPWR VPWR _43355_/Y sky130_fd_sc_hd__nand2_2
+XPHY_15230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74175_ _74175_/A _74175_/B VGND VGND VPWR VPWR _74175_/Y sky130_fd_sc_hd__nand2_2
+X_40567_ _40565_/X _40566_/Y VGND VGND VPWR VPWR _40567_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71387_ _70351_/X _71387_/B VGND VGND VPWR VPWR _71388_/B sky130_fd_sc_hd__nor2_2
+XFILLER_220_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_12_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_590_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42306_ _42303_/Y _42304_/Y _42305_/Y VGND VGND VPWR VPWR _42306_/Y sky130_fd_sc_hd__nor3_2
+X_61140_ _61452_/A _61131_/Y _61140_/C VGND VGND VPWR VPWR _61156_/A sky130_fd_sc_hd__nor3_2
+X_73126_ _73123_/Y _73126_/B VGND VGND VPWR VPWR _81260_/D sky130_fd_sc_hd__nand2_2
+XPHY_25797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70338_ _70314_/Y _70337_/Y VGND VGND VPWR VPWR _70339_/B sky130_fd_sc_hd__nor2_2
+X_46074_ _46074_/A _42443_/X VGND VGND VPWR VPWR _46074_/X sky130_fd_sc_hd__and2_2
+XFILLER_687_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_157_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43286_ _43281_/X _43286_/B _67942_/A VGND VGND VPWR VPWR _43286_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_366_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78983_ _79100_/CLK _58877_/Y _46683_/X VGND VGND VPWR VPWR _78983_/Q sky130_fd_sc_hd__dfrtp_4
+XPHY_14540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40498_ _40497_/X _40493_/B VGND VGND VPWR VPWR _40500_/A sky130_fd_sc_hd__or2_2
+XFILLER_144_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_652_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_392_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_103_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49902_ _49902_/A _49902_/B VGND VGND VPWR VPWR _78216_/D sky130_fd_sc_hd__nand2_2
+XFILLER_433_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45025_ _45113_/A VGND VGND VPWR VPWR _45025_/X sky130_fd_sc_hd__buf_1
+XFILLER_370_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42237_ _42263_/A _42231_/Y _42237_/C VGND VGND VPWR VPWR _79500_/D sky130_fd_sc_hd__nor3_2
+XFILLER_516_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_455_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61071_ _59481_/A VGND VGND VPWR VPWR _61071_/X sky130_fd_sc_hd__buf_1
+X_77934_ _77937_/CLK _50960_/Y VGND VGND VPWR VPWR _61851_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_177_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73057_ _73075_/A VGND VGND VPWR VPWR _73072_/B sky130_fd_sc_hd__buf_1
+XFILLER_172_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70269_ _70162_/X _70268_/X VGND VGND VPWR VPWR _70275_/A sky130_fd_sc_hd__nand2_2
+XFILLER_214_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_177_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_652_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60022_ _61597_/A VGND VGND VPWR VPWR _60027_/A sky130_fd_sc_hd__buf_1
+XFILLER_503_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72008_ _72005_/X _72007_/X VGND VGND VPWR VPWR _42795_/B sky130_fd_sc_hd__nand2_2
+XPHY_13872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49833_ _49833_/A _49832_/X VGND VGND VPWR VPWR _78236_/D sky130_fd_sc_hd__nand2_2
+XPHY_31240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42168_ _71044_/X _42176_/B VGND VGND VPWR VPWR _42168_/X sky130_fd_sc_hd__and2_2
+XPHY_13883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77865_ _77863_/CLK _77865_/D VGND VGND VPWR VPWR _62326_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_298_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_613_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79604_ _79635_/CLK _41495_/Y VGND VGND VPWR VPWR _65300_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_218_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41119_ _41117_/X _41118_/Y VGND VGND VPWR VPWR _41119_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_193_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64830_ _64374_/A _64826_/Y _64829_/Y VGND VGND VPWR VPWR _64830_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_190_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76816_ _79174_/CLK _55375_/Y VGND VGND VPWR VPWR _71192_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_692_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_668_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49764_ _53586_/A _49828_/B VGND VGND VPWR VPWR _49764_/X sky130_fd_sc_hd__or2_2
+XPHY_31295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_3206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46976_ _46967_/A _46976_/B _46976_/C VGND VGND VPWR VPWR _46976_/X sky130_fd_sc_hd__or3_2
+XFILLER_141_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42099_ _69688_/A _42099_/B VGND VGND VPWR VPWR _42099_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_618_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_6_11_0_u_core.clock ANTENNA_556/DIODE VGND VGND VPWR VPWR clkbuf_7_23_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_77796_ _77787_/CLK _51501_/Y VGND VGND VPWR VPWR _51498_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_411_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_84_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48715_ _48715_/A _48724_/B VGND VGND VPWR VPWR _48715_/X sky130_fd_sc_hd__or2_2
+XPHY_20060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79535_ _79511_/CLK _79535_/D VGND VGND VPWR VPWR _72064_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_214_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45927_ _42580_/B _45926_/X VGND VGND VPWR VPWR _45928_/C sky130_fd_sc_hd__nor2_2
+XPHY_30594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64761_ _64569_/X _64761_/B _64760_/Y VGND VGND VPWR VPWR _64761_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_239_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_449_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76747_ _78080_/CLK _76747_/D VGND VGND VPWR VPWR _76747_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_20071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49695_ _78272_/Q _49680_/X VGND VGND VPWR VPWR _49695_/Y sky130_fd_sc_hd__nand2_2
+X_61973_ _61665_/A _61971_/Y _61973_/C VGND VGND VPWR VPWR _61973_/Y sky130_fd_sc_hd__nor3_2
+X_73959_ _73959_/A _73973_/B VGND VGND VPWR VPWR _73959_/X sky130_fd_sc_hd__or2_2
+XFILLER_94_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66500_ _66500_/A _66500_/B _66499_/Y VGND VGND VPWR VPWR _66501_/C sky130_fd_sc_hd__nor3_2
+XFILLER_23_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_282_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63712_ _63712_/A _59312_/B VGND VGND VPWR VPWR _63712_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_184_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_681_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60924_ _60614_/A _60924_/B _60923_/Y VGND VGND VPWR VPWR _60925_/B sky130_fd_sc_hd__nor3_2
+XFILLER_114_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48646_ _48704_/A _54404_/A VGND VGND VPWR VPWR _48646_/X sky130_fd_sc_hd__or2_2
+X_67480_ _66657_/A VGND VGND VPWR VPWR _67649_/B sky130_fd_sc_hd__buf_1
+XFILLER_709_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79466_ _79470_/CLK _42680_/Y VGND VGND VPWR VPWR _79466_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_661_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45858_ _45848_/A _45855_/Y _45858_/C VGND VGND VPWR VPWR _79087_/D sky130_fd_sc_hd__nor3_2
+XFILLER_643_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64692_ _65021_/A _64692_/B _64692_/C VGND VGND VPWR VPWR _64693_/D sky130_fd_sc_hd__nor3_2
+XFILLER_583_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76678_ _76678_/CLK _76678_/D VGND VGND VPWR VPWR _76678_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_589_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66431_ _66103_/A _66431_/B _66430_/Y VGND VGND VPWR VPWR _66431_/Y sky130_fd_sc_hd__nor3_2
+X_78417_ _77915_/CLK _78417_/D VGND VGND VPWR VPWR _63567_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_130_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_632_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_270_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44809_ _44808_/A _44768_/X _44809_/C VGND VGND VPWR VPWR _44809_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_149_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75629_ _75647_/A VGND VGND VPWR VPWR _75644_/B sky130_fd_sc_hd__buf_1
+XFILLER_444_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_405_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63643_ _63643_/A _59213_/B VGND VGND VPWR VPWR _63643_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_642_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48577_ _48576_/X VGND VGND VPWR VPWR _48578_/B sky130_fd_sc_hd__buf_1
+X_60855_ _60855_/A _60855_/B _60855_/C VGND VGND VPWR VPWR _60865_/B sky130_fd_sc_hd__nor3_2
+XFILLER_48_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79397_ _79368_/CLK _79397_/D VGND VGND VPWR VPWR _43259_/C sky130_fd_sc_hd__dfxtp_4
+X_45789_ _45789_/A _42426_/Y VGND VGND VPWR VPWR _46033_/C sky130_fd_sc_hd__nor2_2
+XPHY_39570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_460 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_722_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38330_ _38330_/A _38329_/Y VGND VGND VPWR VPWR _80403_/D sky130_fd_sc_hd__nand2_2
+XFILLER_362_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69150_ _68524_/A _69148_/Y _69150_/C VGND VGND VPWR VPWR _69150_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_264_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_462_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47528_ _47850_/A VGND VGND VPWR VPWR _47624_/A sky130_fd_sc_hd__buf_1
+XFILLER_108_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66362_ _80282_/Q _66362_/B VGND VGND VPWR VPWR _66364_/B sky130_fd_sc_hd__nor2_2
+XFILLER_369_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78348_ _76729_/CLK _78348_/D VGND VGND VPWR VPWR _62900_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_251_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63574_ _63574_/A _63705_/B VGND VGND VPWR VPWR _63576_/B sky130_fd_sc_hd__nor2_2
+XFILLER_81_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_452_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60786_ _52241_/A _60322_/B VGND VGND VPWR VPWR _60786_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_659_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_561_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68101_ _67600_/A _68101_/B VGND VGND VPWR VPWR _68102_/C sky130_fd_sc_hd__nor2_2
+XFILLER_63_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_282_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65313_ _65313_/A _65313_/B _65312_/Y VGND VGND VPWR VPWR _65313_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_225_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_425_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38261_ _38261_/A _38260_/Y VGND VGND VPWR VPWR _38261_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_301_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62525_ _62060_/A _62525_/B _62524_/Y VGND VGND VPWR VPWR _62525_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_694_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69081_ _58640_/A _69081_/B VGND VGND VPWR VPWR _69081_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_62_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_52_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47459_ _47461_/A VGND VGND VPWR VPWR _47460_/B sky130_fd_sc_hd__buf_1
+X_66293_ _66293_/A _66293_/B _66292_/Y VGND VGND VPWR VPWR _66373_/B sky130_fd_sc_hd__nor3_2
+XFILLER_411_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78279_ _78277_/CLK _49670_/Y VGND VGND VPWR VPWR _78279_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_22_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_299_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_260_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_123_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68032_ _67208_/A VGND VGND VPWR VPWR _68187_/B sky130_fd_sc_hd__buf_1
+X_80310_ _80279_/CLK _80310_/D VGND VGND VPWR VPWR _80310_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_520_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65244_ _65582_/A _65244_/B _65244_/C VGND VGND VPWR VPWR _65255_/B sky130_fd_sc_hd__nor3_2
+XFILLER_503_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_207_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50470_ _62522_/A _50462_/X VGND VGND VPWR VPWR _50470_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_195_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62456_ _62292_/X _62454_/Y _62455_/Y VGND VGND VPWR VPWR _62460_/B sky130_fd_sc_hd__nor3_2
+XFILLER_50_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81290_ _79368_/CLK _73007_/Y VGND VGND VPWR VPWR _68914_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_280_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_618_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_91_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_188_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_590_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_635_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_631_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49129_ _63263_/A _49114_/B VGND VGND VPWR VPWR _49131_/A sky130_fd_sc_hd__nand2_2
+XFILLER_148_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61407_ _59012_/A VGND VGND VPWR VPWR _61416_/A sky130_fd_sc_hd__buf_1
+XFILLER_206_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80241_ _80238_/CLK _80241_/D VGND VGND VPWR VPWR _38972_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_160_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_149_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65175_ _64366_/X _65175_/B _65174_/Y VGND VGND VPWR VPWR _65175_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_717_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62387_ _78137_/Q _62387_/B VGND VGND VPWR VPWR _62390_/B sky130_fd_sc_hd__nor2_2
+XFILLER_309_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_715_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_707_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_258_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_451_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_136_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52140_ _52138_/Y _52140_/B VGND VGND VPWR VPWR _52140_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_635_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64126_ _80623_/Q _69148_/B VGND VGND VPWR VPWR _64129_/B sky130_fd_sc_hd__nor2_2
+XFILLER_30_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_160_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61338_ _61338_/A _61177_/B VGND VGND VPWR VPWR _61338_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_650_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80172_ _80178_/CLK _39264_/Y VGND VGND VPWR VPWR _80172_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69983_ _55177_/B VGND VGND VPWR VPWR _69985_/B sky130_fd_sc_hd__inv_8
+XFILLER_513_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_15_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_533_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_631_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_455_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_653_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_631_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52071_ _52071_/A _52067_/B VGND VGND VPWR VPWR _52073_/A sky130_fd_sc_hd__nand2_2
+XFILLER_426_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_375_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_532_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68934_ _68934_/A _68930_/Y _68934_/C VGND VGND VPWR VPWR _68934_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_191_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_209_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64057_ _64057_/A _59527_/B VGND VGND VPWR VPWR _64059_/B sky130_fd_sc_hd__nor2_2
+XFILLER_416_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61269_ _61269_/A _61111_/X VGND VGND VPWR VPWR _61271_/B sky130_fd_sc_hd__nor2_2
+XFILLER_541_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_289_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_336_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_473_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51022_ _51022_/A VGND VGND VPWR VPWR _51052_/A sky130_fd_sc_hd__buf_1
+XFILLER_232_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63008_ _63008_/A _63008_/B VGND VGND VPWR VPWR _63008_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_451_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_82_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_612_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_219_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_451_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_173_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68865_ _68865_/A _68360_/B VGND VGND VPWR VPWR _68865_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_334_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_568_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_667_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_360_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67816_ _67650_/A _67816_/B _67816_/C VGND VGND VPWR VPWR _67817_/C sky130_fd_sc_hd__nor3_2
+X_55830_ _49233_/A _55830_/B VGND VGND VPWR VPWR _55831_/B sky130_fd_sc_hd__or2_2
+XFILLER_232_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_321_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_67_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_695_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68796_ _75731_/C _68461_/X VGND VGND VPWR VPWR _68797_/C sky130_fd_sc_hd__nor2_2
+XFILLER_438_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_466_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_562_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39715_ _39715_/A _39715_/B VGND VGND VPWR VPWR _80052_/D sky130_fd_sc_hd__nand2_2
+XFILLER_648_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55761_ _59299_/A _55761_/B VGND VGND VPWR VPWR _55763_/A sky130_fd_sc_hd__nand2_2
+XFILLER_230_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67747_ _80899_/Q _67747_/B VGND VGND VPWR VPWR _67749_/B sky130_fd_sc_hd__nor2_2
+XFILLER_217_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52973_ _52970_/Y _52973_/B VGND VGND VPWR VPWR _77404_/D sky130_fd_sc_hd__nand2_2
+XFILLER_98_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64959_ _64959_/A VGND VGND VPWR VPWR _65505_/A sky130_fd_sc_hd__buf_1
+XFILLER_449_3096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_112_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_115_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_609_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_467_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_97_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_230_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57500_ _57349_/A _57482_/Y _57499_/Y VGND VGND VPWR VPWR _57500_/X sky130_fd_sc_hd__or3_2
+XFILLER_57_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54712_ _54703_/A VGND VGND VPWR VPWR _54722_/B sky130_fd_sc_hd__buf_1
+XFILLER_161_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51924_ _51924_/A _51923_/X VGND VGND VPWR VPWR _77683_/D sky130_fd_sc_hd__nand2_2
+XFILLER_464_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39646_ _39665_/A VGND VGND VPWR VPWR _39647_/B sky130_fd_sc_hd__buf_1
+XFILLER_80_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58480_ _80265_/Q _58311_/X VGND VGND VPWR VPWR _58481_/C sky130_fd_sc_hd__nor2_2
+XFILLER_407_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67678_ _67191_/A _67668_/Y _67678_/C VGND VGND VPWR VPWR _67679_/B sky130_fd_sc_hd__nor3_2
+XPHY_5105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55692_ _55710_/A _55692_/B VGND VGND VPWR VPWR _55692_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_347_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_41_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_285_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57431_ _57280_/A _57428_/Y _57430_/Y VGND VGND VPWR VPWR _57431_/Y sky130_fd_sc_hd__nor3_2
+X_69417_ _69417_/A _69281_/Y _69417_/C _69417_/D VGND VGND VPWR VPWR _76715_/D sky130_fd_sc_hd__or4_2
+XFILLER_45_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54643_ _54643_/A _54642_/X VGND VGND VPWR VPWR _76964_/D sky130_fd_sc_hd__nand2_2
+XFILLER_265_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_527_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66629_ _66294_/X _66621_/Y _66628_/Y VGND VGND VPWR VPWR _66629_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_462_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51855_ _51706_/A VGND VGND VPWR VPWR _51892_/A sky130_fd_sc_hd__buf_1
+X_39577_ _39572_/X _39573_/X _80089_/Q VGND VGND VPWR VPWR _39577_/Y sky130_fd_sc_hd__nand3_2
+XPHY_5149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_183_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_306 _47997_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_317 _47821_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_269_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38528_ _38526_/X _38528_/B VGND VGND VPWR VPWR _38528_/Y sky130_fd_sc_hd__nand2_2
+X_50806_ _50806_/A _50794_/B VGND VGND VPWR VPWR _50808_/A sky130_fd_sc_hd__nand2_2
+XANTENNA_328 _52065_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_57362_ _57198_/A _57362_/B _57361_/Y VGND VGND VPWR VPWR _57362_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_247_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69348_ _69348_/A _69347_/Y VGND VGND VPWR VPWR _69349_/B sky130_fd_sc_hd__nor2_2
+XPHY_3703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_252_0_u_core.clock clkbuf_9_253_0_u_core.clock/A VGND VGND VPWR VPWR _79824_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_4448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54574_ _54572_/Y _54573_/X VGND VGND VPWR VPWR _54574_/Y sky130_fd_sc_hd__nand2_2
+XPHY_18828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_677_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_339 _51145_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_51786_ _60806_/A _51789_/B VGND VGND VPWR VPWR _51788_/A sky130_fd_sc_hd__nand2_2
+XFILLER_427_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_198_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59101_ _62370_/A VGND VGND VPWR VPWR _59383_/A sky130_fd_sc_hd__buf_1
+XFILLER_404_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56313_ _56535_/A _56313_/B _56313_/C VGND VGND VPWR VPWR _56314_/C sky130_fd_sc_hd__nor3_2
+XPHY_3736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_603_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53525_ _53523_/Y _53524_/X VGND VGND VPWR VPWR _53525_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_282_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_122_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38459_ _38337_/A _38451_/B VGND VGND VPWR VPWR _38459_/X sky130_fd_sc_hd__or2_2
+X_50737_ _50737_/A _50732_/X VGND VGND VPWR VPWR _50739_/A sky130_fd_sc_hd__nand2_2
+XFILLER_198_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57293_ _57203_/X _57293_/B _57293_/C VGND VGND VPWR VPWR _57293_/Y sky130_fd_sc_hd__nor3_2
+X_69279_ _69279_/A _69142_/Y _69147_/X _69278_/Y VGND VGND VPWR VPWR _76714_/D sky130_fd_sc_hd__or4_2
+XPHY_3758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_74_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_599_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_478_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_576_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_70_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_402_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59032_ _64028_/A _59021_/Y _59032_/C VGND VGND VPWR VPWR _59032_/Y sky130_fd_sc_hd__nor3_2
+X_71310_ _71310_/A _71310_/B VGND VGND VPWR VPWR _71310_/X sky130_fd_sc_hd__or2_2
+XFILLER_243_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80508_ _80508_/CLK _76163_/Y VGND VGND VPWR VPWR _80508_/Q sky130_fd_sc_hd__dfxtp_4
+X_56244_ _56526_/A VGND VGND VPWR VPWR _56244_/X sky130_fd_sc_hd__buf_1
+XFILLER_478_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41470_ _41097_/X _41478_/B VGND VGND VPWR VPWR _41474_/A sky130_fd_sc_hd__or2_2
+X_53456_ _77279_/Q _53441_/B VGND VGND VPWR VPWR _53458_/A sky130_fd_sc_hd__nand2_2
+XFILLER_74_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72290_ _72677_/A _72295_/B VGND VGND VPWR VPWR _72290_/X sky130_fd_sc_hd__or2_2
+XFILLER_39_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50668_ _50667_/X VGND VGND VPWR VPWR _50668_/X sky130_fd_sc_hd__buf_1
+XFILLER_619_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81488_ _81509_/CLK _72044_/Y VGND VGND VPWR VPWR _56466_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_519_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_467_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_374_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40421_ _40418_/X _40421_/B VGND VGND VPWR VPWR _40421_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_298_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52407_ _52316_/X _52419_/B VGND VGND VPWR VPWR _52408_/B sky130_fd_sc_hd__or2_2
+XPHY_25049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71241_ _70871_/Y _71276_/A VGND VGND VPWR VPWR _71279_/B sky130_fd_sc_hd__nor2_2
+XFILLER_671_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56175_ _56169_/A _45118_/B VGND VGND VPWR VPWR _56180_/B sky130_fd_sc_hd__nor2_2
+X_80439_ _80420_/CLK _76435_/Y VGND VGND VPWR VPWR _80439_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_24315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53387_ _53387_/A _53387_/B VGND VGND VPWR VPWR _77299_/D sky130_fd_sc_hd__nand2_2
+XFILLER_120_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_474_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_368_0_u_core.clock clkbuf_9_369_0_u_core.clock/A VGND VGND VPWR VPWR _78699_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_50599_ _50655_/A _50602_/B VGND VGND VPWR VPWR _50600_/B sky130_fd_sc_hd__or2_2
+XFILLER_224_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_617_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_371_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43140_ _43140_/A VGND VGND VPWR VPWR _45188_/A sky130_fd_sc_hd__buf_1
+X_55126_ _55109_/X VGND VGND VPWR VPWR _55136_/B sky130_fd_sc_hd__inv_8
+XFILLER_371_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_202_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40352_ _40342_/A _40352_/B _65150_/A VGND VGND VPWR VPWR _40353_/B sky130_fd_sc_hd__nand3_2
+XFILLER_259_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52338_ _52338_/A _52338_/B VGND VGND VPWR VPWR _52338_/X sky130_fd_sc_hd__or2_2
+XPHY_24359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71172_ _71213_/A _71171_/X VGND VGND VPWR VPWR _71214_/B sky130_fd_sc_hd__and2_2
+XFILLER_354_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_120_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70123_ _70123_/A _70123_/B VGND VGND VPWR VPWR _70125_/B sky130_fd_sc_hd__nor2_2
+XPHY_23658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43071_ _43078_/B VGND VGND VPWR VPWR _46105_/B sky130_fd_sc_hd__inv_8
+XFILLER_194_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59934_ _61749_/A VGND VGND VPWR VPWR _59937_/A sky130_fd_sc_hd__buf_1
+X_55057_ _45755_/Y _46898_/B VGND VGND VPWR VPWR _55057_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_170_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40283_ _40290_/A _40271_/X _58235_/A VGND VGND VPWR VPWR _40284_/B sky130_fd_sc_hd__nand3_2
+XFILLER_181_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52269_ _60746_/A _52275_/B VGND VGND VPWR VPWR _52269_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_13_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75980_ _75998_/A VGND VGND VPWR VPWR _75992_/B sky130_fd_sc_hd__buf_1
+XPHY_23669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_352_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_688_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42022_ _41975_/A VGND VGND VPWR VPWR _42257_/A sky130_fd_sc_hd__buf_1
+XPHY_12423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54008_ _53917_/A _54008_/B VGND VGND VPWR VPWR _54009_/B sky130_fd_sc_hd__or2_2
+XFILLER_339_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_218_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74931_ _75091_/A VGND VGND VPWR VPWR _74931_/X sky130_fd_sc_hd__buf_1
+XFILLER_469_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70054_ _70054_/A VGND VGND VPWR VPWR _70054_/Y sky130_fd_sc_hd__inv_8
+XPHY_13179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59865_ _59113_/A VGND VGND VPWR VPWR _59866_/B sky130_fd_sc_hd__buf_1
+XFILLER_370_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_237_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_371_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_669_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_190_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46830_ _43518_/A VGND VGND VPWR VPWR _55047_/A sky130_fd_sc_hd__buf_1
+XPHY_12478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58816_ _80974_/Q _58816_/B VGND VGND VPWR VPWR _58817_/C sky130_fd_sc_hd__nor2_2
+X_77650_ _77705_/CLK _52049_/Y VGND VGND VPWR VPWR _77650_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_250_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_150_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74862_ _75223_/A _74866_/B VGND VGND VPWR VPWR _74865_/A sky130_fd_sc_hd__or2_2
+XFILLER_497_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59796_ _59796_/A VGND VGND VPWR VPWR _59964_/A sky130_fd_sc_hd__buf_1
+XFILLER_172_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_110_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_489_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_510_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76601_ VGND VGND VPWR VPWR _76601_/HI la_data_out[97] sky130_fd_sc_hd__conb_1
+XFILLER_485_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73813_ _73811_/X _73813_/B VGND VGND VPWR VPWR _81086_/D sky130_fd_sc_hd__nand2_2
+XFILLER_387_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_46_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46761_ _43075_/B _46765_/A VGND VGND VPWR VPWR _46762_/B sky130_fd_sc_hd__nor2_2
+XPHY_11788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58747_ _57145_/A _58730_/Y _58747_/C VGND VGND VPWR VPWR _58747_/X sky130_fd_sc_hd__or3_2
+XFILLER_725_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77581_ _77082_/CLK _52307_/Y VGND VGND VPWR VPWR _77581_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_643_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43973_ _43973_/A _43944_/B VGND VGND VPWR VPWR _43973_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_62_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55959_ _55959_/A _55959_/B VGND VGND VPWR VPWR _55960_/A sky130_fd_sc_hd__or2_2
+XFILLER_76_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74793_ _74921_/A _74779_/X VGND VGND VPWR VPWR _74797_/A sky130_fd_sc_hd__or2_2
+XPHY_11799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48500_ _48516_/B VGND VGND VPWR VPWR _48500_/X sky130_fd_sc_hd__buf_1
+XFILLER_673_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79320_ _78919_/CLK _79320_/D VGND VGND VPWR VPWR _71512_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_706_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45712_ _43529_/X VGND VGND VPWR VPWR _45795_/A sky130_fd_sc_hd__buf_1
+XFILLER_236_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76532_ VGND VGND VPWR VPWR _76532_/HI la_data_out[24] sky130_fd_sc_hd__conb_1
+XPHY_7063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_584_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42924_ _42920_/A _42938_/B _67969_/A VGND VGND VPWR VPWR _42925_/B sky130_fd_sc_hd__nand3_2
+XFILLER_7_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73744_ _73744_/A _73744_/B VGND VGND VPWR VPWR _73744_/X sky130_fd_sc_hd__or2_2
+XFILLER_482_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49480_ _49359_/X _49477_/B VGND VGND VPWR VPWR _49480_/X sky130_fd_sc_hd__or2_2
+XFILLER_346_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_77_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70956_ _70779_/X _70786_/X VGND VGND VPWR VPWR _70956_/Y sky130_fd_sc_hd__nor2_2
+X_46692_ _46692_/A VGND VGND VPWR VPWR _46692_/X sky130_fd_sc_hd__buf_1
+X_58678_ _58670_/Y _58678_/B VGND VGND VPWR VPWR _58679_/B sky130_fd_sc_hd__nor2_2
+XFILLER_292_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_660_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48431_ _48429_/Y _48430_/X VGND VGND VPWR VPWR _78595_/D sky130_fd_sc_hd__nand2_2
+XFILLER_64_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79251_ _79248_/CLK _79251_/D VGND VGND VPWR VPWR _44217_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_614_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45643_ _45607_/A VGND VGND VPWR VPWR _45649_/A sky130_fd_sc_hd__buf_1
+XPHY_38110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57629_ _56416_/A VGND VGND VPWR VPWR _57629_/X sky130_fd_sc_hd__buf_1
+X_76463_ VGND VGND VPWR VPWR _76463_/HI io_oeb[23] sky130_fd_sc_hd__conb_1
+XFILLER_365_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42855_ _42843_/A _42854_/X VGND VGND VPWR VPWR _42856_/C sky130_fd_sc_hd__and2_2
+X_73675_ _73675_/A _73674_/Y VGND VGND VPWR VPWR _81123_/D sky130_fd_sc_hd__nand2_2
+XFILLER_467_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_292_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70887_ _69923_/A _70066_/Y VGND VGND VPWR VPWR _70892_/C sky130_fd_sc_hd__nor2_2
+XFILLER_723_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_3068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78202_ _78202_/CLK _78202_/D VGND VGND VPWR VPWR _49952_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_445_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75414_ _75410_/X _75401_/B _64706_/A VGND VGND VPWR VPWR _75415_/B sky130_fd_sc_hd__nand3_2
+XFILLER_441_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_18_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41806_ _79079_/Q VGND VGND VPWR VPWR _41806_/Y sky130_fd_sc_hd__inv_8
+XPHY_38154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60640_ _52244_/A _60322_/B VGND VGND VPWR VPWR _60640_/Y sky130_fd_sc_hd__nor2_2
+X_48362_ _48420_/A _48336_/X VGND VGND VPWR VPWR _48363_/B sky130_fd_sc_hd__or2_2
+XPHY_5672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72626_ _72626_/A _72626_/B VGND VGND VPWR VPWR _72626_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_268_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79182_ _79183_/CLK _79182_/D VGND VGND VPWR VPWR _44655_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_483_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_63_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45574_ _42573_/A _45559_/X VGND VGND VPWR VPWR _45574_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76394_ _76391_/X _76393_/Y VGND VGND VPWR VPWR _76394_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_363_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42786_ _42756_/A _42786_/B VGND VGND VPWR VPWR _42786_/X sky130_fd_sc_hd__and2_2
+XFILLER_567_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_209_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_166_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_695_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47313_ _47313_/A VGND VGND VPWR VPWR _49233_/A sky130_fd_sc_hd__buf_1
+XFILLER_53_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78133_ _78149_/CLK _50209_/Y VGND VGND VPWR VPWR _78133_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_441_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44525_ _44525_/A VGND VGND VPWR VPWR _44542_/C sky130_fd_sc_hd__buf_1
+XFILLER_44_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75345_ _75363_/A VGND VGND VPWR VPWR _75360_/B sky130_fd_sc_hd__buf_1
+XFILLER_378_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_693_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41737_ _41753_/A VGND VGND VPWR VPWR _41737_/X sky130_fd_sc_hd__buf_1
+XPHY_37464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60571_ _60097_/A _60567_/Y _60571_/C VGND VGND VPWR VPWR _60571_/Y sky130_fd_sc_hd__nor3_2
+X_48293_ _48293_/A VGND VGND VPWR VPWR _48398_/A sky130_fd_sc_hd__buf_1
+XPHY_4982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72557_ _72550_/A _72550_/B _81399_/Q VGND VGND VPWR VPWR _72558_/B sky130_fd_sc_hd__nand3_2
+XFILLER_264_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_204_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_189_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62310_ _62310_/A _62310_/B _62310_/C VGND VGND VPWR VPWR _62314_/B sky130_fd_sc_hd__nor3_2
+XPHY_37497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47244_ wbs_adr_i[3] _47244_/B VGND VGND VPWR VPWR _47244_/X sky130_fd_sc_hd__and2_2
+X_71508_ _71537_/A _71537_/B _67456_/A VGND VGND VPWR VPWR _71509_/B sky130_fd_sc_hd__nand3_2
+XFILLER_57_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78064_ _78067_/CLK _78064_/D VGND VGND VPWR VPWR _62210_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_496_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44456_ _44344_/A _44456_/B VGND VGND VPWR VPWR _44461_/A sky130_fd_sc_hd__nor2_2
+XPHY_26240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63290_ _78591_/Q _63123_/X VGND VGND VPWR VPWR _63290_/Y sky130_fd_sc_hd__nor2_2
+X_75276_ _75274_/X _75276_/B VGND VGND VPWR VPWR _75276_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_220_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_70_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41668_ _41668_/A VGND VGND VPWR VPWR _55132_/A sky130_fd_sc_hd__inv_8
+XFILLER_709_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72488_ _72188_/A _72482_/B VGND VGND VPWR VPWR _72488_/X sky130_fd_sc_hd__or2_2
+XFILLER_359_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_365_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77015_ _76998_/CLK _77015_/D VGND VGND VPWR VPWR _77015_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43407_ _43407_/A _43406_/Y VGND VGND VPWR VPWR _79358_/D sky130_fd_sc_hd__nand2_2
+XFILLER_73_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62241_ _78128_/Q _62241_/B VGND VGND VPWR VPWR _62242_/C sky130_fd_sc_hd__nor2_2
+XFILLER_517_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74227_ _74172_/A VGND VGND VPWR VPWR _74227_/X sky130_fd_sc_hd__buf_1
+XFILLER_319_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40619_ _40619_/A _40615_/B VGND VGND VPWR VPWR _40619_/X sky130_fd_sc_hd__or2_2
+XFILLER_554_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71439_ _71439_/A _71108_/B VGND VGND VPWR VPWR _71439_/X sky130_fd_sc_hd__and2_2
+X_47175_ _47115_/B VGND VGND VPWR VPWR _47176_/B sky130_fd_sc_hd__inv_8
+XFILLER_277_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_591_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_724_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44387_ _44567_/A _44390_/C VGND VGND VPWR VPWR _44387_/Y sky130_fd_sc_hd__nor2_2
+XPHY_26295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_51_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41599_ _41597_/X _41598_/Y VGND VGND VPWR VPWR _79576_/D sky130_fd_sc_hd__nand2_2
+XFILLER_556_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_717_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_122_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46126_ _46116_/Y _46126_/B VGND VGND VPWR VPWR _46127_/B sky130_fd_sc_hd__nand2_2
+XFILLER_674_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43338_ _43335_/X _43337_/Y VGND VGND VPWR VPWR _79376_/D sky130_fd_sc_hd__nand2_2
+XFILLER_535_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62172_ _59810_/A VGND VGND VPWR VPWR _62172_/X sky130_fd_sc_hd__buf_1
+XPHY_15060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74158_ _74180_/A VGND VGND VPWR VPWR _74167_/B sky130_fd_sc_hd__buf_1
+XFILLER_470_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_392_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_199_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_201_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61123_ _60800_/A _61123_/B _61123_/C VGND VGND VPWR VPWR _61123_/Y sky130_fd_sc_hd__nor3_2
+XPHY_24882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73109_ _73265_/A _73109_/B VGND VGND VPWR VPWR _73110_/A sky130_fd_sc_hd__or2_2
+XFILLER_370_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_357_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46057_ _69831_/A _42553_/A VGND VGND VPWR VPWR _46057_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_550_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_392_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43269_ _43265_/X _43268_/Y VGND VGND VPWR VPWR _43269_/Y sky130_fd_sc_hd__nand2_2
+X_66980_ _66816_/A _66980_/B _66980_/C VGND VGND VPWR VPWR _66981_/C sky130_fd_sc_hd__nor3_2
+XFILLER_392_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74089_ _74089_/A VGND VGND VPWR VPWR _76164_/A sky130_fd_sc_hd__buf_1
+XFILLER_275_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78966_ _79497_/CLK _46755_/Y VGND VGND VPWR VPWR _46754_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_470_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_86_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_236_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45008_ _44939_/X _45008_/B VGND VGND VPWR VPWR _45008_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_413_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_99_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65931_ _65439_/A _65931_/B _65930_/Y VGND VGND VPWR VPWR _65932_/C sky130_fd_sc_hd__nor3_2
+X_61054_ _61054_/A _60738_/B VGND VGND VPWR VPWR _61056_/B sky130_fd_sc_hd__nor2_2
+XFILLER_294_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77917_ _78428_/CLK _51028_/Y VGND VGND VPWR VPWR _61702_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_68_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_531_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78897_ _78897_/CLK _47167_/Y VGND VGND VPWR VPWR _46369_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_236_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_218_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_449_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60005_ _60005_/A _60005_/B _60004_/Y VGND VGND VPWR VPWR _60005_/Y sky130_fd_sc_hd__nor3_2
+X_49816_ _62068_/A _49820_/B VGND VGND VPWR VPWR _49819_/A sky130_fd_sc_hd__nand2_2
+XFILLER_138_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68650_ _68641_/X _68645_/Y _68649_/Y VGND VGND VPWR VPWR _68650_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_468_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65862_ _65862_/A _65862_/B _65862_/C VGND VGND VPWR VPWR _65862_/Y sky130_fd_sc_hd__nor3_2
+X_77848_ _77854_/CLK _77848_/D VGND VGND VPWR VPWR _77848_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_330_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_330_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67601_ _67434_/A _67601_/B _67600_/Y VGND VGND VPWR VPWR _67682_/B sky130_fd_sc_hd__nor3_2
+XFILLER_476_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64813_ _64641_/X _64807_/Y _64813_/C VGND VGND VPWR VPWR _64813_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_331_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_80_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49747_ _49744_/Y _49746_/X VGND VGND VPWR VPWR _78258_/D sky130_fd_sc_hd__nand2_2
+XFILLER_214_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68581_ _81256_/Q _67920_/X VGND VGND VPWR VPWR _68581_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_429_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65793_ _57052_/A _65793_/B VGND VGND VPWR VPWR _65794_/C sky130_fd_sc_hd__nor2_2
+X_46959_ _46967_/A _46957_/Y _46959_/C VGND VGND VPWR VPWR _46960_/B sky130_fd_sc_hd__or3_2
+XFILLER_228_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_648_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77779_ _77799_/CLK _77779_/D VGND VGND VPWR VPWR _77779_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_171_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39500_ _39495_/X _39500_/B VGND VGND VPWR VPWR _80110_/D sky130_fd_sc_hd__nand2_2
+XFILLER_407_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_329_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_228_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_368_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67532_ _67532_/A _67212_/B VGND VGND VPWR VPWR _67532_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_484_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79518_ _79518_/CLK _79518_/D VGND VGND VPWR VPWR _42128_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_411_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64744_ _68194_/A VGND VGND VPWR VPWR _65074_/B sky130_fd_sc_hd__buf_1
+XFILLER_417_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49678_ _49678_/A _49678_/B VGND VGND VPWR VPWR _49678_/Y sky130_fd_sc_hd__nand2_2
+X_61956_ _59230_/A VGND VGND VPWR VPWR _61956_/X sky130_fd_sc_hd__buf_1
+XFILLER_673_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80790_ _80790_/CLK _75036_/Y VGND VGND VPWR VPWR _65571_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_266_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_606_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_633_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_77_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39431_ _39431_/A _39431_/B _80128_/Q VGND VGND VPWR VPWR _39431_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_427_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_548_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60907_ _62469_/A VGND VGND VPWR VPWR _60910_/A sky130_fd_sc_hd__buf_1
+XFILLER_227_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48629_ _48629_/A _48611_/B VGND VGND VPWR VPWR _48632_/A sky130_fd_sc_hd__nand2_2
+XFILLER_699_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67463_ _67463_/A _67306_/X VGND VGND VPWR VPWR _67463_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_184_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79449_ _79500_/CLK _79449_/D VGND VGND VPWR VPWR _79449_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_661_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_544_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64675_ _56439_/A _65182_/B VGND VGND VPWR VPWR _64678_/B sky130_fd_sc_hd__nor2_2
+XFILLER_247_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61887_ _61887_/A _61732_/B VGND VGND VPWR VPWR _61887_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_212_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_1_0_1_u_core.clock clkbuf_1_0_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_1_0_2_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_589_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69202_ _69202_/A _64211_/B VGND VGND VPWR VPWR _69202_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_184_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_432_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66414_ _66414_/A _66414_/B VGND VGND VPWR VPWR _66415_/B sky130_fd_sc_hd__nor2_2
+XFILLER_266_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51640_ _60694_/A _51643_/B VGND VGND VPWR VPWR _51640_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_632_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63626_ _78633_/Q _63022_/B VGND VGND VPWR VPWR _63626_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_407_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39362_ _39362_/A VGND VGND VPWR VPWR _39363_/A sky130_fd_sc_hd__buf_1
+XFILLER_642_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_208_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60838_ _60838_/A _60838_/B _60838_/C VGND VGND VPWR VPWR _60842_/B sky130_fd_sc_hd__nor3_2
+XFILLER_509_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67394_ _67235_/A _67394_/B _67393_/Y VGND VGND VPWR VPWR _67394_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_424_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_462_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_270_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_557_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_247_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_51_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38313_ _38277_/A VGND VGND VPWR VPWR _38314_/B sky130_fd_sc_hd__buf_1
+X_81411_ _81378_/CLK _81411_/D VGND VGND VPWR VPWR _67762_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_561_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69133_ _68669_/A _69133_/B _69132_/Y VGND VGND VPWR VPWR _69134_/C sky130_fd_sc_hd__nor3_2
+XFILLER_23_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66345_ _66345_/A _66345_/B VGND VGND VPWR VPWR _66347_/B sky130_fd_sc_hd__nor2_2
+XFILLER_225_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39293_ _39290_/X _39292_/Y VGND VGND VPWR VPWR _80165_/D sky130_fd_sc_hd__nand2_2
+X_51571_ _51720_/A VGND VGND VPWR VPWR _51571_/X sky130_fd_sc_hd__buf_1
+XPHY_2309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63557_ _63557_/A _63819_/B VGND VGND VPWR VPWR _63557_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_424_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60769_ _60766_/X _60767_/Y _60769_/C VGND VGND VPWR VPWR _60769_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_659_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_558_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_51_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_143_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53310_ _53310_/A VGND VGND VPWR VPWR _53617_/A sky130_fd_sc_hd__buf_1
+XFILLER_251_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38244_ _38227_/X _38241_/B _68880_/A VGND VGND VPWR VPWR _38244_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_357_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50522_ _50400_/X _50543_/B VGND VGND VPWR VPWR _50523_/B sky130_fd_sc_hd__or2_2
+X_62508_ _62489_/X _62500_/Y _62507_/Y VGND VGND VPWR VPWR _62508_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_694_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81342_ _81435_/CLK _72775_/Y VGND VGND VPWR VPWR _81342_/Q sky130_fd_sc_hd__dfxtp_4
+X_69064_ _69342_/A _69064_/B _69063_/Y VGND VGND VPWR VPWR _69068_/B sky130_fd_sc_hd__nor3_2
+XFILLER_260_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54290_ _54227_/X _54290_/B VGND VGND VPWR VPWR _54290_/X sky130_fd_sc_hd__or2_2
+XFILLER_295_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66276_ _68130_/A VGND VGND VPWR VPWR _66276_/X sky130_fd_sc_hd__buf_1
+XFILLER_601_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63488_ _78632_/Q _63022_/B VGND VGND VPWR VPWR _63488_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_401_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_577_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_672_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68015_ _68502_/A _68015_/B _68015_/C VGND VGND VPWR VPWR _68016_/B sky130_fd_sc_hd__nor3_2
+XFILLER_400_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65227_ _65227_/A _65886_/B VGND VGND VPWR VPWR _65227_/Y sky130_fd_sc_hd__nor2_2
+X_53241_ _53213_/A _53238_/B VGND VGND VPWR VPWR _53241_/X sky130_fd_sc_hd__or2_2
+XFILLER_659_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_66_0_u_core.clock clkbuf_7_67_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_66_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_121_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50453_ _50422_/X _50443_/X VGND VGND VPWR VPWR _50453_/X sky130_fd_sc_hd__or2_2
+X_62439_ _77897_/Q _62128_/B VGND VGND VPWR VPWR _62440_/C sky130_fd_sc_hd__nor2_2
+X_81273_ _81273_/CLK _81273_/D VGND VGND VPWR VPWR _66113_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_50_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_260_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_554_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_137_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80224_ _80315_/CLK _39039_/Y VGND VGND VPWR VPWR _80224_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_574_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53172_ _53178_/A _53210_/A VGND VGND VPWR VPWR _53172_/X sky130_fd_sc_hd__or2_2
+XFILLER_699_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65158_ _65505_/A _65148_/Y _65158_/C VGND VGND VPWR VPWR _65159_/B sky130_fd_sc_hd__nor3_2
+XFILLER_650_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_590_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50384_ _50382_/Y _50384_/B VGND VGND VPWR VPWR _78089_/D sky130_fd_sc_hd__nand2_2
+XFILLER_140_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_40_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_108_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_375_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_195_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_614_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_438_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52123_ _52125_/A VGND VGND VPWR VPWR _52138_/B sky130_fd_sc_hd__buf_1
+XFILLER_276_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_30_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64109_ _64108_/X VGND VGND VPWR VPWR _65207_/A sky130_fd_sc_hd__buf_1
+XFILLER_273_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_418_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_136_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80155_ _80123_/CLK _80155_/D VGND VGND VPWR VPWR _57329_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_293_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65089_ _68569_/A VGND VGND VPWR VPWR _65280_/A sky130_fd_sc_hd__buf_1
+XFILLER_380_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57980_ _57818_/A _57980_/B VGND VGND VPWR VPWR _57981_/C sky130_fd_sc_hd__nor2_2
+XFILLER_69_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69966_ _69966_/A VGND VGND VPWR VPWR _69966_/X sky130_fd_sc_hd__buf_1
+XFILLER_631_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_551_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_418_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52054_ _52054_/A _52047_/X VGND VGND VPWR VPWR _52054_/X sky130_fd_sc_hd__or2_2
+X_56931_ _58301_/A VGND VGND VPWR VPWR _57167_/B sky130_fd_sc_hd__buf_1
+X_68917_ _72486_/C _68917_/B VGND VGND VPWR VPWR _68919_/B sky130_fd_sc_hd__nor2_2
+XFILLER_102_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_258_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80086_ _80099_/CLK _39588_/Y VGND VGND VPWR VPWR _80086_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_254_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_28_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69897_ _69572_/A _55948_/A VGND VGND VPWR VPWR _69897_/Y sky130_fd_sc_hd__nor2_2
+XPHY_9938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_85_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_82_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51005_ _49499_/A VGND VGND VPWR VPWR _51007_/A sky130_fd_sc_hd__buf_1
+XFILLER_549_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_412_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59650_ _59650_/A _59325_/B VGND VGND VPWR VPWR _59650_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_219_1478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_683_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56862_ _65542_/A _56862_/B VGND VGND VPWR VPWR _56863_/C sky130_fd_sc_hd__nor2_2
+XFILLER_726_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68848_ _43770_/A _68514_/X VGND VGND VPWR VPWR _68851_/B sky130_fd_sc_hd__nor2_2
+Xclkbuf_8_151_0_u_core.clock clkbuf_7_75_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_303_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_10306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_388_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_648_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58601_ _58601_/A _58735_/B VGND VGND VPWR VPWR _58602_/C sky130_fd_sc_hd__nor2_2
+XFILLER_277_146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_321_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55813_ _55811_/Y _55813_/B VGND VGND VPWR VPWR _76769_/D sky130_fd_sc_hd__nand2_2
+XFILLER_448_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_412_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59581_ _64012_/A _59581_/B _59581_/C VGND VGND VPWR VPWR _59585_/B sky130_fd_sc_hd__nor3_2
+XFILLER_217_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_232_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_510_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56793_ _56785_/X _56793_/B _56792_/Y VGND VGND VPWR VPWR _56794_/B sky130_fd_sc_hd__nor3_2
+XFILLER_310_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_259_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_68779_ _65483_/X VGND VGND VPWR VPWR _69362_/B sky130_fd_sc_hd__buf_1
+XFILLER_408_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_429_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70810_ _70796_/X _70810_/B VGND VGND VPWR VPWR _72032_/A sky130_fd_sc_hd__nor2_2
+X_58532_ _68981_/A _58735_/B VGND VGND VPWR VPWR _58532_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_435_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55744_ _55744_/A _55743_/X VGND VGND VPWR VPWR _55744_/Y sky130_fd_sc_hd__nand2_2
+Xclkbuf_9_158_0_u_core.clock clkbuf_8_79_0_u_core.clock/X VGND VGND VPWR VPWR _79248_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_59_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_287_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40970_ _40608_/A _40984_/B VGND VGND VPWR VPWR _40973_/A sky130_fd_sc_hd__or2_2
+XFILLER_484_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52956_ _60920_/A _52941_/X VGND VGND VPWR VPWR _52956_/Y sky130_fd_sc_hd__nand2_2
+X_71790_ _71794_/B _71788_/Y _71727_/B _71789_/Y VGND VGND VPWR VPWR _71793_/B sky130_fd_sc_hd__o22a_4
+XFILLER_369_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80988_ _81025_/CLK _74230_/Y VGND VGND VPWR VPWR _66586_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_464_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_435_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_46_0_u_core.clock clkbuf_8_47_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_93_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_265_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_105_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51907_ _77687_/Q _51910_/B VGND VGND VPWR VPWR _51909_/A sky130_fd_sc_hd__nand2_2
+X_39629_ _39629_/A VGND VGND VPWR VPWR _39633_/A sky130_fd_sc_hd__buf_1
+XFILLER_382_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58463_ _58463_/A VGND VGND VPWR VPWR _58464_/B sky130_fd_sc_hd__buf_1
+XFILLER_423_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70741_ _70740_/X VGND VGND VPWR VPWR _71844_/A sky130_fd_sc_hd__buf_1
+XFILLER_463_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_677_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_128_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55675_ io_out[26] _55711_/B VGND VGND VPWR VPWR _55675_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_625_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_480_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52887_ _52887_/A _52899_/B VGND VGND VPWR VPWR _52888_/B sky130_fd_sc_hd__or2_2
+XPHY_29849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_103 _75827_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_273_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57414_ _80316_/Q _57264_/X VGND VGND VPWR VPWR _57414_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_76_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54626_ _54655_/A _54623_/B VGND VGND VPWR VPWR _54627_/B sky130_fd_sc_hd__or2_2
+XFILLER_347_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42640_ _45596_/A VGND VGND VPWR VPWR _67030_/A sky130_fd_sc_hd__inv_8
+XFILLER_508_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73460_ _75017_/A _73467_/B VGND VGND VPWR VPWR _73461_/B sky130_fd_sc_hd__or2_2
+XFILLER_284_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_114 _70530_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_480_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51838_ _51809_/A _51847_/B VGND VGND VPWR VPWR _51839_/B sky130_fd_sc_hd__or2_2
+XFILLER_328_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58394_ _58394_/A _58236_/X VGND VGND VPWR VPWR _58395_/C sky130_fd_sc_hd__nor2_2
+XPHY_19359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70672_ _70664_/X _70670_/X VGND VGND VPWR VPWR _70672_/X sky130_fd_sc_hd__and2_2
+XFILLER_596_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_125 _74689_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_701_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_136 _74069_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_76_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_147 _75515_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_187_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72411_ _72411_/A _72410_/Y VGND VGND VPWR VPWR _81438_/D sky130_fd_sc_hd__nand2_2
+XFILLER_423_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_328_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_718_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57345_ _57345_/A _57343_/Y _57345_/C VGND VGND VPWR VPWR _57346_/C sky130_fd_sc_hd__nor3_2
+XANTENNA_158 _75536_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_39_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_163_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_208_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42571_ _42606_/A _42571_/B _42570_/Y VGND VGND VPWR VPWR _42571_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_521_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54557_ _54642_/A _54572_/B VGND VGND VPWR VPWR _54558_/B sky130_fd_sc_hd__or2_2
+XFILLER_42_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73391_ _73387_/A _73387_/B _73391_/C VGND VGND VPWR VPWR _73393_/A sky130_fd_sc_hd__nand3_2
+XFILLER_578_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_169 _72022_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51769_ _61587_/A _51780_/B VGND VGND VPWR VPWR _51772_/A sky130_fd_sc_hd__nand2_2
+XPHY_17924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_121_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_35_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44310_ _44033_/A _44132_/A VGND VGND VPWR VPWR _44310_/Y sky130_fd_sc_hd__nor2_2
+XPHY_3566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_230_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_360_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_726_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75130_ _75111_/X VGND VGND VPWR VPWR _75131_/A sky130_fd_sc_hd__buf_1
+XFILLER_603_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_348_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41522_ _41013_/A _41514_/B VGND VGND VPWR VPWR _41524_/A sky130_fd_sc_hd__or2_2
+XPHY_35314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53508_ _53536_/A _53523_/B VGND VGND VPWR VPWR _53509_/B sky130_fd_sc_hd__or2_2
+XFILLER_399_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72342_ _74662_/C VGND VGND VPWR VPWR _72343_/B sky130_fd_sc_hd__inv_8
+XFILLER_278_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57276_ _57027_/A _57274_/Y _57276_/C VGND VGND VPWR VPWR _57281_/B sky130_fd_sc_hd__nor3_2
+XFILLER_395_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45290_ _45290_/A _41964_/A VGND VGND VPWR VPWR _45290_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54488_ _54488_/A VGND VGND VPWR VPWR _54517_/B sky130_fd_sc_hd__buf_1
+XFILLER_655_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_70_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_243_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_636_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59015_ _58946_/X VGND VGND VPWR VPWR _60933_/A sky130_fd_sc_hd__buf_1
+XFILLER_518_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44241_ _65874_/A _44258_/B _44245_/B VGND VGND VPWR VPWR _44242_/B sky130_fd_sc_hd__and3_2
+XPHY_2876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56227_ _56342_/A VGND VGND VPWR VPWR _56228_/A sky130_fd_sc_hd__buf_1
+XFILLER_329_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75061_ _75083_/A VGND VGND VPWR VPWR _75070_/B sky130_fd_sc_hd__buf_1
+XFILLER_536_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41453_ _41506_/A VGND VGND VPWR VPWR _41453_/X sky130_fd_sc_hd__buf_1
+XPHY_34624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53439_ _60385_/A _53439_/B VGND VGND VPWR VPWR _53442_/A sky130_fd_sc_hd__nand2_2
+XFILLER_161_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72273_ _72666_/A _72279_/B VGND VGND VPWR VPWR _72273_/X sky130_fd_sc_hd__or2_2
+XPHY_24101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_347_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_655_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74012_ _74176_/A _74025_/B VGND VGND VPWR VPWR _74016_/A sky130_fd_sc_hd__or2_2
+XFILLER_319_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40404_ _39137_/A VGND VGND VPWR VPWR _40405_/A sky130_fd_sc_hd__buf_1
+XFILLER_155_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71224_ _71224_/A VGND VGND VPWR VPWR _71224_/X sky130_fd_sc_hd__buf_1
+XFILLER_174_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44172_ _73181_/A _44224_/B VGND VGND VPWR VPWR _44175_/A sky130_fd_sc_hd__nor2_2
+XFILLER_13_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56158_ _45213_/A _56157_/X VGND VGND VPWR VPWR _56159_/B sky130_fd_sc_hd__nor2_2
+XPHY_24145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_41384_ _41390_/A _41384_/B _56564_/A VGND VGND VPWR VPWR _41385_/B sky130_fd_sc_hd__nand3_2
+XFILLER_651_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_100_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_679_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43123_ _78955_/Q VGND VGND VPWR VPWR _46138_/B sky130_fd_sc_hd__inv_8
+XFILLER_456_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55109_ _70223_/A _55108_/X VGND VGND VPWR VPWR _55109_/X sky130_fd_sc_hd__or2_2
+X_78820_ _78800_/CLK _78820_/D VGND VGND VPWR VPWR _64057_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_33967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40335_ _39977_/A _40341_/B VGND VGND VPWR VPWR _40335_/X sky130_fd_sc_hd__or2_2
+XFILLER_291_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71155_ _71427_/A VGND VGND VPWR VPWR _71757_/A sky130_fd_sc_hd__buf_1
+XFILLER_632_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48980_ _48980_/A _48979_/X VGND VGND VPWR VPWR _78454_/D sky130_fd_sc_hd__nand2_2
+XPHY_23455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56089_ _56089_/A _56089_/B VGND VGND VPWR VPWR _56090_/C sky130_fd_sc_hd__nor2_2
+XPHY_33989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_100_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_26_0_u_core.clock clkbuf_9_27_0_u_core.clock/A VGND VGND VPWR VPWR _78400_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_23477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70106_ _70106_/A VGND VGND VPWR VPWR _70106_/Y sky130_fd_sc_hd__inv_8
+XPHY_22743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47931_ _47931_/A _47931_/B VGND VGND VPWR VPWR _47931_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_452_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43054_ _43040_/Y _43054_/B VGND VGND VPWR VPWR _43055_/B sky130_fd_sc_hd__nand2_2
+XFILLER_417_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59917_ _59917_/A _59761_/B VGND VGND VPWR VPWR _59917_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_370_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78751_ _78758_/CLK _78751_/D VGND VGND VPWR VPWR _63353_/A sky130_fd_sc_hd__dfxtp_4
+X_40266_ _40266_/A _40261_/X VGND VGND VPWR VPWR _40268_/A sky130_fd_sc_hd__or2_2
+XFILLER_649_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75963_ _75982_/A VGND VGND VPWR VPWR _75978_/A sky130_fd_sc_hd__buf_1
+XPHY_23499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71086_ _71089_/A _71164_/B VGND VGND VPWR VPWR _71420_/A sky130_fd_sc_hd__nor2_2
+XFILLER_159_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_315_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_458_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_3050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42005_ wbs_dat_i[20] VGND VGND VPWR VPWR _42005_/Y sky130_fd_sc_hd__inv_8
+XFILLER_272_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77702_ _77195_/CLK _51848_/Y VGND VGND VPWR VPWR _51846_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_151_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_1567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74914_ _75091_/A VGND VGND VPWR VPWR _74915_/A sky130_fd_sc_hd__buf_1
+X_70037_ _70037_/A VGND VGND VPWR VPWR _70037_/Y sky130_fd_sc_hd__inv_8
+XFILLER_725_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47862_ _47826_/A _47865_/B VGND VGND VPWR VPWR _47862_/X sky130_fd_sc_hd__or2_2
+XPHY_22798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59848_ _77073_/Q _59686_/B VGND VGND VPWR VPWR _59849_/C sky130_fd_sc_hd__nor2_2
+XPHY_11530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78682_ _77613_/CLK _78682_/D VGND VGND VPWR VPWR _78682_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_313_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_137_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40197_ _40197_/A VGND VGND VPWR VPWR _40201_/B sky130_fd_sc_hd__buf_1
+XFILLER_69_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75894_ _76014_/A _75891_/B VGND VGND VPWR VPWR _75894_/X sky130_fd_sc_hd__or2_2
+XFILLER_512_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_2_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_724_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_610_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49601_ _62266_/A _49587_/B VGND VGND VPWR VPWR _49601_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_669_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46813_ _46809_/B _46812_/Y _46803_/X VGND VGND VPWR VPWR _78948_/D sky130_fd_sc_hd__nor3_2
+XFILLER_501_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77633_ _77660_/CLK _52108_/Y VGND VGND VPWR VPWR _77633_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_649_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74845_ _74863_/A VGND VGND VPWR VPWR _74860_/B sky130_fd_sc_hd__buf_1
+XFILLER_111_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_647_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47793_ _47704_/A _47792_/X VGND VGND VPWR VPWR _47793_/X sky130_fd_sc_hd__or2_2
+X_59779_ _59779_/A _59616_/X VGND VGND VPWR VPWR _59779_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_450_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_311_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_248_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61810_ _59437_/A VGND VGND VPWR VPWR _61813_/A sky130_fd_sc_hd__buf_1
+X_49532_ _49539_/A VGND VGND VPWR VPWR _49551_/B sky130_fd_sc_hd__buf_1
+XFILLER_662_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_438_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46744_ _46744_/A _46753_/B _46744_/C VGND VGND VPWR VPWR _78970_/D sky130_fd_sc_hd__nor3_2
+XFILLER_725_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77564_ _78070_/CLK _77564_/D VGND VGND VPWR VPWR _52374_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_608_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43956_ _43956_/A _43955_/Y _43956_/C VGND VGND VPWR VPWR _43956_/Y sky130_fd_sc_hd__nor3_2
+X_62790_ _62630_/X _62788_/Y _62789_/Y VGND VGND VPWR VPWR _62790_/Y sky130_fd_sc_hd__nor3_2
+XPHY_10884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74776_ _74776_/A _74767_/B _80856_/Q VGND VGND VPWR VPWR _74776_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_655_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71988_ _71988_/A VGND VGND VPWR VPWR _71989_/B sky130_fd_sc_hd__inv_8
+XFILLER_615_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_49_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79303_ _79040_/CLK _43663_/Y VGND VGND VPWR VPWR _72045_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_20_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76515_ VGND VGND VPWR VPWR _76515_/HI la_data_out[7] sky130_fd_sc_hd__conb_1
+XFILLER_545_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42907_ _42903_/X _42907_/B VGND VGND VPWR VPWR _42907_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_289_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_287_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61741_ _61741_/A _61276_/B VGND VGND VPWR VPWR _61741_/Y sky130_fd_sc_hd__nor2_2
+X_49463_ _62749_/A _49458_/X VGND VGND VPWR VPWR _49463_/Y sky130_fd_sc_hd__nand2_2
+X_73727_ _73722_/X _73727_/B VGND VGND VPWR VPWR _73727_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_447_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_640_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46675_ _41848_/Y _46664_/X VGND VGND VPWR VPWR _46675_/Y sky130_fd_sc_hd__nor2_2
+X_70939_ _71785_/A _70921_/Y _70939_/C _70918_/Y VGND VGND VPWR VPWR _70940_/B sky130_fd_sc_hd__or4_2
+XFILLER_209_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77495_ _77434_/CLK _52633_/Y VGND VGND VPWR VPWR _77495_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_292_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43887_ _43887_/A _43866_/B VGND VGND VPWR VPWR _43893_/B sky130_fd_sc_hd__nor2_2
+XPHY_6170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_428_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_264_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48414_ _48414_/A _48411_/B VGND VGND VPWR VPWR _48414_/X sky130_fd_sc_hd__or2_2
+XPHY_6192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79234_ _81273_/CLK _44427_/Y VGND VGND VPWR VPWR _44424_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_261_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_224_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45626_ _45626_/A _45615_/B VGND VGND VPWR VPWR _45628_/B sky130_fd_sc_hd__nor2_2
+XFILLER_206_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64460_ _66547_/A VGND VGND VPWR VPWR _64467_/A sky130_fd_sc_hd__buf_1
+X_76446_ VGND VGND VPWR VPWR _76446_/HI io_oeb[6] sky130_fd_sc_hd__conb_1
+XFILLER_80_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42838_ _41995_/A VGND VGND VPWR VPWR _42860_/A sky130_fd_sc_hd__buf_1
+XFILLER_18_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61672_ _63467_/A VGND VGND VPWR VPWR _61672_/X sky130_fd_sc_hd__buf_1
+X_49394_ _49359_/X _49391_/B VGND VGND VPWR VPWR _49395_/B sky130_fd_sc_hd__or2_2
+X_73658_ _73778_/A _73655_/B VGND VGND VPWR VPWR _73660_/A sky130_fd_sc_hd__or2_2
+XFILLER_601_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_545_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_723_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63411_ _60284_/A VGND VGND VPWR VPWR _63411_/X sky130_fd_sc_hd__buf_1
+XFILLER_222_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60623_ _60623_/A _60147_/X VGND VGND VPWR VPWR _60623_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_307_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48345_ _48345_/A _48352_/B VGND VGND VPWR VPWR _48345_/Y sky130_fd_sc_hd__nand2_2
+X_72609_ _72609_/A _72592_/X _81386_/Q VGND VGND VPWR VPWR _72610_/B sky130_fd_sc_hd__nand3_2
+XPHY_19882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79165_ _78332_/CLK _45248_/Y VGND VGND VPWR VPWR _69662_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_441_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45557_ _71184_/A _45554_/B VGND VGND VPWR VPWR _45558_/C sky130_fd_sc_hd__nor2_2
+XPHY_37250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64391_ _66355_/A VGND VGND VPWR VPWR _64392_/B sky130_fd_sc_hd__buf_1
+XFILLER_45_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76377_ _76395_/A VGND VGND VPWR VPWR _76388_/B sky130_fd_sc_hd__buf_1
+XFILLER_324_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42769_ _42734_/A _42760_/Y _42768_/Y VGND VGND VPWR VPWR _42769_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_127_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_248_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73589_ _73589_/A _73597_/B _81145_/Q VGND VGND VPWR VPWR _73589_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_441_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_388_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_578_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_3027 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66130_ _79347_/Q _65793_/B VGND VGND VPWR VPWR _66130_/Y sky130_fd_sc_hd__nor2_2
+X_78116_ _78114_/CLK _50288_/Y VGND VGND VPWR VPWR _50285_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44508_ _44501_/B _44507_/X _44508_/C VGND VGND VPWR VPWR _44508_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_500_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63342_ _78671_/Q _63494_/B VGND VGND VPWR VPWR _63344_/B sky130_fd_sc_hd__nor2_2
+XFILLER_394_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75328_ _75334_/A _75339_/B _68687_/A VGND VGND VPWR VPWR _75329_/B sky130_fd_sc_hd__nand3_2
+XFILLER_398_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_261_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60554_ _60390_/A _60550_/Y _60554_/C VGND VGND VPWR VPWR _60555_/C sky130_fd_sc_hd__nor3_2
+XFILLER_719_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48276_ _48274_/Y _48276_/B VGND VGND VPWR VPWR _78632_/D sky130_fd_sc_hd__nand2_2
+XFILLER_338_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79096_ _76800_/CLK _45799_/Y VGND VGND VPWR VPWR _79096_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_673_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45488_ _45471_/A _45484_/Y _45487_/Y VGND VGND VPWR VPWR _45488_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_504_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_207_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47227_ _47100_/B _47171_/C VGND VGND VPWR VPWR _78877_/D sky130_fd_sc_hd__nor2_2
+XFILLER_92_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_204_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66061_ _66883_/A VGND VGND VPWR VPWR _66715_/A sky130_fd_sc_hd__buf_1
+XFILLER_539_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_296_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78047_ _78046_/CLK _78047_/D VGND VGND VPWR VPWR _50537_/A sky130_fd_sc_hd__dfxtp_4
+X_44439_ _44406_/X _44439_/B _44438_/Y VGND VGND VPWR VPWR _79232_/D sky130_fd_sc_hd__nor3_2
+XFILLER_32_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63273_ _59787_/A VGND VGND VPWR VPWR _63837_/B sky130_fd_sc_hd__buf_1
+XFILLER_207_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75259_ _75380_/A _75256_/B VGND VGND VPWR VPWR _75259_/X sky130_fd_sc_hd__or2_2
+XFILLER_393_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60485_ _59993_/A _60485_/B _60485_/C VGND VGND VPWR VPWR _60486_/C sky130_fd_sc_hd__nor3_2
+XFILLER_347_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_70_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_277_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_372_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_632_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65012_ _65363_/A _65010_/Y _65012_/C VGND VGND VPWR VPWR _65016_/B sky130_fd_sc_hd__nor3_2
+XFILLER_379_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62224_ _63005_/A VGND VGND VPWR VPWR _62225_/B sky130_fd_sc_hd__buf_1
+XPHY_35892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47158_ _46356_/B _47162_/A VGND VGND VPWR VPWR _47158_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_53_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_508_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_220_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_200_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_552_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46109_ _46109_/A _43078_/B VGND VGND VPWR VPWR _46109_/X sky130_fd_sc_hd__or2_2
+XFILLER_534_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_66_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69820_ _70383_/B _55913_/A VGND VGND VPWR VPWR _69820_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_346_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62155_ _62152_/X _62153_/Y _62155_/C VGND VGND VPWR VPWR _62156_/C sky130_fd_sc_hd__nor3_2
+XFILLER_69_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_122_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_145_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39980_ _39850_/X _39977_/B VGND VGND VPWR VPWR _39980_/X sky130_fd_sc_hd__or2_2
+XFILLER_177_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47089_ _47089_/A _47089_/B VGND VGND VPWR VPWR _47090_/B sky130_fd_sc_hd__and2_2
+XFILLER_654_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_12_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79998_ _80123_/CLK _39953_/Y VGND VGND VPWR VPWR _79998_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_537_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_259_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_712_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_689_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61106_ _61106_/A _61106_/B VGND VGND VPWR VPWR _61108_/B sky130_fd_sc_hd__nor2_2
+XFILLER_127_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38931_ _38913_/A VGND VGND VPWR VPWR _38935_/B sky130_fd_sc_hd__buf_1
+XFILLER_350_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_551_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_47_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_160_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69751_ _79169_/Q _69750_/Y VGND VGND VPWR VPWR _69752_/A sky130_fd_sc_hd__or2_2
+XFILLER_350_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62086_ _61612_/A _62084_/Y _62086_/C VGND VGND VPWR VPWR _62086_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_303_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66963_ _57612_/A _66469_/B VGND VGND VPWR VPWR _66963_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_533_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_523_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78949_ _78951_/CLK _78949_/D VGND VGND VPWR VPWR _46166_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_233_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_554_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_4_0_0_u_core.clock clkbuf_3_0_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_4_0_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_710_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68702_ _68702_/A _68369_/B VGND VGND VPWR VPWR _68702_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_335_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_638_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65914_ _65893_/Y _65914_/B VGND VGND VPWR VPWR _65914_/Y sky130_fd_sc_hd__nor2_2
+X_61037_ _51456_/A _61037_/B VGND VGND VPWR VPWR _61039_/B sky130_fd_sc_hd__nor2_2
+XFILLER_288_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_665_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38862_ _75110_/A VGND VGND VPWR VPWR _38862_/X sky130_fd_sc_hd__buf_1
+XFILLER_487_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69682_ _70790_/B VGND VGND VPWR VPWR _70712_/B sky130_fd_sc_hd__buf_1
+XFILLER_452_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66894_ _80542_/Q _66894_/B VGND VGND VPWR VPWR _66896_/B sky130_fd_sc_hd__nor2_2
+XFILLER_610_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_585_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80911_ _80911_/CLK _74541_/Y VGND VGND VPWR VPWR _64206_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_468_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68633_ _66164_/A VGND VGND VPWR VPWR _68651_/A sky130_fd_sc_hd__buf_1
+XFILLER_9_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_653_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65845_ _65346_/X _65842_/Y _65844_/Y VGND VGND VPWR VPWR _65845_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_64_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_29_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38793_ _38793_/A VGND VGND VPWR VPWR _38800_/B sky130_fd_sc_hd__buf_1
+XFILLER_370_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_25_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_683_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_546_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52810_ _77447_/Q _52810_/B VGND VGND VPWR VPWR _52812_/A sky130_fd_sc_hd__nand2_2
+XFILLER_268_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_68_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_606_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80842_ _80841_/CLK _80842_/D VGND VGND VPWR VPWR _68861_/A sky130_fd_sc_hd__dfxtp_4
+X_68564_ _68724_/A _68564_/B _68563_/Y VGND VGND VPWR VPWR _68568_/B sky130_fd_sc_hd__nor3_2
+XFILLER_56_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53790_ _53787_/Y _53789_/X VGND VGND VPWR VPWR _77191_/D sky130_fd_sc_hd__nand2_2
+X_65776_ _65280_/A _65772_/Y _65775_/Y VGND VGND VPWR VPWR _65776_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_387_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62988_ _48485_/A _62988_/B VGND VGND VPWR VPWR _62989_/C sky130_fd_sc_hd__nor2_2
+XFILLER_720_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_661_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_491_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_255_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_652_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67515_ _67515_/A _67355_/Y _67515_/C _67515_/D VGND VGND VPWR VPWR _76703_/D sky130_fd_sc_hd__or4_2
+XFILLER_605_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52741_ _52741_/A _52750_/B VGND VGND VPWR VPWR _52741_/X sky130_fd_sc_hd__or2_2
+XFILLER_42_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64727_ _64727_/A _64727_/B VGND VGND VPWR VPWR _64727_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_405_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_509_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_386_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61939_ _59138_/A VGND VGND VPWR VPWR _61940_/B sky130_fd_sc_hd__buf_1
+X_80773_ _80725_/CLK _75100_/Y VGND VGND VPWR VPWR _68039_/A sky130_fd_sc_hd__dfxtp_4
+X_68495_ _80327_/Q _68165_/B VGND VGND VPWR VPWR _68496_/C sky130_fd_sc_hd__nor2_2
+XFILLER_283_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_481_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_633_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39414_ _39414_/A _39414_/B VGND VGND VPWR VPWR _39414_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_364_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55460_ _55447_/A _55459_/Y VGND VGND VPWR VPWR _55461_/B sky130_fd_sc_hd__nor2_2
+XFILLER_184_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_702_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_27_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67446_ _79745_/Q _66789_/X VGND VGND VPWR VPWR _67446_/Y sky130_fd_sc_hd__nor2_2
+X_52672_ _52672_/A _52671_/X VGND VGND VPWR VPWR _52675_/A sky130_fd_sc_hd__nand2_2
+XFILLER_578_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64658_ _64822_/A _64656_/Y _64657_/Y VGND VGND VPWR VPWR _64658_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_71_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_661_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_58_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_162_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_431_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54411_ _54467_/A _54426_/B VGND VGND VPWR VPWR _54412_/B sky130_fd_sc_hd__or2_2
+XFILLER_621_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39345_ _39271_/X VGND VGND VPWR VPWR _39346_/A sky130_fd_sc_hd__buf_1
+X_51623_ _51620_/Y _51623_/B VGND VGND VPWR VPWR _77764_/D sky130_fd_sc_hd__nand2_2
+XFILLER_579_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63609_ _63314_/A _63609_/B _63608_/Y VGND VGND VPWR VPWR _63609_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_420_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_42_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55391_ _55440_/A _45267_/B VGND VGND VPWR VPWR _55396_/B sky130_fd_sc_hd__nor2_2
+X_67377_ _67377_/A _67377_/B _67376_/Y VGND VGND VPWR VPWR _67395_/A sky130_fd_sc_hd__nor3_2
+XFILLER_481_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_420_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_604_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64589_ _64553_/A VGND VGND VPWR VPWR _65452_/A sky130_fd_sc_hd__buf_1
+XFILLER_361_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_399_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_2715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57130_ _57051_/X _57130_/B _57129_/Y VGND VGND VPWR VPWR _57131_/C sky130_fd_sc_hd__nor3_2
+XFILLER_659_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69116_ _69116_/A _64395_/B VGND VGND VPWR VPWR _69117_/C sky130_fd_sc_hd__nor2_2
+XFILLER_674_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_440_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66328_ _65993_/A _66328_/B _66328_/C VGND VGND VPWR VPWR _66329_/C sky130_fd_sc_hd__nor3_2
+X_54342_ _54342_/A _54353_/B VGND VGND VPWR VPWR _54345_/A sky130_fd_sc_hd__nand2_2
+XFILLER_498_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39276_ _39255_/A VGND VGND VPWR VPWR _39295_/A sky130_fd_sc_hd__buf_1
+X_51554_ _53465_/A _51554_/B VGND VGND VPWR VPWR _51567_/A sky130_fd_sc_hd__or2_2
+XFILLER_23_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_707_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_303_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_71_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_162_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_691_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38227_ _76411_/A VGND VGND VPWR VPWR _38227_/X sky130_fd_sc_hd__buf_1
+XFILLER_539_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_385_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50505_ _62209_/A _50491_/B VGND VGND VPWR VPWR _50505_/Y sky130_fd_sc_hd__nand2_2
+X_57061_ _56819_/A _57059_/Y _57061_/C VGND VGND VPWR VPWR _57062_/C sky130_fd_sc_hd__nor3_2
+X_69047_ _80907_/Q _69325_/B VGND VGND VPWR VPWR _69049_/B sky130_fd_sc_hd__nor2_2
+XFILLER_518_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81325_ _80923_/CLK _72840_/Y VGND VGND VPWR VPWR _81325_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_377_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54273_ _54271_/Y _54272_/X VGND VGND VPWR VPWR _77064_/D sky130_fd_sc_hd__nand2_2
+X_66259_ _66251_/X _66255_/Y _66259_/C VGND VGND VPWR VPWR _66269_/B sky130_fd_sc_hd__nor3_2
+XFILLER_197_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_3053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_694_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51485_ _51485_/A _51491_/B VGND VGND VPWR VPWR _51485_/X sky130_fd_sc_hd__or2_2
+XFILLER_104_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_295_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_455_0_u_core.clock clkbuf_9_455_0_u_core.clock/A VGND VGND VPWR VPWR _80844_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_652_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56012_ _55975_/A VGND VGND VPWR VPWR _56041_/B sky130_fd_sc_hd__buf_1
+XFILLER_573_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_183_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53224_ _53247_/B VGND VGND VPWR VPWR _53243_/B sky130_fd_sc_hd__buf_1
+XFILLER_301_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_366_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50436_ _50433_/X VGND VGND VPWR VPWR _50458_/B sky130_fd_sc_hd__buf_1
+X_81256_ _81273_/CLK _81256_/D VGND VGND VPWR VPWR _81256_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_716_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_143_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_555_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_688_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_273_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80207_ _80304_/CLK _80207_/D VGND VGND VPWR VPWR _80207_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_355_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_100_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_535_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_87_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_301_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53155_ _53155_/A _53154_/Y VGND VGND VPWR VPWR _77355_/D sky130_fd_sc_hd__nand2_2
+XFILLER_371_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_611_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50367_ _49455_/A VGND VGND VPWR VPWR _50815_/A sky130_fd_sc_hd__buf_1
+XFILLER_88_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81187_ _81201_/CLK _81187_/D VGND VGND VPWR VPWR _73429_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_353_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_542_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40120_ _40001_/A _40114_/B VGND VGND VPWR VPWR _40120_/X sky130_fd_sc_hd__or2_2
+XFILLER_650_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52106_ _77633_/Q _52102_/B VGND VGND VPWR VPWR _52108_/A sky130_fd_sc_hd__nand2_2
+XFILLER_336_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80138_ _80178_/CLK _39395_/Y VGND VGND VPWR VPWR _80138_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_21305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57963_ _57649_/A _57962_/Y VGND VGND VPWR VPWR _57963_/Y sky130_fd_sc_hd__nor2_2
+X_53086_ _53086_/A _53081_/X VGND VGND VPWR VPWR _53088_/A sky130_fd_sc_hd__nand2_2
+XFILLER_69_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69949_ _69943_/B VGND VGND VPWR VPWR _69950_/B sky130_fd_sc_hd__inv_8
+XFILLER_464_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_314_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50298_ _50298_/A _50297_/X VGND VGND VPWR VPWR _78113_/D sky130_fd_sc_hd__nand2_2
+XFILLER_65_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_418_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_273_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_340_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_689_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59702_ _77224_/Q _59540_/B VGND VGND VPWR VPWR _59704_/B sky130_fd_sc_hd__nor2_2
+XFILLER_685_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52037_ _52037_/A _52037_/B VGND VGND VPWR VPWR _52047_/A sky130_fd_sc_hd__or2_2
+X_56914_ _39852_/C _56745_/X VGND VGND VPWR VPWR _56914_/Y sky130_fd_sc_hd__nor2_2
+X_40051_ _40051_/A _40051_/B VGND VGND VPWR VPWR _79972_/D sky130_fd_sc_hd__nand2_2
+XPHY_9746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72960_ _73973_/A _72956_/B VGND VGND VPWR VPWR _72960_/X sky130_fd_sc_hd__or2_2
+XFILLER_451_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80069_ _80099_/CLK _39654_/Y VGND VGND VPWR VPWR _58133_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57894_ _80290_/Q _57666_/B VGND VGND VPWR VPWR _57895_/C sky130_fd_sc_hd__nor2_2
+XFILLER_431_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_82_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59633_ _58931_/X VGND VGND VPWR VPWR _59633_/X sky130_fd_sc_hd__buf_1
+X_71911_ _71519_/A _70701_/X VGND VGND VPWR VPWR _71912_/B sky130_fd_sc_hd__nor2_2
+XFILLER_212_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56845_ _56845_/A _56508_/X VGND VGND VPWR VPWR _56845_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_656_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72891_ _72883_/A _72882_/X _67629_/A VGND VGND VPWR VPWR _72891_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_132_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_333_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_259_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_494_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43810_ _43668_/A _43772_/X _43816_/A VGND VGND VPWR VPWR _43810_/X sky130_fd_sc_hd__and3_2
+XFILLER_644_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_627_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74630_ _74628_/X _74630_/B VGND VGND VPWR VPWR _74630_/Y sky130_fd_sc_hd__nand2_2
+XPHY_10169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59564_ _59564_/A _59407_/B VGND VGND VPWR VPWR _59564_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_425_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71842_ _71899_/A _71899_/B VGND VGND VPWR VPWR _71900_/B sky130_fd_sc_hd__nor2_2
+XFILLER_43_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56776_ _56611_/A _56776_/B VGND VGND VPWR VPWR _56777_/C sky130_fd_sc_hd__nor2_2
+XFILLER_284_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_25_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44790_ _44790_/A _44790_/B VGND VGND VPWR VPWR _44790_/X sky130_fd_sc_hd__and2_2
+XFILLER_281_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_41_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_584_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53988_ _53985_/Y _53987_/X VGND VGND VPWR VPWR _53988_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_407_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_8_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_5_24_0_u_core.clock clkbuf_4_12_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_5_24_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_726_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_130_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_460_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58515_ _68976_/A _58439_/B VGND VGND VPWR VPWR _58516_/C sky130_fd_sc_hd__nor2_2
+XFILLER_4_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43741_ _41007_/A _43938_/A VGND VGND VPWR VPWR _43741_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_101_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74561_ _74558_/X _74560_/Y VGND VGND VPWR VPWR _80907_/D sky130_fd_sc_hd__nand2_2
+XFILLER_388_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55727_ _55725_/X _55727_/B VGND VGND VPWR VPWR _55727_/X sky130_fd_sc_hd__and2_2
+XFILLER_366_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40953_ _40988_/A VGND VGND VPWR VPWR _40967_/A sky130_fd_sc_hd__buf_1
+XFILLER_652_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52939_ _52939_/A _52938_/X VGND VGND VPWR VPWR _52939_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_262_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71773_ _71613_/A VGND VGND VPWR VPWR _71890_/B sky130_fd_sc_hd__buf_1
+X_59495_ _59495_/A _59495_/B VGND VGND VPWR VPWR _59495_/Y sky130_fd_sc_hd__nor2_2
+XPHY_19101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_644_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76300_ _76297_/X _76300_/B VGND VGND VPWR VPWR _76300_/Y sky130_fd_sc_hd__nand2_2
+XPHY_29646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_463_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_435_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73512_ _73508_/X _73511_/Y VGND VGND VPWR VPWR _73512_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_206_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46460_ _46435_/Y _46460_/B _46459_/Y VGND VGND VPWR VPWR _46460_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_540_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58446_ _58446_/A _58445_/Y VGND VGND VPWR VPWR _58446_/Y sky130_fd_sc_hd__nor2_2
+X_70724_ _42105_/A _70724_/B VGND VGND VPWR VPWR _70725_/B sky130_fd_sc_hd__nor2_2
+X_77280_ _77275_/CLK _77280_/D VGND VGND VPWR VPWR _59749_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_249_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43672_ _44037_/A _43784_/B VGND VGND VPWR VPWR _43737_/B sky130_fd_sc_hd__nor2_2
+XPHY_4020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55658_ _55624_/A _55658_/B _55657_/Y VGND VGND VPWR VPWR _55658_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_642_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74492_ _66263_/A VGND VGND VPWR VPWR _74494_/A sky130_fd_sc_hd__inv_8
+XPHY_19145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40884_ _40882_/A VGND VGND VPWR VPWR _40994_/B sky130_fd_sc_hd__buf_1
+XPHY_28934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45411_ _55896_/A _45355_/B VGND VGND VPWR VPWR _45438_/B sky130_fd_sc_hd__nor2_2
+XFILLER_245_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76231_ _76075_/A _76234_/B VGND VGND VPWR VPWR _76231_/X sky130_fd_sc_hd__or2_2
+XPHY_4053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42623_ _42579_/A _42622_/X VGND VGND VPWR VPWR _42623_/Y sky130_fd_sc_hd__nor2_2
+X_54609_ _54609_/A _54517_/B VGND VGND VPWR VPWR _54619_/A sky130_fd_sc_hd__or2_2
+XFILLER_284_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_562_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73443_ _73443_/A _73442_/X VGND VGND VPWR VPWR _73443_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58377_ _58215_/A _58377_/B _58377_/C VGND VGND VPWR VPWR _58378_/B sky130_fd_sc_hd__nor3_2
+XPHY_19189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46391_ _46378_/X VGND VGND VPWR VPWR _46391_/Y sky130_fd_sc_hd__inv_8
+X_70655_ _70654_/Y _70626_/B VGND VGND VPWR VPWR _70655_/X sky130_fd_sc_hd__or2_2
+XFILLER_221_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55589_ _55606_/A _55386_/B VGND VGND VPWR VPWR _55589_/X sky130_fd_sc_hd__or2_2
+XFILLER_499_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_489_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_540_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_187_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_343_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_60 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48130_ _48040_/A _48104_/X VGND VGND VPWR VPWR _48131_/B sky130_fd_sc_hd__or2_2
+XFILLER_403_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45342_ _45368_/A _45341_/Y VGND VGND VPWR VPWR _45342_/X sky130_fd_sc_hd__or2_2
+XPHY_35100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57328_ _58299_/A VGND VGND VPWR VPWR _57651_/B sky130_fd_sc_hd__buf_1
+XPHY_71 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_141_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76162_ _76153_/A _76153_/B _80508_/Q VGND VGND VPWR VPWR _76163_/B sky130_fd_sc_hd__nand3_2
+XFILLER_423_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42554_ _70233_/X VGND VGND VPWR VPWR _42554_/Y sky130_fd_sc_hd__inv_8
+XFILLER_348_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73374_ _73371_/A _73371_/B _64760_/A VGND VGND VPWR VPWR _73374_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_388_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_82 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70586_ _70586_/A VGND VGND VPWR VPWR _70586_/X sky130_fd_sc_hd__buf_1
+XFILLER_677_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_325_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75113_ _75120_/A _75120_/B _67535_/A VGND VGND VPWR VPWR _75114_/B sky130_fd_sc_hd__nand3_2
+XFILLER_477_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41505_ _41266_/A _41509_/B VGND VGND VPWR VPWR _41505_/X sky130_fd_sc_hd__or2_2
+XFILLER_655_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48061_ _48061_/A _48061_/B VGND VGND VPWR VPWR _48061_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_375_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72325_ _72298_/A VGND VGND VPWR VPWR _72326_/A sky130_fd_sc_hd__buf_1
+XFILLER_360_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45273_ _45325_/A _45267_/Y _45272_/Y VGND VGND VPWR VPWR _45274_/B sky130_fd_sc_hd__or3_2
+XPHY_34410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57259_ _57008_/A _57259_/B _57259_/C VGND VGND VPWR VPWR _57260_/C sky130_fd_sc_hd__nor3_2
+XFILLER_41_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76093_ _76093_/A VGND VGND VPWR VPWR _38246_/A sky130_fd_sc_hd__buf_1
+XPHY_2673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42485_ _69281_/A _42500_/B VGND VGND VPWR VPWR _42490_/B sky130_fd_sc_hd__nor2_2
+XFILLER_592_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_651_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_54_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_141_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47012_ _47028_/A _47012_/B VGND VGND VPWR VPWR _78921_/D sky130_fd_sc_hd__nor2_2
+XFILLER_70_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44224_ _44224_/A _44224_/B VGND VGND VPWR VPWR _44224_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75044_ _75044_/A _75043_/X VGND VGND VPWR VPWR _75044_/Y sky130_fd_sc_hd__nand2_2
+X_79921_ _79921_/CLK _40238_/Y VGND VGND VPWR VPWR _40237_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_690_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41436_ _41490_/A VGND VGND VPWR VPWR _41440_/B sky130_fd_sc_hd__buf_1
+XPHY_35199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72256_ _72256_/A _72233_/X VGND VGND VPWR VPWR _72256_/X sky130_fd_sc_hd__or2_2
+X_60270_ _60270_/A _59943_/B VGND VGND VPWR VPWR _60270_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_401_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_375_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71207_ _70200_/Y _71321_/B VGND VGND VPWR VPWR _71207_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_655_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44155_ _44185_/A _44150_/Y _44155_/C VGND VGND VPWR VPWR _79259_/D sky130_fd_sc_hd__nor3_2
+XFILLER_538_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79852_ _80013_/CLK _40531_/Y VGND VGND VPWR VPWR _69255_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_10_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41367_ _41374_/A _41367_/B _65645_/A VGND VGND VPWR VPWR _41368_/B sky130_fd_sc_hd__nand3_2
+XPHY_33764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72187_ _74694_/A VGND VGND VPWR VPWR _72188_/A sky130_fd_sc_hd__buf_1
+XFILLER_319_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_182_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_417_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_512_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43106_ _43102_/X _43106_/B VGND VGND VPWR VPWR _43107_/B sky130_fd_sc_hd__and2_2
+XFILLER_139_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78803_ _78284_/CLK _78803_/D VGND VGND VPWR VPWR _78803_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_33797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40318_ _40300_/A VGND VGND VPWR VPWR _40326_/A sky130_fd_sc_hd__buf_1
+XFILLER_259_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_551_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71138_ _69861_/X _42897_/A VGND VGND VPWR VPWR _71138_/X sky130_fd_sc_hd__or2_2
+XFILLER_142_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48963_ _49050_/A _48960_/B VGND VGND VPWR VPWR _48964_/B sky130_fd_sc_hd__or2_2
+XFILLER_505_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44086_ _44086_/A _43807_/B _44086_/C VGND VGND VPWR VPWR _44087_/B sky130_fd_sc_hd__and3_2
+XFILLER_259_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79783_ _79778_/CLK _40791_/Y VGND VGND VPWR VPWR _68476_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_722_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41298_ _41294_/X _41298_/B VGND VGND VPWR VPWR _41298_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_469_3022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76995_ _76947_/CLK _54527_/Y VGND VGND VPWR VPWR _76995_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_400 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_610_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_610_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_307_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47914_ _47912_/Y _47913_/X VGND VGND VPWR VPWR _47914_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_410_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43037_ _43034_/Y _43037_/B VGND VGND VPWR VPWR _43037_/X sky130_fd_sc_hd__or2_2
+XFILLER_170_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78734_ _78734_/CLK _78734_/D VGND VGND VPWR VPWR _78734_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_524_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_297_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_469_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40249_ _40249_/A _40362_/B VGND VGND VPWR VPWR _40253_/A sky130_fd_sc_hd__or2_2
+XFILLER_123_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75946_ _75946_/A _75945_/Y VGND VGND VPWR VPWR _80559_/D sky130_fd_sc_hd__nand2_2
+XFILLER_520_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63960_ _63960_/A _59064_/X VGND VGND VPWR VPWR _63960_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_155_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_174_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71069_ _71060_/X _71069_/B _71069_/C _71068_/Y VGND VGND VPWR VPWR _71069_/X sky130_fd_sc_hd__or4_2
+XPHY_12061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_1588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_350_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48894_ _48894_/A _48893_/X VGND VGND VPWR VPWR _48894_/Y sky130_fd_sc_hd__nand2_2
+XPHY_21850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_627_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_709_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_675_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62911_ _49531_/A _62443_/B VGND VGND VPWR VPWR _62911_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_332_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_6_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47845_ _78743_/Q _47826_/B VGND VGND VPWR VPWR _47848_/A sky130_fd_sc_hd__nand2_2
+XFILLER_512_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78665_ _76917_/CLK _78665_/D VGND VGND VPWR VPWR _48150_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_332_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63891_ _78659_/Q _63891_/B VGND VGND VPWR VPWR _63893_/B sky130_fd_sc_hd__nor2_2
+XPHY_21894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75877_ _75884_/A _75881_/B _80578_/Q VGND VGND VPWR VPWR _75877_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_567_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_284_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_152_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_708_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_662_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65630_ _65630_/A _65622_/Y _65630_/C VGND VGND VPWR VPWR _65630_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_332_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77616_ _77628_/CLK _52178_/Y VGND VGND VPWR VPWR _60991_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_111_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_215_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_649_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62842_ _62820_/Y _62841_/Y VGND VGND VPWR VPWR _62842_/Y sky130_fd_sc_hd__nor2_2
+X_74828_ _75189_/A _74831_/B VGND VGND VPWR VPWR _74828_/X sky130_fd_sc_hd__or2_2
+XFILLER_289_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47776_ _47776_/A _47776_/B VGND VGND VPWR VPWR _47777_/B sky130_fd_sc_hd__or2_2
+XFILLER_604_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78596_ _77920_/CLK _78596_/D VGND VGND VPWR VPWR _48425_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_38_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44988_ _76727_/Q VGND VGND VPWR VPWR _44988_/Y sky130_fd_sc_hd__inv_8
+XFILLER_662_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_540_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_0_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49515_ _49352_/A VGND VGND VPWR VPWR _49817_/A sky130_fd_sc_hd__buf_1
+XFILLER_250_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_367_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46727_ _43022_/B _46726_/X VGND VGND VPWR VPWR _46727_/X sky130_fd_sc_hd__or2_2
+XFILLER_38_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65561_ _65881_/A _65561_/B _65560_/Y VGND VGND VPWR VPWR _65561_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_599_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77547_ _77571_/CLK _77547_/D VGND VGND VPWR VPWR _77547_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_623_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_580_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43939_ _75035_/A _43957_/B VGND VGND VPWR VPWR _43940_/C sky130_fd_sc_hd__nor2_2
+XFILLER_133_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62773_ _59626_/A VGND VGND VPWR VPWR _62773_/X sky130_fd_sc_hd__buf_1
+XFILLER_94_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74759_ _74757_/X _74759_/B VGND VGND VPWR VPWR _74759_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_4_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_605_2840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_721_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67300_ _80960_/Q _66803_/X VGND VGND VPWR VPWR _67300_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_267_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64512_ _65065_/A _64512_/B _64511_/Y VGND VGND VPWR VPWR _64513_/C sky130_fd_sc_hd__nor3_2
+XFILLER_20_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49446_ _62014_/A _49446_/B VGND VGND VPWR VPWR _49446_/Y sky130_fd_sc_hd__nand2_2
+X_61724_ _61724_/A _61556_/X VGND VGND VPWR VPWR _61725_/C sky130_fd_sc_hd__nor2_2
+X_68280_ _68277_/X _68278_/Y _68279_/Y VGND VGND VPWR VPWR _68280_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_365_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_80_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46658_ _46658_/A VGND VGND VPWR VPWR _46681_/A sky130_fd_sc_hd__buf_1
+XFILLER_209_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65492_ _80949_/Q _65314_/B VGND VGND VPWR VPWR _65492_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_59_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77478_ _77438_/CLK _52694_/Y VGND VGND VPWR VPWR _77478_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_280_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_697_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_428_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_601_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_263_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_248_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_414_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67231_ _80416_/Q _67390_/B VGND VGND VPWR VPWR _67233_/B sky130_fd_sc_hd__nor2_2
+XFILLER_603_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79217_ _81206_/CLK _44560_/Y VGND VGND VPWR VPWR _79217_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_263_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45609_ _71667_/A _45609_/B VGND VGND VPWR VPWR _45610_/C sky130_fd_sc_hd__nor2_2
+XFILLER_252_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64443_ _64153_/A VGND VGND VPWR VPWR _66386_/A sky130_fd_sc_hd__buf_1
+XFILLER_326_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76429_ _76411_/A VGND VGND VPWR VPWR _76430_/A sky130_fd_sc_hd__buf_1
+XFILLER_24_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61655_ _58910_/A VGND VGND VPWR VPWR _61666_/A sky130_fd_sc_hd__buf_1
+XFILLER_280_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49377_ _49377_/A _49372_/X VGND VGND VPWR VPWR _49379_/A sky130_fd_sc_hd__nand2_2
+XFILLER_621_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46589_ _46584_/A _46589_/B _46589_/C VGND VGND VPWR VPWR _78996_/D sky130_fd_sc_hd__or3_2
+XFILLER_209_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39130_ _39109_/X _39148_/B _68834_/A VGND VGND VPWR VPWR _39130_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_283_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_596_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48328_ _63020_/A _48328_/B VGND VGND VPWR VPWR _48332_/A sky130_fd_sc_hd__nand2_2
+X_60606_ _60131_/A _60604_/Y _60605_/Y VGND VGND VPWR VPWR _60613_/B sky130_fd_sc_hd__nor3_2
+X_67162_ _67323_/A _67162_/B _67162_/C VGND VGND VPWR VPWR _67171_/B sky130_fd_sc_hd__nor3_2
+XFILLER_283_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_441_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_55_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79148_ _79145_/CLK _45503_/Y VGND VGND VPWR VPWR _69659_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_597_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_33_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64374_ _64374_/A _64365_/Y _64374_/C VGND VGND VPWR VPWR _64374_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_366_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_75_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61586_ _60011_/A VGND VGND VPWR VPWR _62218_/B sky130_fd_sc_hd__buf_1
+XFILLER_163_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_244_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66113_ _66113_/A _65449_/B VGND VGND VPWR VPWR _66114_/C sky130_fd_sc_hd__nor2_2
+Xclkbuf_3_3_1_u_core.clock clkbuf_3_3_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_4_7_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_39061_ _39054_/A _39075_/B _80218_/Q VGND VGND VPWR VPWR _39061_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_695_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63325_ _78695_/Q _63325_/B VGND VGND VPWR VPWR _63326_/C sky130_fd_sc_hd__nor2_2
+XFILLER_53_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_14 io_in[28] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_48259_ _48258_/X VGND VGND VPWR VPWR _48259_/X sky130_fd_sc_hd__buf_1
+XFILLER_367_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60537_ _63151_/A VGND VGND VPWR VPWR _60540_/A sky130_fd_sc_hd__buf_1
+XFILLER_20_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67093_ _81183_/Q _67259_/B VGND VGND VPWR VPWR _67095_/B sky130_fd_sc_hd__nor2_2
+XFILLER_321_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79079_ _79100_/CLK _79079_/D VGND VGND VPWR VPWR _79079_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_123_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_410_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_25 io_out[16] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_124_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_36 wbs_adr_i[0] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_556_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_555_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_47 wbs_adr_i[5] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_105_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81110_ _81144_/CLK _73721_/Y VGND VGND VPWR VPWR _65596_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_122_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_222_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66044_ _44350_/Y _66209_/B VGND VGND VPWR VPWR _66049_/A sky130_fd_sc_hd__nor2_2
+XFILLER_14_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_58 _57308_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_509_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51270_ _46271_/A _51256_/X _41775_/Y _51257_/X VGND VGND VPWR VPWR _51271_/A sky130_fd_sc_hd__o22a_4
+XFILLER_634_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63256_ _62630_/X _63254_/Y _63255_/Y VGND VGND VPWR VPWR _63256_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_638_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_296_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_539_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_69 _58848_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_60468_ _59817_/X _60464_/Y _60467_/Y VGND VGND VPWR VPWR _60468_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_524_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_496_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_3_6_0_u_core.clock clkbuf_3_7_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_3_6_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_474_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_612_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50221_ _50221_/A VGND VGND VPWR VPWR _50222_/B sky130_fd_sc_hd__buf_1
+XFILLER_591_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62207_ _62207_/A _61894_/X VGND VGND VPWR VPWR _62207_/Y sky130_fd_sc_hd__nor2_2
+X_81041_ _81166_/CLK _73981_/Y VGND VGND VPWR VPWR _81041_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_359_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_3068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63187_ _63187_/A _63187_/B VGND VGND VPWR VPWR _63188_/B sky130_fd_sc_hd__nor2_2
+XFILLER_454_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60399_ _60399_/A _60399_/B _60398_/Y VGND VGND VPWR VPWR _60399_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_274_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_192_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_3070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69803_ _69775_/X _69802_/Y VGND VGND VPWR VPWR _69804_/A sky130_fd_sc_hd__or2_2
+XFILLER_179_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50152_ _50152_/A _50152_/B VGND VGND VPWR VPWR _50153_/B sky130_fd_sc_hd__or2_2
+XFILLER_337_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62138_ _62063_/Y _62138_/B VGND VGND VPWR VPWR _62138_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_274_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39963_ _39963_/A VGND VGND VPWR VPWR _39964_/A sky130_fd_sc_hd__buf_1
+XPHY_9009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_284_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67995_ _67810_/X _67995_/B _67994_/Y VGND VGND VPWR VPWR _67995_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_334_186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_157_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_272_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_217_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38914_ _38531_/A _38920_/B VGND VGND VPWR VPWR _38916_/A sky130_fd_sc_hd__or2_2
+XFILLER_511_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_47_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69734_ _76677_/Q VGND VGND VPWR VPWR _55948_/A sky130_fd_sc_hd__inv_8
+XFILLER_217_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50083_ _50081_/Y _50083_/B VGND VGND VPWR VPWR _78167_/D sky130_fd_sc_hd__nand2_2
+X_54960_ _59201_/A _54954_/B VGND VGND VPWR VPWR _54962_/A sky130_fd_sc_hd__nand2_2
+XFILLER_372_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62069_ _61911_/A _62069_/B _62068_/Y VGND VGND VPWR VPWR _62069_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_448_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66946_ _66946_/A _66945_/Y VGND VGND VPWR VPWR _66947_/B sky130_fd_sc_hd__nor2_2
+XFILLER_9_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_0_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39894_ _39894_/A _39893_/Y VGND VGND VPWR VPWR _80014_/D sky130_fd_sc_hd__nand2_2
+XFILLER_66_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_432_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_647_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_88_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_153_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_83_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53911_ _53909_/Y _53910_/X VGND VGND VPWR VPWR _77157_/D sky130_fd_sc_hd__nand2_2
+XFILLER_628_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38845_ _38851_/A _38837_/X _38845_/C VGND VGND VPWR VPWR _38845_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_528_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69665_ _69665_/A _69665_/B VGND VGND VPWR VPWR _69665_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_665_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54891_ _54888_/Y _54891_/B VGND VGND VPWR VPWR _76898_/D sky130_fd_sc_hd__nand2_2
+XFILLER_331_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66877_ _66877_/A _66877_/B _66876_/Y VGND VGND VPWR VPWR _66882_/B sky130_fd_sc_hd__nor3_2
+XFILLER_477_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_101_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56630_ _56354_/A VGND VGND VPWR VPWR _56886_/B sky130_fd_sc_hd__buf_1
+XFILLER_389_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68616_ _68277_/X _68616_/B _68615_/Y VGND VGND VPWR VPWR _68616_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_275_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53842_ _53842_/A _53841_/X VGND VGND VPWR VPWR _53842_/Y sky130_fd_sc_hd__nand2_2
+X_65828_ _65828_/A VGND VGND VPWR VPWR _65828_/X sky130_fd_sc_hd__buf_1
+Xclkbuf_9_245_0_u_core.clock clkbuf_9_245_0_u_core.clock/A VGND VGND VPWR VPWR _79395_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_6917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38776_ _38508_/A _38789_/B VGND VGND VPWR VPWR _38778_/A sky130_fd_sc_hd__or2_2
+XFILLER_9_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69596_ _69614_/B VGND VGND VPWR VPWR _69681_/A sky130_fd_sc_hd__inv_8
+XFILLER_653_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_25_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_524_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_417_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56561_ _58827_/A _56559_/Y _56560_/Y VGND VGND VPWR VPWR _56561_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_112_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80825_ _80826_/CLK _80825_/D VGND VGND VPWR VPWR _66063_/A sky130_fd_sc_hd__dfxtp_4
+X_68547_ _68547_/A _68547_/B _68547_/C VGND VGND VPWR VPWR _68548_/C sky130_fd_sc_hd__nor3_2
+XFILLER_546_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53773_ _53770_/Y _53772_/X VGND VGND VPWR VPWR _77195_/D sky130_fd_sc_hd__nand2_2
+XFILLER_244_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65759_ _65759_/A _65434_/B VGND VGND VPWR VPWR _65759_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_451_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50985_ _50985_/A _50988_/B VGND VGND VPWR VPWR _50985_/X sky130_fd_sc_hd__or2_2
+XFILLER_285_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_216_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58300_ _58300_/A _58471_/B VGND VGND VPWR VPWR _58303_/B sky130_fd_sc_hd__nor2_2
+XFILLER_228_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55512_ _55606_/A _43537_/D VGND VGND VPWR VPWR _55530_/B sky130_fd_sc_hd__or2_2
+XFILLER_129_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52724_ _52753_/A _52724_/B VGND VGND VPWR VPWR _52724_/X sky130_fd_sc_hd__or2_2
+XFILLER_243_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59280_ _76950_/Q _63869_/B VGND VGND VPWR VPWR _59282_/B sky130_fd_sc_hd__nor2_2
+XFILLER_721_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80756_ _80852_/CLK _80756_/D VGND VGND VPWR VPWR _75163_/C sky130_fd_sc_hd__dfxtp_4
+X_56492_ _56492_/A _56488_/Y _56492_/C VGND VGND VPWR VPWR _56492_/Y sky130_fd_sc_hd__nor3_2
+X_68478_ _68478_/A _68478_/B VGND VGND VPWR VPWR _68479_/C sky130_fd_sc_hd__nor2_2
+XFILLER_44_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_680_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_266_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_207_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58231_ _80614_/Q _57911_/B VGND VGND VPWR VPWR _58233_/B sky130_fd_sc_hd__nor2_2
+XFILLER_460_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67429_ _67429_/A _67429_/B _67428_/Y VGND VGND VPWR VPWR _67429_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_407_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55443_ _55443_/A _55442_/Y VGND VGND VPWR VPWR _55444_/B sky130_fd_sc_hd__nor2_2
+XFILLER_164_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_420_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_364_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52655_ _77489_/Q _52643_/X VGND VGND VPWR VPWR _52655_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_578_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_164_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80687_ _80685_/CLK _80687_/D VGND VGND VPWR VPWR _75420_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_693_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_38_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39328_ _39327_/X _39317_/B _57329_/A VGND VGND VPWR VPWR _39328_/Y sky130_fd_sc_hd__nand3_2
+X_51606_ _51606_/A _51591_/X VGND VGND VPWR VPWR _51608_/A sky130_fd_sc_hd__nand2_2
+XFILLER_36_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58162_ _58162_/A _58162_/B VGND VGND VPWR VPWR _58163_/B sky130_fd_sc_hd__nor2_2
+XFILLER_407_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70440_ _70439_/Y _70168_/X _70425_/A _70171_/X VGND VGND VPWR VPWR _70440_/X sky130_fd_sc_hd__o22a_4
+XPHY_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_715_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_361_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55374_ _55399_/A _55374_/B VGND VGND VPWR VPWR _55374_/X sky130_fd_sc_hd__or2_2
+XFILLER_205_2532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_357_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52586_ _52584_/Y _52586_/B VGND VGND VPWR VPWR _77507_/D sky130_fd_sc_hd__nand2_2
+XFILLER_12_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_502_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_674_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_212_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57113_ _75792_/C _57282_/B VGND VGND VPWR VPWR _57113_/Y sky130_fd_sc_hd__nor2_2
+XPHY_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_227_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54325_ _54236_/X _54322_/B VGND VGND VPWR VPWR _54326_/B sky130_fd_sc_hd__or2_2
+XFILLER_279_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_639_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51537_ _51479_/A _51549_/B VGND VGND VPWR VPWR _51538_/B sky130_fd_sc_hd__or2_2
+XFILLER_205_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39259_ _39108_/A _39262_/B VGND VGND VPWR VPWR _39261_/A sky130_fd_sc_hd__or2_2
+XFILLER_405_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70371_ _79523_/Q VGND VGND VPWR VPWR _70371_/Y sky130_fd_sc_hd__inv_8
+XFILLER_357_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58093_ _67942_/A _58250_/B VGND VGND VPWR VPWR _58093_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_494_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_617_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_199_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72110_ _71819_/A _70830_/X VGND VGND VPWR VPWR _72111_/B sky130_fd_sc_hd__nor2_2
+XFILLER_168_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81308_ _81305_/CLK _81308_/D VGND VGND VPWR VPWR _72920_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_437_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57044_ _57122_/A _57044_/B VGND VGND VPWR VPWR _57065_/B sky130_fd_sc_hd__nor2_2
+XFILLER_240_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42270_ _42270_/A _45912_/A _42269_/X _41649_/X VGND VGND VPWR VPWR _42270_/X sky130_fd_sc_hd__or4_2
+X_54256_ _54265_/A VGND VGND VPWR VPWR _54271_/B sky130_fd_sc_hd__buf_1
+XFILLER_715_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73090_ _71947_/B _73090_/B VGND VGND VPWR VPWR _73091_/B sky130_fd_sc_hd__or2_2
+XFILLER_616_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51468_ _53379_/A _51468_/B VGND VGND VPWR VPWR _51478_/A sky130_fd_sc_hd__or2_2
+XPHY_14914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_84_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_177_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_633_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_453_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_401_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41221_ _41219_/X _41220_/Y VGND VGND VPWR VPWR _79677_/D sky130_fd_sc_hd__nand2_2
+XPHY_32304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_2291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53207_ _53207_/A _53210_/B VGND VGND VPWR VPWR _53207_/X sky130_fd_sc_hd__or2_2
+XPHY_33049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72041_ _72972_/A VGND VGND VPWR VPWR _42994_/A sky130_fd_sc_hd__buf_1
+XFILLER_652_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50419_ _50418_/X _50410_/X VGND VGND VPWR VPWR _50419_/X sky130_fd_sc_hd__or2_2
+XPHY_32315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81239_ _81211_/CLK _73225_/Y VGND VGND VPWR VPWR _65773_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_650_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54187_ _54103_/A _54164_/A VGND VGND VPWR VPWR _54187_/X sky130_fd_sc_hd__or2_2
+XFILLER_353_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51399_ _51399_/A VGND VGND VPWR VPWR _51706_/A sky130_fd_sc_hd__buf_1
+XFILLER_390_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_180_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_503_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_164_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_298_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_542_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53138_ _53277_/A VGND VGND VPWR VPWR _53221_/B sky130_fd_sc_hd__buf_1
+X_41152_ _39887_/A VGND VGND VPWR VPWR _42883_/A sky130_fd_sc_hd__buf_1
+XFILLER_124_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_316_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_355_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_590_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58995_ _54514_/A _58995_/B VGND VGND VPWR VPWR _58995_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_253_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_106_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_611_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40103_ _39984_/A _40107_/B VGND VGND VPWR VPWR _40106_/A sky130_fd_sc_hd__or2_2
+XFILLER_336_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_676_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75800_ _75800_/A _75800_/B VGND VGND VPWR VPWR _75800_/Y sky130_fd_sc_hd__nand2_2
+XPHY_21124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_127_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_152_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_127_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_105_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45960_ _45960_/A _45960_/B _45960_/C VGND VGND VPWR VPWR _45960_/Y sky130_fd_sc_hd__nor3_2
+X_41083_ _41080_/X _41083_/B VGND VGND VPWR VPWR _41083_/Y sky130_fd_sc_hd__nand2_2
+XPHY_30924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53069_ _53069_/A _53072_/B VGND VGND VPWR VPWR _53069_/X sky130_fd_sc_hd__or2_2
+X_57946_ _57547_/A VGND VGND VPWR VPWR _57947_/B sky130_fd_sc_hd__buf_1
+XFILLER_136_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76780_ _76779_/CLK _76780_/D VGND VGND VPWR VPWR _55773_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_351_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73992_ _73750_/A _73998_/B VGND VGND VPWR VPWR _73992_/X sky130_fd_sc_hd__or2_2
+XPHY_30935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_106_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40034_ _40155_/A _40034_/B VGND VGND VPWR VPWR _40036_/A sky130_fd_sc_hd__or2_2
+XFILLER_27_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44911_ _44911_/A VGND VGND VPWR VPWR _44911_/X sky130_fd_sc_hd__buf_1
+XPHY_20434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75731_ _75726_/A _75734_/B _75731_/C VGND VGND VPWR VPWR _75731_/Y sky130_fd_sc_hd__nand3_2
+XPHY_21179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_134_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72943_ _72930_/A _72948_/B _81303_/Q VGND VGND VPWR VPWR _72943_/Y sky130_fd_sc_hd__nand3_2
+XPHY_8842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57877_ _67652_/A _57795_/B VGND VGND VPWR VPWR _57877_/Y sky130_fd_sc_hd__nor2_2
+X_45891_ _45891_/A VGND VGND VPWR VPWR _45891_/X sky130_fd_sc_hd__buf_1
+XFILLER_191_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_564_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_114_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_3175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59616_ _62367_/A VGND VGND VPWR VPWR _59616_/X sky130_fd_sc_hd__buf_1
+XPHY_20478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47630_ _47601_/A _53437_/A VGND VGND VPWR VPWR _47656_/B sky130_fd_sc_hd__or2_2
+XFILLER_169_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78450_ _78451_/CLK _78450_/D VGND VGND VPWR VPWR _63680_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_216_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_628_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44842_ _44892_/A _44669_/X VGND VGND VPWR VPWR _44842_/X sky130_fd_sc_hd__and2_2
+XPHY_8886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56828_ _65514_/A _56740_/X VGND VGND VPWR VPWR _56828_/Y sky130_fd_sc_hd__nor2_2
+X_75662_ _75662_/A _75651_/B _80635_/Q VGND VGND VPWR VPWR _75662_/Y sky130_fd_sc_hd__nand3_2
+XPHY_20489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_212_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_546_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72874_ _72872_/X _72873_/Y VGND VGND VPWR VPWR _72874_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_424_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_2901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_663_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_683_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77401_ _77840_/CLK _52983_/Y VGND VGND VPWR VPWR _77401_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_310_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74613_ _74557_/A VGND VGND VPWR VPWR _74613_/X sky130_fd_sc_hd__buf_1
+XFILLER_245_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47561_ _47624_/A _47548_/X VGND VGND VPWR VPWR _47562_/B sky130_fd_sc_hd__or2_2
+X_59547_ _59547_/A _59545_/Y _59547_/C VGND VGND VPWR VPWR _59551_/B sky130_fd_sc_hd__nor3_2
+XFILLER_409_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71825_ _71825_/A VGND VGND VPWR VPWR _74109_/A sky130_fd_sc_hd__inv_8
+XFILLER_47_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78381_ _78384_/CLK _49253_/Y VGND VGND VPWR VPWR _63031_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_43_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44773_ _44457_/X VGND VGND VPWR VPWR _44773_/X sky130_fd_sc_hd__buf_1
+XPHY_29410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56759_ _56759_/A _56758_/Y VGND VGND VPWR VPWR _56759_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_247_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75593_ _75581_/X _75605_/B _75593_/C VGND VGND VPWR VPWR _75593_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_444_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41985_ _42288_/B _41985_/B _41984_/Y VGND VGND VPWR VPWR _79543_/D sky130_fd_sc_hd__nor3_2
+XFILLER_281_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_546_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_286_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_507_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49300_ _78368_/Q _49286_/B VGND VGND VPWR VPWR _49302_/A sky130_fd_sc_hd__nand2_2
+XPHY_29432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46512_ _42382_/X VGND VGND VPWR VPWR _46512_/X sky130_fd_sc_hd__buf_1
+XFILLER_542_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_698_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77332_ _77353_/CLK _77332_/D VGND VGND VPWR VPWR _77332_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_4_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43724_ _43692_/X _43697_/X _43867_/B VGND VGND VPWR VPWR _43795_/B sky130_fd_sc_hd__or3_4
+XFILLER_274_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74544_ _74546_/A VGND VGND VPWR VPWR _74660_/B sky130_fd_sc_hd__buf_1
+XFILLER_62_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40936_ _40936_/A _40924_/B _79745_/Q VGND VGND VPWR VPWR _40936_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71756_ _70848_/X _71756_/B VGND VGND VPWR VPWR _71757_/C sky130_fd_sc_hd__nor2_2
+X_47492_ _47601_/A _53307_/A VGND VGND VPWR VPWR _47492_/X sky130_fd_sc_hd__or2_2
+XFILLER_569_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59478_ _59478_/A VGND VGND VPWR VPWR _59643_/A sky130_fd_sc_hd__buf_1
+XFILLER_362_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_249_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_250_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_622_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49231_ _49231_/A _49230_/X VGND VGND VPWR VPWR _49231_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_59_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_28_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_95_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46443_ _42839_/X _46443_/B VGND VGND VPWR VPWR _46444_/C sky130_fd_sc_hd__nor2_2
+X_70707_ _70707_/A _70705_/X _70706_/Y VGND VGND VPWR VPWR _70708_/A sky130_fd_sc_hd__or3_2
+XFILLER_503_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58429_ _40153_/C _58345_/X VGND VGND VPWR VPWR _58430_/C sky130_fd_sc_hd__nor2_2
+XPHY_28753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77263_ _77254_/CLK _53522_/Y VGND VGND VPWR VPWR _77263_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43655_ _43646_/X _43655_/B _43655_/C VGND VGND VPWR VPWR _43655_/Y sky130_fd_sc_hd__nor3_2
+XPHY_18230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74475_ _66924_/A VGND VGND VPWR VPWR _74475_/Y sky130_fd_sc_hd__inv_8
+X_40867_ _40867_/A _40867_/B _64991_/A VGND VGND VPWR VPWR _40867_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_657_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_640_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_108_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71687_ _71083_/X _71686_/Y _71283_/X _70550_/Y VGND VGND VPWR VPWR _71688_/B sky130_fd_sc_hd__o22a_4
+XFILLER_128_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_241_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_598_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79002_ _79559_/CLK _46556_/Y _46553_/X VGND VGND VPWR VPWR _79002_/Q sky130_fd_sc_hd__dfrtp_4
+XFILLER_600_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_501_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76214_ _38337_/A _76210_/B VGND VGND VPWR VPWR _76214_/X sky130_fd_sc_hd__or2_2
+XPHY_18263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42606_ _42606_/A _42597_/Y _42605_/Y VGND VGND VPWR VPWR _42606_/Y sky130_fd_sc_hd__nor3_2
+X_61440_ _77739_/Q _60974_/X VGND VGND VPWR VPWR _61441_/C sky130_fd_sc_hd__nor2_2
+XFILLER_261_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49162_ _49133_/A _49156_/B VGND VGND VPWR VPWR _49163_/B sky130_fd_sc_hd__or2_2
+XFILLER_520_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73426_ _73417_/X _73432_/B _81188_/Q VGND VGND VPWR VPWR _73428_/A sky130_fd_sc_hd__nand3_2
+XFILLER_76_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46374_ _46372_/X _46373_/X VGND VGND VPWR VPWR _46374_/Y sky130_fd_sc_hd__nand2_2
+X_70638_ _70638_/A _70091_/X VGND VGND VPWR VPWR _70641_/A sky130_fd_sc_hd__nor2_2
+X_77194_ _77190_/CLK _53778_/Y VGND VGND VPWR VPWR _77194_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_388_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_56_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43586_ _42592_/B _43593_/B VGND VGND VPWR VPWR _43586_/Y sky130_fd_sc_hd__nor2_2
+XPHY_18285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40798_ _40796_/X _40797_/Y VGND VGND VPWR VPWR _79781_/D sky130_fd_sc_hd__nand2_2
+XFILLER_72_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48113_ _48113_/A _48120_/B VGND VGND VPWR VPWR _48116_/A sky130_fd_sc_hd__nand2_2
+XFILLER_34_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_203_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_692_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45325_ _45325_/A _45319_/Y _45324_/Y VGND VGND VPWR VPWR _45326_/B sky130_fd_sc_hd__or3_2
+X_76145_ _76283_/A _76156_/B VGND VGND VPWR VPWR _76145_/X sky130_fd_sc_hd__or2_2
+XFILLER_593_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_519_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42537_ _42547_/A _42537_/B VGND VGND VPWR VPWR _42537_/X sky130_fd_sc_hd__and2_2
+X_73357_ _73353_/A _73353_/B _65616_/A VGND VGND VPWR VPWR _73357_/Y sky130_fd_sc_hd__nand3_2
+X_61371_ _60590_/A VGND VGND VPWR VPWR _61374_/A sky130_fd_sc_hd__buf_1
+X_49093_ _49093_/A _49075_/B VGND VGND VPWR VPWR _49096_/A sky130_fd_sc_hd__nand2_2
+XFILLER_223_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70569_ _69960_/A _70569_/B _70569_/C VGND VGND VPWR VPWR _70569_/X sky130_fd_sc_hd__or3_2
+XFILLER_677_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63110_ _48822_/A _63110_/B VGND VGND VPWR VPWR _63110_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_176_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48044_ _48043_/X VGND VGND VPWR VPWR _48044_/X sky130_fd_sc_hd__buf_1
+XFILLER_401_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60322_ _77100_/Q _60322_/B VGND VGND VPWR VPWR _60324_/B sky130_fd_sc_hd__nor2_2
+XFILLER_52_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72308_ _74792_/A VGND VGND VPWR VPWR _72810_/A sky130_fd_sc_hd__buf_1
+XPHY_16872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45256_ _45079_/A _45255_/Y VGND VGND VPWR VPWR _45257_/B sky130_fd_sc_hd__or2_2
+XPHY_34240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64090_ _64119_/A _64459_/A _64087_/X _66702_/A VGND VGND VPWR VPWR _64091_/A sky130_fd_sc_hd__or4_2
+XFILLER_201_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76076_ _76001_/A VGND VGND VPWR VPWR _76097_/A sky130_fd_sc_hd__buf_1
+XFILLER_297_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42468_ _42468_/A _42447_/Y _42468_/C VGND VGND VPWR VPWR _42468_/X sky130_fd_sc_hd__or3_2
+XFILLER_198_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73288_ _73361_/A VGND VGND VPWR VPWR _73295_/B sky130_fd_sc_hd__buf_1
+XFILLER_156_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_638_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_651_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44207_ _44269_/A _44201_/Y _44207_/C VGND VGND VPWR VPWR _79253_/D sky130_fd_sc_hd__nor3_2
+XPHY_1791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63041_ _63041_/A _63507_/B VGND VGND VPWR VPWR _63041_/Y sky130_fd_sc_hd__nor2_2
+X_75027_ _75030_/A _75030_/B _65890_/A VGND VGND VPWR VPWR _75029_/A sky130_fd_sc_hd__nand3_2
+X_79904_ _79902_/CLK _79904_/D VGND VGND VPWR VPWR _57759_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_373_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41419_ _41419_/A _41418_/Y VGND VGND VPWR VPWR _79625_/D sky130_fd_sc_hd__nand2_2
+XPHY_34284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60253_ _53315_/A _60095_/B VGND VGND VPWR VPWR _60254_/C sky130_fd_sc_hd__nor2_2
+XFILLER_50_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72239_ _72239_/A _72233_/X VGND VGND VPWR VPWR _72239_/X sky130_fd_sc_hd__or2_2
+XFILLER_291_3044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_471_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_316_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45187_ _69702_/A _45278_/B VGND VGND VPWR VPWR _45220_/B sky130_fd_sc_hd__nor2_2
+XPHY_34295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42399_ _42399_/A _42399_/B _42398_/Y VGND VGND VPWR VPWR _42399_/X sky130_fd_sc_hd__or3_2
+XFILLER_458_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_451_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44138_ _44206_/A _44138_/B _44138_/C VGND VGND VPWR VPWR _44139_/C sky130_fd_sc_hd__nor3_2
+XFILLER_271_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79835_ _79837_/CLK _40596_/Y VGND VGND VPWR VPWR _66505_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_174_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60184_ _77219_/Q _60012_/B VGND VGND VPWR VPWR _60184_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_13_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_304_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49995_ _49995_/A _49994_/X VGND VGND VPWR VPWR _49995_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_651_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_256_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_171_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_304_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_410_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66800_ _65137_/X VGND VGND VPWR VPWR _66800_/X sky130_fd_sc_hd__buf_1
+XFILLER_6_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_668_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44069_ _43772_/X VGND VGND VPWR VPWR _44069_/X sky130_fd_sc_hd__buf_1
+X_48946_ _48943_/Y _48946_/B VGND VGND VPWR VPWR _78463_/D sky130_fd_sc_hd__nand2_2
+XPHY_22370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67780_ _58002_/A _67780_/B VGND VGND VPWR VPWR _67780_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_471_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79766_ _79772_/CLK _40854_/Y VGND VGND VPWR VPWR _56924_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_124_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_354_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64992_ _56586_/A _69502_/B VGND VGND VPWR VPWR _64992_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_521_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76978_ _76975_/CLK _54593_/Y VGND VGND VPWR VPWR _76978_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_610_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_113_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_389_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66731_ _66731_/A _66729_/Y _66731_/C VGND VGND VPWR VPWR _66731_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_414_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78717_ _78717_/CLK _47943_/Y VGND VGND VPWR VPWR _47941_/A sky130_fd_sc_hd__dfxtp_4
+X_63943_ _63943_/A _59308_/B VGND VGND VPWR VPWR _63943_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_83_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75929_ _75926_/A _75926_/B _65238_/A VGND VGND VPWR VPWR _75929_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_647_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_312_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48877_ _48848_/A _48877_/B VGND VGND VPWR VPWR _48877_/X sky130_fd_sc_hd__or2_2
+XFILLER_123_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79697_ _79788_/CLK _79697_/D VGND VGND VPWR VPWR _56567_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_627_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_2_3_3_u_core.clock clkbuf_2_3_2_u_core.clock/X VGND VGND VPWR VPWR clkbuf_3_7_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_21691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38630_ _38628_/X _38629_/Y VGND VGND VPWR VPWR _80333_/D sky130_fd_sc_hd__nand2_2
+XFILLER_485_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69450_ _69172_/A _69448_/Y _69450_/C VGND VGND VPWR VPWR _69454_/B sky130_fd_sc_hd__nor3_2
+XFILLER_474_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_709_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47828_ _78747_/Q _47823_/B VGND VGND VPWR VPWR _47828_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_26_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66662_ _66662_/A _66007_/X VGND VGND VPWR VPWR _66662_/Y sky130_fd_sc_hd__nor2_2
+XPHY_11190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78648_ _78638_/CLK _78648_/D VGND VGND VPWR VPWR _78648_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_6_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63874_ _64005_/A _63874_/B _63874_/C VGND VGND VPWR VPWR _63875_/C sky130_fd_sc_hd__nor3_2
+XFILLER_69_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_250_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68401_ _68401_/A _68401_/B _68401_/C VGND VGND VPWR VPWR _68402_/C sky130_fd_sc_hd__nor3_2
+XFILLER_367_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_313_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_269_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_3_0_u_core.clock clkbuf_5_1_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_7_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_690_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65613_ _65593_/X _65605_/Y _65613_/C VGND VGND VPWR VPWR _65631_/A sky130_fd_sc_hd__nor3_2
+XFILLER_387_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38561_ _76168_/A VGND VGND VPWR VPWR _38562_/A sky130_fd_sc_hd__buf_1
+XFILLER_461_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62825_ _62822_/X _62825_/B _62825_/C VGND VGND VPWR VPWR _62829_/B sky130_fd_sc_hd__nor3_2
+XFILLER_113_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69381_ _69365_/Y _69381_/B VGND VGND VPWR VPWR _69382_/B sky130_fd_sc_hd__nor2_2
+XFILLER_616_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47759_ _47730_/A _53557_/A VGND VGND VPWR VPWR _47759_/X sky130_fd_sc_hd__or2_2
+X_66593_ _73455_/C _67259_/B VGND VGND VPWR VPWR _66593_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_84_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78579_ _78580_/CLK _78579_/D VGND VGND VPWR VPWR _63858_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_650_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_627_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80610_ _80597_/CLK _80610_/D VGND VGND VPWR VPWR _75756_/C sky130_fd_sc_hd__dfxtp_4
+X_68332_ _67846_/A _68332_/B _68332_/C VGND VGND VPWR VPWR _68333_/C sky130_fd_sc_hd__nor3_2
+XFILLER_623_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65544_ _65862_/A _65542_/Y _65543_/Y VGND VGND VPWR VPWR _65544_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_414_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38492_ _38492_/A _38503_/B VGND VGND VPWR VPWR _38492_/X sky130_fd_sc_hd__or2_2
+X_50770_ _50827_/A _50779_/B VGND VGND VPWR VPWR _50771_/B sky130_fd_sc_hd__or2_2
+X_62756_ _62448_/A _62748_/Y _62756_/C VGND VGND VPWR VPWR _62756_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_525_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_447_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_631_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_4_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_52_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_721_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61707_ _61397_/A _61707_/B VGND VGND VPWR VPWR _61747_/B sky130_fd_sc_hd__nor2_2
+X_49429_ _62795_/A _49436_/B VGND VGND VPWR VPWR _49432_/A sky130_fd_sc_hd__nand2_2
+XFILLER_165_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_59_0_u_core.clock clkbuf_7_59_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_59_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_80541_ _80543_/CLK _80541_/D VGND VGND VPWR VPWR _66720_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_365_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68263_ _68600_/A _68263_/B _68262_/Y VGND VGND VPWR VPWR _68263_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_111_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_523_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_181_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65475_ _41491_/C _65474_/X VGND VGND VPWR VPWR _65476_/C sky130_fd_sc_hd__nor2_2
+XFILLER_587_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_80_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62687_ _49890_/A _62531_/B VGND VGND VPWR VPWR _62687_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_304_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_541_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_263_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67214_ _67214_/A _67212_/Y _67214_/C VGND VGND VPWR VPWR _67218_/B sky130_fd_sc_hd__nor3_2
+XFILLER_675_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52440_ _52320_/X _52439_/X VGND VGND VPWR VPWR _52440_/X sky130_fd_sc_hd__or2_2
+X_64426_ _65384_/A VGND VGND VPWR VPWR _65112_/A sky130_fd_sc_hd__buf_1
+XFILLER_300_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61638_ _51556_/A _61167_/B VGND VGND VPWR VPWR _61640_/B sky130_fd_sc_hd__nor2_2
+XFILLER_33_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80472_ _80473_/CLK _80472_/D VGND VGND VPWR VPWR _80472_/Q sky130_fd_sc_hd__dfxtp_4
+X_68194_ _68194_/A VGND VGND VPWR VPWR _68195_/B sky130_fd_sc_hd__buf_1
+XFILLER_529_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_558_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_120_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_656_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39113_ _39113_/A _39113_/B VGND VGND VPWR VPWR _39113_/X sky130_fd_sc_hd__or2_2
+XFILLER_206_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67145_ _67145_/A _66639_/B VGND VGND VPWR VPWR _67147_/B sky130_fd_sc_hd__nor2_2
+XFILLER_90_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52371_ _52371_/A _52371_/B VGND VGND VPWR VPWR _52371_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_529_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_498_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64357_ _64138_/A VGND VGND VPWR VPWR _64374_/A sky130_fd_sc_hd__buf_1
+XFILLER_240_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_583_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61569_ _61569_/A _61569_/B _61569_/C VGND VGND VPWR VPWR _61570_/C sky130_fd_sc_hd__nor3_2
+XFILLER_576_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_304_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_222_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54110_ _54107_/Y _54110_/B VGND VGND VPWR VPWR _77108_/D sky130_fd_sc_hd__nand2_2
+XFILLER_37_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39044_ _38544_/A _39047_/B VGND VGND VPWR VPWR _39044_/X sky130_fd_sc_hd__or2_2
+X_51322_ _51319_/Y _51322_/B VGND VGND VPWR VPWR _51322_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_198_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63308_ _62804_/X _63307_/Y VGND VGND VPWR VPWR _63308_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_53_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_578_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55090_ _44978_/A _71053_/A VGND VGND VPWR VPWR _55129_/A sky130_fd_sc_hd__or2_2
+X_67076_ _67076_/A _67740_/B VGND VGND VPWR VPWR _67077_/C sky130_fd_sc_hd__nor2_2
+XFILLER_454_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_33_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64288_ _69529_/A _64277_/Y _64288_/C VGND VGND VPWR VPWR _64288_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_691_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_554_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_102_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54041_ _54041_/A _54040_/X VGND VGND VPWR VPWR _54041_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_336_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66027_ _66027_/A VGND VGND VPWR VPWR _66685_/A sky130_fd_sc_hd__buf_1
+XFILLER_292_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_296_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_165_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51253_ _51273_/A _51252_/X VGND VGND VPWR VPWR _51255_/A sky130_fd_sc_hd__or2_2
+X_63239_ _63239_/A _62769_/X VGND VGND VPWR VPWR _63240_/C sky130_fd_sc_hd__nor2_2
+XFILLER_159_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_144_0_u_core.clock clkbuf_7_72_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_289_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_257_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_394_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_382_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_445_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_352_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50204_ _78134_/Q _50201_/B VGND VGND VPWR VPWR _50206_/A sky130_fd_sc_hd__nand2_2
+XFILLER_592_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81024_ _81025_/CLK _81024_/D VGND VGND VPWR VPWR _74067_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_274_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_394_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_106_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51184_ _51184_/A _51184_/B VGND VGND VPWR VPWR _77873_/D sky130_fd_sc_hd__nand2_2
+XFILLER_118_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_92_0_u_core.clock clkbuf_8_93_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_92_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_239_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_337_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_612_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_235_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_658_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57800_ _57401_/A VGND VGND VPWR VPWR _57818_/A sky130_fd_sc_hd__buf_1
+XFILLER_685_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_134_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50135_ _50135_/A _50142_/B VGND VGND VPWR VPWR _50138_/A sky130_fd_sc_hd__nand2_2
+XFILLER_333_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_552_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_351_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_161_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39946_ _39946_/A _39945_/Y VGND VGND VPWR VPWR _80000_/D sky130_fd_sc_hd__nand2_2
+X_58780_ _56614_/A _58780_/B _58779_/Y VGND VGND VPWR VPWR _58780_/X sky130_fd_sc_hd__or3_2
+XFILLER_511_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55992_ _55992_/A _55690_/B VGND VGND VPWR VPWR _55992_/X sky130_fd_sc_hd__or2_2
+X_67978_ _67774_/X _67977_/Y VGND VGND VPWR VPWR _68018_/B sky130_fd_sc_hd__nor2_2
+XPHY_8105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_39_0_u_core.clock clkbuf_8_38_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_79_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_639_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57731_ _57731_/A _57731_/B _57731_/C VGND VGND VPWR VPWR _57736_/B sky130_fd_sc_hd__nor3_2
+X_69717_ _69729_/A _69716_/X VGND VGND VPWR VPWR _38618_/A sky130_fd_sc_hd__or2_2
+XFILLER_40_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66929_ _67577_/A _66929_/B _66928_/Y VGND VGND VPWR VPWR _66930_/C sky130_fd_sc_hd__nor3_2
+X_50066_ _50009_/A _50084_/B VGND VGND VPWR VPWR _50066_/X sky130_fd_sc_hd__or2_2
+X_54943_ _54943_/A _54942_/X VGND VGND VPWR VPWR _76883_/D sky130_fd_sc_hd__nand2_2
+XFILLER_9_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39877_ _39877_/A _39877_/B VGND VGND VPWR VPWR _39877_/Y sky130_fd_sc_hd__nand2_2
+XPHY_8149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_118_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_385_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_103_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38828_ _38825_/X _38827_/Y VGND VGND VPWR VPWR _80280_/D sky130_fd_sc_hd__nand2_2
+XFILLER_315_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57662_ _57575_/A _57662_/B _57662_/C VGND VGND VPWR VPWR _57662_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_467_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69648_ _69648_/A _69665_/A VGND VGND VPWR VPWR _64066_/B sky130_fd_sc_hd__nor2_2
+XPHY_7448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54874_ _54958_/A _54874_/B VGND VGND VPWR VPWR _54874_/X sky130_fd_sc_hd__or2_2
+XFILLER_331_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_180_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_726_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59401_ _59393_/X _59401_/B _59400_/Y VGND VGND VPWR VPWR _59401_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_723_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56613_ _42105_/A VGND VGND VPWR VPWR _56614_/A sky130_fd_sc_hd__buf_1
+XFILLER_480_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53825_ _53853_/A _53800_/A VGND VGND VPWR VPWR _53825_/X sky130_fd_sc_hd__or2_2
+XFILLER_492_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_22_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38759_ _38488_/A _38770_/B VGND VGND VPWR VPWR _38759_/X sky130_fd_sc_hd__or2_2
+XFILLER_461_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57593_ _57683_/A _57593_/B _57593_/C VGND VGND VPWR VPWR _57598_/B sky130_fd_sc_hd__nor3_2
+XFILLER_29_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69579_ _70793_/B VGND VGND VPWR VPWR _69587_/A sky130_fd_sc_hd__inv_8
+XFILLER_680_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_216_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_5_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_77_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71610_ _71670_/A _42944_/A VGND VGND VPWR VPWR _71610_/X sky130_fd_sc_hd__or2_2
+XFILLER_99_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59332_ _58986_/A VGND VGND VPWR VPWR _59512_/A sky130_fd_sc_hd__buf_1
+XFILLER_256_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80808_ _80751_/CLK _74972_/Y VGND VGND VPWR VPWR _68537_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56544_ _64795_/A _56336_/B VGND VGND VPWR VPWR _56544_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_288_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41770_ _47232_/A VGND VGND VPWR VPWR _41780_/A sky130_fd_sc_hd__buf_1
+XFILLER_164_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53756_ _53754_/Y _53756_/B VGND VGND VPWR VPWR _77199_/D sky130_fd_sc_hd__nand2_2
+XFILLER_272_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72590_ _72832_/A _72703_/B VGND VGND VPWR VPWR _72594_/A sky130_fd_sc_hd__or2_2
+XFILLER_112_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50968_ _50991_/B VGND VGND VPWR VPWR _50987_/B sky130_fd_sc_hd__buf_1
+XPHY_37805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40721_ _40707_/A _40717_/X _57160_/A VGND VGND VPWR VPWR _40722_/B sky130_fd_sc_hd__nand3_2
+XFILLER_623_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52707_ _61206_/A _52714_/B VGND VGND VPWR VPWR _52707_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59263_ _59263_/A VGND VGND VPWR VPWR _59604_/B sky130_fd_sc_hd__buf_1
+XFILLER_92_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71541_ _70425_/A _71482_/B VGND VGND VPWR VPWR _71547_/B sky130_fd_sc_hd__nor2_2
+XPHY_37838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_606_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56475_ _56359_/A _56473_/Y _56474_/Y VGND VGND VPWR VPWR _56475_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_344_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80739_ _80736_/CLK _75230_/Y VGND VGND VPWR VPWR _75229_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_27315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53687_ _53687_/A _53691_/B VGND VGND VPWR VPWR _53690_/A sky130_fd_sc_hd__nand2_2
+XFILLER_232_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50899_ _61995_/A _50899_/B VGND VGND VPWR VPWR _50901_/A sky130_fd_sc_hd__nand2_2
+XFILLER_481_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_71_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_77_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_227_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_43_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58214_ _58294_/A _58214_/B _58213_/Y VGND VGND VPWR VPWR _58215_/C sky130_fd_sc_hd__nor3_2
+XPHY_400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55426_ _55426_/A _55470_/A _55426_/C VGND VGND VPWR VPWR _55426_/Y sky130_fd_sc_hd__nor3_2
+X_43440_ _42958_/A _43433_/X VGND VGND VPWR VPWR _43440_/X sky130_fd_sc_hd__or2_2
+XFILLER_576_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74260_ _74260_/A _74260_/B VGND VGND VPWR VPWR _74260_/X sky130_fd_sc_hd__or2_2
+XPHY_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_600_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52638_ _60466_/A _52638_/B VGND VGND VPWR VPWR _52638_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_322_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40652_ _40648_/X _40651_/Y VGND VGND VPWR VPWR _40652_/Y sky130_fd_sc_hd__nand2_2
+XPHY_27359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71472_ _71115_/X _71448_/Y _71458_/Y _71471_/X VGND VGND VPWR VPWR _42614_/B sky130_fd_sc_hd__o22a_4
+X_59194_ _59119_/A VGND VGND VPWR VPWR _59416_/A sky130_fd_sc_hd__buf_1
+Xclkbuf_9_72_0_u_core.clock clkbuf_9_73_0_u_core.clock/A VGND VGND VPWR VPWR _78474_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_263_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_244_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_24_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73211_ _73208_/Y _73210_/X VGND VGND VPWR VPWR _81242_/D sky130_fd_sc_hd__nand2_2
+XPHY_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_498_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58145_ _58135_/Y _58145_/B VGND VGND VPWR VPWR _58146_/B sky130_fd_sc_hd__nor2_2
+X_70423_ _70423_/A VGND VGND VPWR VPWR _70424_/A sky130_fd_sc_hd__inv_8
+XPHY_25913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43371_ _43369_/X _43370_/Y VGND VGND VPWR VPWR _79367_/D sky130_fd_sc_hd__nand2_2
+XFILLER_11_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_36_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55357_ _55323_/A _55357_/B _55356_/Y VGND VGND VPWR VPWR _55358_/B sky130_fd_sc_hd__or3_2
+XFILLER_658_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74191_ _74172_/A VGND VGND VPWR VPWR _74192_/B sky130_fd_sc_hd__buf_1
+XPHY_16135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40583_ _40445_/X _40572_/B VGND VGND VPWR VPWR _40583_/X sky130_fd_sc_hd__or2_2
+XPHY_25924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52569_ _52569_/A _52559_/X VGND VGND VPWR VPWR _52569_/X sky130_fd_sc_hd__or2_2
+XPHY_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_196_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_220_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_507_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_19_0_u_core.clock clkbuf_8_9_0_u_core.clock/X VGND VGND VPWR VPWR _78593_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_12_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45110_ _45376_/A _45106_/Y _45109_/Y VGND VGND VPWR VPWR _45110_/Y sky130_fd_sc_hd__nor3_2
+XPHY_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42322_ _79007_/Q VGND VGND VPWR VPWR _46533_/A sky130_fd_sc_hd__inv_8
+XFILLER_19_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54308_ _77053_/Q _54290_/B VGND VGND VPWR VPWR _54308_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_279_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73142_ _73142_/A _73141_/X VGND VGND VPWR VPWR _73142_/Y sky130_fd_sc_hd__nand2_2
+XPHY_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46090_ _45114_/A _43033_/B VGND VGND VPWR VPWR _46193_/B sky130_fd_sc_hd__nor2_2
+X_58076_ _67962_/A _57826_/X VGND VGND VPWR VPWR _58076_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_294_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70354_ _70354_/A VGND VGND VPWR VPWR _70355_/A sky130_fd_sc_hd__inv_8
+XFILLER_593_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55288_ _55281_/Y _55287_/Y VGND VGND VPWR VPWR _55613_/B sky130_fd_sc_hd__and2_2
+XFILLER_138_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_184_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_652_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_561_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_576_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57027_ _57027_/A _57027_/B _57027_/C VGND VGND VPWR VPWR _57033_/B sky130_fd_sc_hd__nor3_2
+X_45041_ _45376_/A _45034_/Y _45040_/Y VGND VGND VPWR VPWR _45042_/C sky130_fd_sc_hd__nor3_2
+XFILLER_592_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_316_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42253_ _42263_/A _42253_/B _42253_/C VGND VGND VPWR VPWR _42253_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_550_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54239_ _77072_/Q _54239_/B VGND VGND VPWR VPWR _54239_/Y sky130_fd_sc_hd__nand2_2
+X_73073_ _73073_/A _73072_/X VGND VGND VPWR VPWR _73073_/Y sky130_fd_sc_hd__nand2_2
+X_77950_ _77950_/CLK _50904_/Y VGND VGND VPWR VPWR _77950_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_32101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70285_ _70285_/A VGND VGND VPWR VPWR _70285_/X sky130_fd_sc_hd__buf_1
+XFILLER_455_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_689_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_193_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41204_ _41240_/A VGND VGND VPWR VPWR _41205_/B sky130_fd_sc_hd__buf_1
+XFILLER_589_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76901_ _76907_/CLK _76901_/D VGND VGND VPWR VPWR _54876_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_370_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_316_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72024_ _72016_/X _72024_/B _72023_/X VGND VGND VPWR VPWR _72024_/X sky130_fd_sc_hd__or3_2
+XFILLER_253_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_153_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42184_ _42184_/A _43666_/A VGND VGND VPWR VPWR _42185_/B sky130_fd_sc_hd__or2_2
+XFILLER_535_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77881_ _77880_/CLK _77881_/D VGND VGND VPWR VPWR _62402_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_49_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_313_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_570_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_136_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48800_ _48889_/A _54553_/A VGND VGND VPWR VPWR _48803_/A sky130_fd_sc_hd__or2_2
+X_79620_ _79607_/CLK _79620_/D VGND VGND VPWR VPWR _67948_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_688_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41135_ _41618_/A _41147_/B VGND VGND VPWR VPWR _41137_/A sky130_fd_sc_hd__or2_2
+XFILLER_88_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76832_ _76843_/CLK _76832_/D VGND VGND VPWR VPWR _41670_/D sky130_fd_sc_hd__dfxtp_4
+XFILLER_341_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49780_ _78248_/Q _49765_/X VGND VGND VPWR VPWR _49782_/A sky130_fd_sc_hd__nand2_2
+XPHY_31455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46992_ _46992_/A _46991_/X VGND VGND VPWR VPWR _46993_/B sky130_fd_sc_hd__and2_2
+XFILLER_668_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58978_ _58978_/A _58978_/B _58977_/Y VGND VGND VPWR VPWR _58978_/Y sky130_fd_sc_hd__nor3_2
+XPHY_30721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_316_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48731_ _48731_/A _48731_/B VGND VGND VPWR VPWR _48731_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79551_ _78988_/Q _41875_/X _41864_/X VGND VGND VPWR VPWR _45284_/A sky130_fd_sc_hd__dfstp_4
+XFILLER_646_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45943_ _45943_/A _45943_/B VGND VGND VPWR VPWR _45946_/B sky130_fd_sc_hd__nor2_2
+X_41066_ _41018_/A VGND VGND VPWR VPWR _41066_/X sky130_fd_sc_hd__buf_1
+XFILLER_629_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57929_ _58170_/A _57923_/Y _57929_/C VGND VGND VPWR VPWR _57929_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_239_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76763_ _77028_/CLK _55835_/Y VGND VGND VPWR VPWR _76763_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_9373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73975_ _73973_/X _73975_/B VGND VGND VPWR VPWR _73975_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_568_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_583_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78502_ _78514_/CLK _78502_/D VGND VGND VPWR VPWR _48791_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_8661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40017_ _40257_/A _40121_/B VGND VGND VPWR VPWR _40017_/X sky130_fd_sc_hd__or2_2
+XPHY_20264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75714_ _75714_/A _75713_/Y VGND VGND VPWR VPWR _75714_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_191_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_583_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60940_ _60940_/A _60630_/B VGND VGND VPWR VPWR _60941_/C sky130_fd_sc_hd__nor2_2
+X_48662_ _63437_/A _48652_/B VGND VGND VPWR VPWR _48664_/A sky130_fd_sc_hd__nand2_2
+X_72926_ _72926_/A _72925_/Y VGND VGND VPWR VPWR _81307_/D sky130_fd_sc_hd__nand2_2
+XFILLER_3_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79482_ _79470_/CLK _42503_/Y VGND VGND VPWR VPWR _79482_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_428_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45874_ _45873_/X VGND VGND VPWR VPWR _45912_/B sky130_fd_sc_hd__buf_1
+XFILLER_154_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76694_ _79302_/CLK _76694_/D VGND VGND VPWR VPWR _70614_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_134_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_21_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_94_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_110_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47613_ _47610_/Y _47612_/X VGND VGND VPWR VPWR _78802_/D sky130_fd_sc_hd__nand2_2
+XFILLER_364_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78433_ _76762_/CLK _49058_/Y VGND VGND VPWR VPWR _63552_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_645_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44825_ _44796_/A _44825_/B _44824_/X VGND VGND VPWR VPWR _44825_/Y sky130_fd_sc_hd__nor3_2
+XPHY_7971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75645_ _75642_/X _75644_/Y VGND VGND VPWR VPWR _80640_/D sky130_fd_sc_hd__nand2_2
+XFILLER_362_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_544_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72857_ _73649_/A _72857_/B VGND VGND VPWR VPWR _72857_/X sky130_fd_sc_hd__or2_2
+X_60871_ _77399_/Q _60403_/B VGND VGND VPWR VPWR _60871_/Y sky130_fd_sc_hd__nor2_2
+XPHY_7982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48593_ _48470_/X _48590_/B VGND VGND VPWR VPWR _48593_/X sky130_fd_sc_hd__or2_2
+XFILLER_632_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_483_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_424_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62610_ _62292_/X _62610_/B _62609_/Y VGND VGND VPWR VPWR _62615_/B sky130_fd_sc_hd__nor3_2
+XFILLER_679_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_526_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71808_ _71808_/A VGND VGND VPWR VPWR _71809_/B sky130_fd_sc_hd__inv_8
+XFILLER_188_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47544_ _78819_/Q _47544_/B VGND VGND VPWR VPWR _47544_/Y sky130_fd_sc_hd__nand2_2
+X_78364_ _78405_/CLK _78364_/D VGND VGND VPWR VPWR _62903_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_542_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44756_ _44724_/A _44753_/Y _44755_/X VGND VGND VPWR VPWR _44756_/Y sky130_fd_sc_hd__nor3_2
+XPHY_29240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63590_ _78377_/Q _63125_/X VGND VGND VPWR VPWR _63591_/C sky130_fd_sc_hd__nor2_2
+XFILLER_95_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75576_ _75940_/A _75572_/B VGND VGND VPWR VPWR _75578_/A sky130_fd_sc_hd__or2_2
+XFILLER_444_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41968_ _41937_/X _45341_/B VGND VGND VPWR VPWR _41969_/B sky130_fd_sc_hd__or2_2
+XFILLER_613_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72788_ _72788_/A _72801_/B _81338_/Q VGND VGND VPWR VPWR _72788_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_1_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_499_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77315_ _77295_/CLK _77315_/D VGND VGND VPWR VPWR _53315_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_483_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43707_ _43944_/A VGND VGND VPWR VPWR _43707_/Y sky130_fd_sc_hd__inv_8
+X_62541_ _62541_/A _62227_/B VGND VGND VPWR VPWR _62541_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_641_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74527_ _64907_/A VGND VGND VPWR VPWR _74527_/Y sky130_fd_sc_hd__inv_8
+XFILLER_542_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40919_ _40414_/A _40915_/B VGND VGND VPWR VPWR _40921_/A sky130_fd_sc_hd__or2_2
+XFILLER_245_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47475_ _47443_/A _47481_/B VGND VGND VPWR VPWR _47475_/X sky130_fd_sc_hd__or2_2
+XFILLER_569_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71739_ _71717_/Y _71736_/X _71337_/X _71738_/Y VGND VGND VPWR VPWR _42700_/B sky130_fd_sc_hd__o22a_4
+X_78295_ _79145_/CLK _78295_/D VGND VGND VPWR VPWR _62113_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_622_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44687_ _68676_/A _44725_/B VGND VGND VPWR VPWR _44722_/C sky130_fd_sc_hd__nor2_2
+XFILLER_235_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41899_ _41868_/A _41898_/X _45006_/A _41873_/Y VGND VGND VPWR VPWR _41899_/X sky130_fd_sc_hd__o22a_4
+XFILLER_90_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49214_ _49214_/A _49208_/B VGND VGND VPWR VPWR _49214_/X sky130_fd_sc_hd__or2_2
+XPHY_28572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_640_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46426_ _46426_/A _46426_/B VGND VGND VPWR VPWR _46426_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_679_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65260_ _64742_/A _65258_/Y _65260_/C VGND VGND VPWR VPWR _65260_/Y sky130_fd_sc_hd__nor3_2
+X_77246_ _77259_/CLK _77246_/D VGND VGND VPWR VPWR _77246_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_396_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_37_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43638_ _43628_/X _43638_/B _43638_/C VGND VGND VPWR VPWR _43638_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_597_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74458_ _74457_/Y _74450_/B VGND VGND VPWR VPWR _74458_/X sky130_fd_sc_hd__or2_2
+X_62472_ _62787_/A _62470_/Y _62472_/C VGND VGND VPWR VPWR _62476_/B sky130_fd_sc_hd__nor3_2
+XPHY_18060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_598_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_657_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64211_ _64211_/A _64211_/B VGND VGND VPWR VPWR _64211_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_73_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2997 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61423_ _60788_/A _61421_/Y _61423_/C VGND VGND VPWR VPWR _61424_/C sky130_fd_sc_hd__nor3_2
+XFILLER_124_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49145_ _49145_/A _49140_/X VGND VGND VPWR VPWR _49145_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_594_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73409_ _74966_/A _73404_/B VGND VGND VPWR VPWR _73409_/X sky130_fd_sc_hd__or2_2
+XFILLER_594_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46357_ _46356_/B VGND VGND VPWR VPWR _46358_/B sky130_fd_sc_hd__inv_8
+X_65191_ _56687_/A _65013_/B VGND VGND VPWR VPWR _65191_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_203_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_653_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77177_ _77176_/CLK _53842_/Y VGND VGND VPWR VPWR _77177_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_27893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_245_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43569_ _43572_/A _43569_/B _43568_/Y VGND VGND VPWR VPWR _43569_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_160_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74389_ _74389_/A _74388_/Y VGND VGND VPWR VPWR _80945_/D sky130_fd_sc_hd__nand2_2
+XFILLER_321_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_392_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45308_ _45307_/Y _45032_/X VGND VGND VPWR VPWR _45314_/B sky130_fd_sc_hd__nor2_2
+XFILLER_176_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64142_ _65877_/A VGND VGND VPWR VPWR _64862_/B sky130_fd_sc_hd__buf_1
+XFILLER_230_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_76128_ _76152_/A VGND VGND VPWR VPWR _76147_/A sky130_fd_sc_hd__buf_1
+XFILLER_553_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61354_ _61354_/A _61354_/B VGND VGND VPWR VPWR _61355_/B sky130_fd_sc_hd__nor2_2
+XFILLER_223_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49076_ _47495_/A VGND VGND VPWR VPWR _49114_/A sky130_fd_sc_hd__buf_1
+XFILLER_32_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_0_0_u_core.clock clkbuf_9_1_0_u_core.clock/A VGND VGND VPWR VPWR _78006_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_575_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_297_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_69_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46288_ io_in[17] _46291_/B VGND VGND VPWR VPWR _46289_/C sky130_fd_sc_hd__nor2_2
+XFILLER_176_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_391_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_176_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_318_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60305_ _76996_/Q _60147_/X VGND VGND VPWR VPWR _60306_/C sky130_fd_sc_hd__nor2_2
+X_48027_ _78697_/Q _48015_/X VGND VGND VPWR VPWR _48027_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_147_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45239_ _45207_/A _45239_/B VGND VGND VPWR VPWR _45239_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_635_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68950_ _81322_/Q _68788_/B VGND VGND VPWR VPWR _68950_/Y sky130_fd_sc_hd__nor2_2
+X_64073_ _64100_/A VGND VGND VPWR VPWR _64121_/A sky130_fd_sc_hd__buf_1
+XFILLER_191_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76059_ _76057_/X _76059_/B VGND VGND VPWR VPWR _76059_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_454_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_714_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_129_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61285_ _61438_/A _61285_/B _61284_/Y VGND VGND VPWR VPWR _61289_/B sky130_fd_sc_hd__nor3_2
+XPHY_34081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_201_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_455_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_278_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_176_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67901_ _67901_/A _67901_/B _67901_/C VGND VGND VPWR VPWR _67905_/B sky130_fd_sc_hd__nor3_2
+X_63024_ _62709_/A _63024_/B _63023_/Y VGND VGND VPWR VPWR _63024_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_454_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60236_ _60236_/A VGND VGND VPWR VPWR _60388_/B sky130_fd_sc_hd__buf_1
+XFILLER_278_1283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68881_ _80458_/Q _68556_/B VGND VGND VPWR VPWR _68882_/C sky130_fd_sc_hd__nor2_2
+XFILLER_635_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39800_ _39800_/A VGND VGND VPWR VPWR _39819_/B sky130_fd_sc_hd__buf_1
+XFILLER_305_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_304_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_521_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_143_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67832_ _67664_/A _67830_/Y _67831_/Y VGND VGND VPWR VPWR _67832_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_333_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79818_ _79778_/CLK _40660_/Y VGND VGND VPWR VPWR _58518_/A sky130_fd_sc_hd__dfxtp_4
+X_60167_ _54111_/A _60167_/B VGND VGND VPWR VPWR _60167_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_710_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49978_ _49980_/A VGND VGND VPWR VPWR _49986_/B sky130_fd_sc_hd__buf_1
+XPHY_32690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_100_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_514_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_354_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_5_9_0_u_core.clock clkbuf_5_9_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_5_9_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_686_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39731_ _39731_/A _39730_/Y VGND VGND VPWR VPWR _80047_/D sky130_fd_sc_hd__nand2_2
+XFILLER_258_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_668_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_629_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48929_ _48926_/Y _48928_/X VGND VGND VPWR VPWR _48929_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_69_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67763_ _67763_/A _67592_/B VGND VGND VPWR VPWR _67763_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_298_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_258_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79749_ _79794_/CLK _40921_/Y VGND VGND VPWR VPWR _68112_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_562_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_3079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64975_ _64641_/X _64971_/Y _64975_/C VGND VGND VPWR VPWR _64976_/C sky130_fd_sc_hd__nor3_2
+X_60098_ _60098_/A _60083_/Y _60097_/Y VGND VGND VPWR VPWR _60098_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_44_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_80_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69502_ _58838_/A _69502_/B VGND VGND VPWR VPWR _69502_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_312_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66714_ _67217_/A _66714_/B _66713_/Y VGND VGND VPWR VPWR _66714_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_547_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_484_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51940_ _51940_/A _51939_/X VGND VGND VPWR VPWR _51940_/Y sky130_fd_sc_hd__nand2_2
+X_39662_ _39162_/A _39647_/B VGND VGND VPWR VPWR _39662_/X sky130_fd_sc_hd__or2_2
+Xclkbuf_9_332_0_u_core.clock clkbuf_9_333_0_u_core.clock/A VGND VGND VPWR VPWR _78671_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_389_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63926_ _78819_/Q _59527_/B VGND VGND VPWR VPWR _63928_/B sky130_fd_sc_hd__nor2_2
+XFILLER_566_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_724_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_312_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67694_ _67694_/A _67857_/B VGND VGND VPWR VPWR _67694_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_647_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_447_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_310_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_269_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_85_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_170_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38613_ _38613_/A _38468_/X VGND VGND VPWR VPWR _38616_/A sky130_fd_sc_hd__or2_2
+X_69433_ _69433_/A _64477_/X VGND VGND VPWR VPWR _69433_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_484_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_681_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66645_ _66329_/A _66641_/Y _66645_/C VGND VGND VPWR VPWR _66645_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_465_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_6_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51871_ _51868_/Y _51870_/X VGND VGND VPWR VPWR _77697_/D sky130_fd_sc_hd__nand2_2
+XFILLER_449_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39593_ _39589_/X _39592_/Y VGND VGND VPWR VPWR _80085_/D sky130_fd_sc_hd__nand2_2
+XPHY_5309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63857_ _63445_/X _63857_/B _63857_/C VGND VGND VPWR VPWR _63865_/B sky130_fd_sc_hd__nor3_2
+XFILLER_26_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_560_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_465_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_187_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_664_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1552 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_187_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_465_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53610_ _53610_/A _53610_/B VGND VGND VPWR VPWR _53610_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_27_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_427_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38544_ _38544_/A _38539_/B VGND VGND VPWR VPWR _38544_/X sky130_fd_sc_hd__or2_2
+XFILLER_473_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50822_ _62609_/A _50822_/B VGND VGND VPWR VPWR _50824_/A sky130_fd_sc_hd__nand2_2
+XFILLER_26_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62808_ _50733_/A _63110_/B VGND VGND VPWR VPWR _62810_/B sky130_fd_sc_hd__nor2_2
+X_69364_ _64317_/A _69364_/B _69364_/C VGND VGND VPWR VPWR _69365_/C sky130_fd_sc_hd__nor3_2
+XFILLER_380_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54590_ _76978_/Q _54590_/B VGND VGND VPWR VPWR _54590_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_345_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66576_ _66417_/X _66574_/Y _66576_/C VGND VGND VPWR VPWR _66576_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_265_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_525_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63788_ _63788_/A _64050_/B VGND VGND VPWR VPWR _63788_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_380_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_93_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68315_ _67994_/A _68309_/Y _68314_/Y VGND VGND VPWR VPWR _68315_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_226_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53541_ _53541_/A _53540_/X VGND VGND VPWR VPWR _77258_/D sky130_fd_sc_hd__nand2_2
+XFILLER_470_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65527_ _68231_/A VGND VGND VPWR VPWR _65849_/B sky130_fd_sc_hd__buf_1
+XPHY_3907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50753_ _61869_/A _50756_/B VGND VGND VPWR VPWR _50755_/A sky130_fd_sc_hd__nand2_2
+X_38475_ _38474_/X _38489_/B _69308_/A VGND VGND VPWR VPWR _38476_/B sky130_fd_sc_hd__nand3_2
+X_62739_ _62739_/A _62900_/B VGND VGND VPWR VPWR _62739_/Y sky130_fd_sc_hd__nor2_2
+X_69295_ _68694_/A _69293_/Y _69295_/C VGND VGND VPWR VPWR _69299_/B sky130_fd_sc_hd__nor3_2
+XFILLER_228_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_460_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_448_0_u_core.clock clkbuf_9_449_0_u_core.clock/A VGND VGND VPWR VPWR _81166_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_497_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_26_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_208_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_560_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_225_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80524_ _80465_/CLK _76082_/Y VGND VGND VPWR VPWR _69167_/A sky130_fd_sc_hd__dfxtp_4
+X_56260_ _56259_/X VGND VGND VPWR VPWR _58835_/B sky130_fd_sc_hd__buf_1
+XFILLER_165_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68246_ _81414_/Q _68092_/B VGND VGND VPWR VPWR _68248_/B sky130_fd_sc_hd__nor2_2
+XFILLER_263_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53472_ _77275_/Q _53476_/B VGND VGND VPWR VPWR _53475_/A sky130_fd_sc_hd__nand2_2
+X_65458_ _68989_/A VGND VGND VPWR VPWR _65949_/B sky130_fd_sc_hd__buf_1
+XFILLER_165_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_323_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50684_ _50655_/A _50677_/X VGND VGND VPWR VPWR _50685_/B sky130_fd_sc_hd__or2_2
+XFILLER_718_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55211_ _55097_/B VGND VGND VPWR VPWR _55212_/B sky130_fd_sc_hd__inv_8
+XFILLER_35_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52423_ _52338_/A _52420_/B VGND VGND VPWR VPWR _52424_/B sky130_fd_sc_hd__or2_2
+X_64409_ _64409_/A _65013_/B VGND VGND VPWR VPWR _64412_/B sky130_fd_sc_hd__nor2_2
+XPHY_25209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56191_ _56009_/X _56191_/B VGND VGND VPWR VPWR _56192_/C sky130_fd_sc_hd__nor2_2
+X_80455_ _80421_/CLK _76376_/Y VGND VGND VPWR VPWR _80455_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68177_ _44684_/A _68021_/B VGND VGND VPWR VPWR _68177_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_178_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65389_ _75401_/C _66057_/B VGND VGND VPWR VPWR _65389_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_298_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_573_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55142_ _55142_/A _55142_/B VGND VGND VPWR VPWR _55142_/X sky130_fd_sc_hd__or2_2
+XFILLER_202_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67128_ _79743_/Q _66789_/X VGND VGND VPWR VPWR _67129_/C sky130_fd_sc_hd__nor2_2
+XFILLER_241_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_618_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_107_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52354_ _52347_/A VGND VGND VPWR VPWR _52364_/B sky130_fd_sc_hd__buf_1
+XFILLER_705_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80386_ _80384_/CLK _80386_/D VGND VGND VPWR VPWR _67553_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_519_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_52_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_543_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_309_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_87_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39027_ _39024_/A _39038_/B _80227_/Q VGND VGND VPWR VPWR _39027_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_438_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51305_ _51391_/A _51305_/B VGND VGND VPWR VPWR _51305_/X sky130_fd_sc_hd__or2_2
+XFILLER_339_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59950_ _54170_/A _59797_/B VGND VGND VPWR VPWR _59952_/B sky130_fd_sc_hd__nor2_2
+X_55073_ _55073_/A _55073_/B VGND VGND VPWR VPWR _55073_/X sky130_fd_sc_hd__or2_2
+XFILLER_182_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67059_ _66900_/A _67057_/Y _67058_/Y VGND VGND VPWR VPWR _67060_/C sky130_fd_sc_hd__nor3_2
+XFILLER_617_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_634_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_181_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52285_ _52282_/Y _52285_/B VGND VGND VPWR VPWR _77588_/D sky130_fd_sc_hd__nand2_2
+XFILLER_571_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_135_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_175_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_536_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_531_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54024_ _53938_/A _54014_/X VGND VGND VPWR VPWR _54025_/B sky130_fd_sc_hd__or2_2
+X_58901_ _58901_/A VGND VGND VPWR VPWR _59137_/A sky130_fd_sc_hd__buf_1
+XFILLER_254_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_382_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51236_ _51236_/A _51235_/Y VGND VGND VPWR VPWR _77860_/D sky130_fd_sc_hd__nand2_2
+XFILLER_352_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70070_ _69966_/X _70066_/Y VGND VGND VPWR VPWR _70883_/A sky130_fd_sc_hd__and2_2
+XPHY_13339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59881_ _59881_/A _60361_/B VGND VGND VPWR VPWR _59881_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_274_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_472_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_137_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_536_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_513_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_270_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81007_ _80597_/CLK _74154_/Y VGND VGND VPWR VPWR _81007_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_697_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58832_ _79598_/Q _58765_/B VGND VGND VPWR VPWR _58833_/C sky130_fd_sc_hd__nor2_2
+XFILLER_669_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51167_ _51167_/A _51142_/A VGND VGND VPWR VPWR _51167_/X sky130_fd_sc_hd__or2_2
+XFILLER_333_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_485_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_311_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_5_17_0_u_core.clock clkbuf_4_8_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_6_35_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_30028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_317_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_172_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_134_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50118_ _61930_/A _50114_/B VGND VGND VPWR VPWR _50121_/A sky130_fd_sc_hd__nand2_2
+XFILLER_489_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_647_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39929_ _40288_/A _39921_/X VGND VGND VPWR VPWR _39932_/A sky130_fd_sc_hd__or2_2
+XFILLER_295_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58763_ _56265_/A _58763_/B VGND VGND VPWR VPWR _58780_/B sky130_fd_sc_hd__nor2_2
+XFILLER_249_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_645_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55975_ _55975_/A VGND VGND VPWR VPWR _55987_/B sky130_fd_sc_hd__buf_1
+X_51098_ _77897_/Q _51086_/X VGND VGND VPWR VPWR _51098_/Y sky130_fd_sc_hd__nand2_2
+XPHY_11959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_725_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_584_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_723_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_68_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57714_ _57714_/A _57712_/Y _57714_/C VGND VGND VPWR VPWR _57715_/C sky130_fd_sc_hd__nor3_2
+XFILLER_235_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_40_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42940_ _42940_/A _42948_/B VGND VGND VPWR VPWR _42940_/X sky130_fd_sc_hd__or2_2
+XFILLER_153_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50049_ _78176_/Q _50049_/B VGND VGND VPWR VPWR _50049_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_405_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54926_ _59602_/A _54929_/B VGND VGND VPWR VPWR _54928_/A sky130_fd_sc_hd__nand2_2
+XFILLER_626_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73760_ _73758_/X _73759_/Y VGND VGND VPWR VPWR _81100_/D sky130_fd_sc_hd__nand2_2
+XFILLER_330_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70972_ _70952_/A _71881_/A _71899_/A _70972_/D VGND VGND VPWR VPWR _72097_/A sky130_fd_sc_hd__or4_2
+X_58694_ _58560_/A _58694_/B _58693_/Y VGND VGND VPWR VPWR _58694_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_79_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_131_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_194_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_647_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_252_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_629_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_410_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72711_ _72832_/A _72824_/B VGND VGND VPWR VPWR _72715_/A sky130_fd_sc_hd__or2_2
+XPHY_6522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57645_ _67164_/A _57645_/B VGND VGND VPWR VPWR _57645_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_48_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42871_ _41856_/Y _42257_/A _41857_/Y _42260_/A VGND VGND VPWR VPWR _42871_/X sky130_fd_sc_hd__o22a_4
+XFILLER_248_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54857_ _54857_/A _54867_/B VGND VGND VPWR VPWR _54859_/A sky130_fd_sc_hd__nand2_2
+XFILLER_75_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73691_ _73811_/A _73680_/B VGND VGND VPWR VPWR _73691_/X sky130_fd_sc_hd__or2_2
+XFILLER_445_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_229_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_246_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44610_ _44610_/A _44604_/Y _44610_/C VGND VGND VPWR VPWR _44610_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_25_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_217_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75430_ _74672_/A VGND VGND VPWR VPWR _75835_/A sky130_fd_sc_hd__buf_1
+XFILLER_642_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41822_ _41821_/Y _41796_/B VGND VGND VPWR VPWR _41822_/X sky130_fd_sc_hd__or2_2
+XFILLER_723_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53808_ _77186_/Q _53808_/B VGND VGND VPWR VPWR _53808_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_445_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_346_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72642_ _72678_/A VGND VGND VPWR VPWR _72656_/A sky130_fd_sc_hd__buf_1
+XFILLER_40_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45590_ _71504_/A _45580_/B VGND VGND VPWR VPWR _45590_/Y sky130_fd_sc_hd__nor2_2
+X_57576_ _80318_/Q _57264_/X VGND VGND VPWR VPWR _57578_/B sky130_fd_sc_hd__nor2_2
+XPHY_38325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54788_ _54797_/A VGND VGND VPWR VPWR _54803_/B sky130_fd_sc_hd__buf_1
+XFILLER_229_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_57_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_704_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_433_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59315_ _59304_/X _59315_/B _59314_/Y VGND VGND VPWR VPWR _59315_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_207_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56527_ _56527_/A VGND VGND VPWR VPWR _56768_/B sky130_fd_sc_hd__buf_1
+XFILLER_421_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44541_ _79219_/Q _44541_/B VGND VGND VPWR VPWR _44542_/B sky130_fd_sc_hd__nor2_2
+XPHY_5876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75361_ _75359_/X _75360_/Y VGND VGND VPWR VPWR _80704_/D sky130_fd_sc_hd__nand2_2
+XFILLER_73_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41753_ _41753_/A VGND VGND VPWR VPWR _41753_/X sky130_fd_sc_hd__buf_1
+XPHY_38369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53739_ _53739_/A _53757_/B VGND VGND VPWR VPWR _53740_/B sky130_fd_sc_hd__or2_2
+X_72573_ _72318_/X _72576_/B VGND VGND VPWR VPWR _72575_/A sky130_fd_sc_hd__or2_2
+XFILLER_324_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_480_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_560_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_2841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_426_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77100_ _77093_/CLK _54138_/Y VGND VGND VPWR VPWR _77100_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_309_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74312_ _74308_/A _74308_/B _74312_/C VGND VGND VPWR VPWR _74312_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_18_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_6_50_0_u_core.clock clkbuf_6_50_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_6_50_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_324_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40704_ _40702_/X _40704_/B VGND VGND VPWR VPWR _79806_/D sky130_fd_sc_hd__nand2_2
+XFILLER_72_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47260_ wbs_adr_i[2] _47259_/X VGND VGND VPWR VPWR _47260_/X sky130_fd_sc_hd__or2_2
+XFILLER_207_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59246_ _63634_/A _59246_/B _59245_/Y VGND VGND VPWR VPWR _59247_/C sky130_fd_sc_hd__nor3_2
+X_71524_ _70410_/Y _71392_/B VGND VGND VPWR VPWR _71524_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78080_ _78080_/CLK _78080_/D VGND VGND VPWR VPWR _62207_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44472_ _74985_/A _44395_/B VGND VGND VPWR VPWR _44473_/C sky130_fd_sc_hd__nor2_2
+XFILLER_566_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56458_ _56458_/A _56458_/B VGND VGND VPWR VPWR _56459_/B sky130_fd_sc_hd__nor2_2
+X_75292_ _75278_/X _75280_/B _80721_/Q VGND VGND VPWR VPWR _75293_/B sky130_fd_sc_hd__nand3_2
+XFILLER_524_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_502_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41684_ _41651_/X VGND VGND VPWR VPWR _41706_/C sky130_fd_sc_hd__buf_1
+XPHY_36934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_204_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_626_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46211_ _46243_/A _46205_/B _46211_/C VGND VGND VPWR VPWR _79036_/D sky130_fd_sc_hd__nor3_2
+XFILLER_103_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77031_ _78386_/CLK _54395_/Y VGND VGND VPWR VPWR _59508_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43423_ _43385_/X VGND VGND VPWR VPWR _43423_/X sky130_fd_sc_hd__buf_1
+X_55409_ _55440_/A VGND VGND VPWR VPWR _55409_/X sky130_fd_sc_hd__buf_1
+X_74243_ _74242_/X VGND VGND VPWR VPWR _74243_/X sky130_fd_sc_hd__buf_1
+XPHY_36967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40635_ _40514_/A _40522_/X VGND VGND VPWR VPWR _40635_/X sky130_fd_sc_hd__or2_2
+XPHY_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59177_ _59410_/A _59177_/B _59176_/Y VGND VGND VPWR VPWR _59178_/B sky130_fd_sc_hd__nor3_2
+XFILLER_73_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47191_ _47110_/B VGND VGND VPWR VPWR _47192_/B sky130_fd_sc_hd__inv_8
+X_71455_ _70397_/Y _71455_/B VGND VGND VPWR VPWR _71457_/B sky130_fd_sc_hd__or2_2
+XFILLER_619_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56389_ _56389_/A VGND VGND VPWR VPWR _56488_/A sky130_fd_sc_hd__buf_1
+XPHY_252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_374_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_474_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_318_204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58128_ _57729_/A VGND VGND VPWR VPWR _58201_/B sky130_fd_sc_hd__buf_1
+XFILLER_51_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46142_ _46142_/A _78955_/Q VGND VGND VPWR VPWR _46142_/X sky130_fd_sc_hd__or2_2
+X_70406_ _69895_/A _70405_/X VGND VGND VPWR VPWR _70410_/A sky130_fd_sc_hd__or2_2
+XFILLER_185_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43354_ _43360_/A _43354_/B _56560_/A VGND VGND VPWR VPWR _43354_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_160_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74174_ _74169_/A _74165_/B _81003_/Q VGND VGND VPWR VPWR _74175_/B sky130_fd_sc_hd__nand3_2
+XFILLER_562_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40566_ _40563_/A _40563_/B _67824_/A VGND VGND VPWR VPWR _40566_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_86_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71386_ _71378_/X _71386_/B _71383_/Y _71385_/Y VGND VGND VPWR VPWR _71386_/X sky130_fd_sc_hd__or4_2
+XFILLER_400_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_16_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_298_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1283 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_670_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_220_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42305_ _42290_/X VGND VGND VPWR VPWR _42305_/Y sky130_fd_sc_hd__inv_8
+XFILLER_107_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_129_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73125_ _74953_/A _73253_/B VGND VGND VPWR VPWR _73126_/B sky130_fd_sc_hd__or2_2
+XFILLER_392_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46073_ _46064_/A _46070_/Y _46073_/C VGND VGND VPWR VPWR _79039_/D sky130_fd_sc_hd__nor3_2
+XFILLER_563_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58059_ _39024_/C _57737_/X VGND VGND VPWR VPWR _58061_/B sky130_fd_sc_hd__nor2_2
+XFILLER_103_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70337_ _70324_/X _70336_/Y VGND VGND VPWR VPWR _70337_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_494_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43285_ _43266_/X VGND VGND VPWR VPWR _43286_/B sky130_fd_sc_hd__buf_1
+XFILLER_723_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_346_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_138_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78982_ _79100_/CLK _78982_/D _46684_/X VGND VGND VPWR VPWR _78982_/Q sky130_fd_sc_hd__dfrtp_4
+XFILLER_550_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40497_ _39230_/A VGND VGND VPWR VPWR _40497_/X sky130_fd_sc_hd__buf_1
+XFILLER_377_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_184_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_472_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49901_ _49845_/A _49907_/B VGND VGND VPWR VPWR _49902_/B sky130_fd_sc_hd__or2_2
+X_45024_ _45264_/A VGND VGND VPWR VPWR _45113_/A sky130_fd_sc_hd__buf_1
+XFILLER_534_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42236_ _42236_/A _42236_/B _42200_/X VGND VGND VPWR VPWR _42237_/C sky130_fd_sc_hd__nor3_2
+XFILLER_138_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61070_ _77433_/Q _61070_/B VGND VGND VPWR VPWR _61073_/B sky130_fd_sc_hd__nor2_2
+X_73056_ _73056_/A _73061_/B _66597_/A VGND VGND VPWR VPWR _73056_/Y sky130_fd_sc_hd__nand3_2
+X_77933_ _77937_/CLK _50963_/Y VGND VGND VPWR VPWR _61692_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_374_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70268_ _70268_/A _70268_/B VGND VGND VPWR VPWR _70268_/X sky130_fd_sc_hd__or2_2
+XPHY_14574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_159_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60021_ _59547_/A _60019_/Y _60020_/Y VGND VGND VPWR VPWR _60021_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_589_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72007_ _72007_/A _72007_/B _71253_/X VGND VGND VPWR VPWR _72007_/X sky130_fd_sc_hd__or3_2
+XPHY_13862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49832_ _49917_/A _49847_/B VGND VGND VPWR VPWR _49832_/X sky130_fd_sc_hd__or2_2
+XFILLER_589_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_10_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42167_ _42167_/A _42167_/B VGND VGND VPWR VPWR _42169_/A sky130_fd_sc_hd__nor2_2
+XFILLER_648_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_171_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77864_ _77998_/CLK _77864_/D VGND VGND VPWR VPWR _62182_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_587_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70199_ _70199_/A _70198_/X VGND VGND VPWR VPWR _70200_/B sky130_fd_sc_hd__nand2_2
+XFILLER_214_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_583_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_2799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_292_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79603_ _79635_/CLK _41498_/Y VGND VGND VPWR VPWR _56717_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_218_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_330_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41118_ _41123_/A _41118_/B _56978_/A VGND VGND VPWR VPWR _41118_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_140_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76815_ _76815_/CLK _76815_/D VGND VGND VPWR VPWR _76815_/Q sky130_fd_sc_hd__dfxtp_4
+X_49763_ _49912_/A VGND VGND VPWR VPWR _49828_/B sky130_fd_sc_hd__buf_1
+XPHY_30540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46975_ _70476_/X _46983_/B VGND VGND VPWR VPWR _46976_/C sky130_fd_sc_hd__and2_2
+XFILLER_286_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42098_ _42098_/A VGND VGND VPWR VPWR _42099_/B sky130_fd_sc_hd__buf_1
+XFILLER_368_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77795_ _77790_/CLK _51504_/Y VGND VGND VPWR VPWR _61485_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_218_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_190_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_298_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_30_0_u_core.clock clkbuf_7_31_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_30_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_351_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_3218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_411_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48714_ _48705_/A VGND VGND VPWR VPWR _48724_/B sky130_fd_sc_hd__buf_1
+XPHY_9192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_20050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79534_ _79511_/CLK _79534_/D VGND VGND VPWR VPWR _70054_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_212_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64760_ _64760_/A _64920_/B VGND VGND VPWR VPWR _64760_/Y sky130_fd_sc_hd__nor2_2
+X_41049_ _41036_/A _41030_/B _68111_/A VGND VGND VPWR VPWR _41050_/B sky130_fd_sc_hd__nand3_2
+XFILLER_62_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45926_ _45812_/A VGND VGND VPWR VPWR _45926_/X sky130_fd_sc_hd__buf_1
+XPHY_30584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76746_ _78586_/CLK _76746_/D VGND VGND VPWR VPWR _76746_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_485_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_624_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73958_ _73883_/X VGND VGND VPWR VPWR _73973_/B sky130_fd_sc_hd__buf_1
+X_49694_ _49694_/A _49693_/X VGND VGND VPWR VPWR _49694_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_171_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61972_ _78318_/Q _61664_/B VGND VGND VPWR VPWR _61973_/C sky130_fd_sc_hd__nor2_2
+XPHY_30595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_607_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_2105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63711_ _59468_/A _63711_/B _63710_/Y VGND VGND VPWR VPWR _63719_/B sky130_fd_sc_hd__nor3_2
+XFILLER_7_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_548_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48645_ _47886_/A _48578_/B VGND VGND VPWR VPWR _54404_/A sky130_fd_sc_hd__or2_2
+X_60923_ _60923_/A _60923_/B _60922_/Y VGND VGND VPWR VPWR _60923_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_266_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72909_ _74746_/A VGND VGND VPWR VPWR _73811_/A sky130_fd_sc_hd__buf_1
+XFILLER_466_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79465_ _79533_/CLK _42693_/Y VGND VGND VPWR VPWR _55192_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_626_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45857_ _45728_/B _45857_/B _45847_/C VGND VGND VPWR VPWR _45858_/C sky130_fd_sc_hd__nor3_2
+X_64691_ _64851_/A _64651_/Y _64690_/Y VGND VGND VPWR VPWR _64692_/C sky130_fd_sc_hd__nor3_2
+XFILLER_310_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76677_ _76677_/CLK _55951_/Y VGND VGND VPWR VPWR _76677_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_644_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73889_ _73886_/A _73874_/B _68895_/A VGND VGND VPWR VPWR _73890_/B sky130_fd_sc_hd__nand3_2
+XFILLER_23_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_643_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66430_ _66430_/A _66262_/X VGND VGND VPWR VPWR _66430_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_212_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_282_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78416_ _77915_/CLK _49128_/Y VGND VGND VPWR VPWR _63421_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_110_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44808_ _44808_/A _44752_/X VGND VGND VPWR VPWR _44811_/B sky130_fd_sc_hd__nor2_2
+XFILLER_698_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63642_ _63642_/A _59210_/B VGND VGND VPWR VPWR _63644_/B sky130_fd_sc_hd__nor2_2
+XFILLER_169_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75628_ _75988_/A _75635_/B VGND VGND VPWR VPWR _75628_/X sky130_fd_sc_hd__or2_2
+XFILLER_149_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48576_ _48828_/A _47569_/B _48101_/C VGND VGND VPWR VPWR _48576_/X sky130_fd_sc_hd__or3_2
+X_60854_ _60227_/A _60854_/B _60854_/C VGND VGND VPWR VPWR _60855_/C sky130_fd_sc_hd__nor3_2
+XFILLER_110_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_612_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79396_ _79368_/CLK _43264_/Y VGND VGND VPWR VPWR _68928_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_188_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45788_ _79096_/Q _55870_/A VGND VGND VPWR VPWR _45788_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_130_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_694_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_620_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_307_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_470_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47527_ _47527_/A VGND VGND VPWR VPWR _47850_/A sky130_fd_sc_hd__buf_1
+X_66361_ _66361_/A VGND VGND VPWR VPWR _66849_/A sky130_fd_sc_hd__buf_1
+XFILLER_282_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78347_ _78849_/CLK _78347_/D VGND VGND VPWR VPWR _62739_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_247_1536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44739_ _72866_/A _44636_/B VGND VGND VPWR VPWR _44740_/C sky130_fd_sc_hd__nor2_2
+XFILLER_93_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75559_ _75508_/A VGND VGND VPWR VPWR _75560_/A sky130_fd_sc_hd__buf_1
+XPHY_29070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_405_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63573_ _59090_/A _63573_/B VGND VGND VPWR VPWR _63606_/B sky130_fd_sc_hd__nor2_2
+XFILLER_397_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_362_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_74_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60785_ _59990_/A VGND VGND VPWR VPWR _60788_/A sky130_fd_sc_hd__buf_1
+XFILLER_223_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68100_ _68100_/A _68099_/Y VGND VGND VPWR VPWR _68101_/B sky130_fd_sc_hd__nor2_2
+XFILLER_405_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65312_ _56781_/A _65312_/B VGND VGND VPWR VPWR _65312_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_78_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38260_ _38260_/A _38260_/B _80422_/Q VGND VGND VPWR VPWR _38260_/Y sky130_fd_sc_hd__nand3_2
+X_62524_ _62049_/X _62524_/B _62523_/Y VGND VGND VPWR VPWR _62524_/Y sky130_fd_sc_hd__nor3_2
+XPHY_38892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69080_ _58641_/A _69080_/B VGND VGND VPWR VPWR _69082_/B sky130_fd_sc_hd__nor2_2
+XFILLER_223_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_6_0_u_core.clock clkbuf_8_6_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_6_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_47458_ _47426_/A _53279_/A VGND VGND VPWR VPWR _47461_/A sky130_fd_sc_hd__or2_2
+X_66292_ _66125_/A _66291_/Y VGND VGND VPWR VPWR _66292_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_369_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_323_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_362_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78278_ _78277_/CLK _49674_/Y VGND VGND VPWR VPWR _61948_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_90_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_225_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68031_ _68031_/A _67864_/B VGND VGND VPWR VPWR _68034_/B sky130_fd_sc_hd__nor2_2
+XFILLER_222_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46409_ _46407_/B VGND VGND VPWR VPWR _46410_/B sky130_fd_sc_hd__inv_8
+X_65243_ _65903_/A _65243_/B _65243_/C VGND VGND VPWR VPWR _65244_/C sky130_fd_sc_hd__nor3_2
+X_77229_ _77211_/CLK _53649_/Y VGND VGND VPWR VPWR _53646_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_421_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_264_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62455_ _62455_/A _61983_/X VGND VGND VPWR VPWR _62455_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_679_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47389_ _47426_/A _53221_/A VGND VGND VPWR VPWR _47415_/B sky130_fd_sc_hd__or2_2
+XFILLER_555_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_122_0_u_core.clock clkbuf_8_61_0_u_core.clock/X VGND VGND VPWR VPWR _77749_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_91_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_555_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_554_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61406_ _61551_/A _61406_/B _61406_/C VGND VGND VPWR VPWR _61406_/Y sky130_fd_sc_hd__nor3_2
+X_80240_ _80239_/CLK _80240_/D VGND VGND VPWR VPWR _38976_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49128_ _49128_/A _49127_/X VGND VGND VPWR VPWR _49128_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_52_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65174_ _56669_/A _65523_/B VGND VGND VPWR VPWR _65174_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_31_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62386_ _60824_/A VGND VGND VPWR VPWR _62387_/B sky130_fd_sc_hd__buf_1
+XFILLER_258_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_565_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_10_0_u_core.clock clkbuf_7_5_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_21_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_492_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_457_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_199_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_473_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_715_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64125_ _66700_/A VGND VGND VPWR VPWR _69148_/B sky130_fd_sc_hd__buf_1
+XFILLER_160_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_473_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49059_ _63400_/A _49052_/B VGND VGND VPWR VPWR _49061_/A sky130_fd_sc_hd__nand2_2
+X_61337_ _59402_/A VGND VGND VPWR VPWR _61344_/A sky130_fd_sc_hd__buf_1
+XFILLER_223_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80171_ _80178_/CLK _80171_/D VGND VGND VPWR VPWR _80171_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_391_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_297_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_231_0_u_core.clock clkbuf_8_230_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_463_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_451_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69982_ _55177_/A VGND VGND VPWR VPWR _69982_/Y sky130_fd_sc_hd__inv_8
+XFILLER_670_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_580_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_69_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_392_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_291_0_u_core.clock clkbuf_9_291_0_u_core.clock/A VGND VGND VPWR VPWR _81206_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_650_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52070_ _52070_/A _52069_/X VGND VGND VPWR VPWR _77644_/D sky130_fd_sc_hd__nand2_2
+X_68933_ _69218_/A _68931_/Y _68932_/Y VGND VGND VPWR VPWR _68934_/C sky130_fd_sc_hd__nor3_2
+X_64056_ _59349_/A _64056_/B _64056_/C VGND VGND VPWR VPWR _64056_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_391_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61268_ _59184_/A VGND VGND VPWR VPWR _61278_/A sky130_fd_sc_hd__buf_1
+XFILLER_631_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_668_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_209_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51021_ _61860_/A _51017_/B VGND VGND VPWR VPWR _51024_/A sky130_fd_sc_hd__nand2_2
+X_63007_ _62226_/A VGND VGND VPWR VPWR _63008_/B sky130_fd_sc_hd__buf_1
+XFILLER_28_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60219_ _60219_/A _60219_/B VGND VGND VPWR VPWR _60220_/B sky130_fd_sc_hd__nor2_2
+Xclkbuf_9_238_0_u_core.clock clkbuf_9_239_0_u_core.clock/A VGND VGND VPWR VPWR _79772_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_236_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_451_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_195_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68864_ _68864_/A _68195_/B VGND VGND VPWR VPWR _68864_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_613_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_193_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61199_ _58881_/A VGND VGND VPWR VPWR _61397_/A sky130_fd_sc_hd__buf_1
+XFILLER_132_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67815_ _57945_/A _67649_/B VGND VGND VPWR VPWR _67816_/C sky130_fd_sc_hd__nor2_2
+XFILLER_104_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68795_ _80265_/Q _68625_/B VGND VGND VPWR VPWR _68797_/B sky130_fd_sc_hd__nor2_2
+XFILLER_478_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_119_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39714_ _39704_/A _39710_/X _39714_/C VGND VGND VPWR VPWR _39715_/B sky130_fd_sc_hd__nand3_2
+XFILLER_63_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_486_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55760_ _55760_/A _55759_/X VGND VGND VPWR VPWR _55760_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_389_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67746_ _67746_/A VGND VGND VPWR VPWR _68401_/A sky130_fd_sc_hd__buf_1
+XFILLER_24_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52972_ _53028_/A _52993_/B VGND VGND VPWR VPWR _52973_/B sky130_fd_sc_hd__or2_2
+X_64958_ _64470_/A VGND VGND VPWR VPWR _64959_/A sky130_fd_sc_hd__buf_1
+XFILLER_252_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_100_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_386_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_189_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_636_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_246_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_286_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_135_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54711_ _59943_/A _54718_/B VGND VGND VPWR VPWR _54711_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_86_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51923_ _51895_/A _51935_/B VGND VGND VPWR VPWR _51923_/X sky130_fd_sc_hd__or2_2
+X_39645_ _39645_/A _39645_/B VGND VGND VPWR VPWR _39645_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_97_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63909_ _63909_/A _63359_/X VGND VGND VPWR VPWR _63909_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_484_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55691_ _55689_/X _55691_/B VGND VGND VPWR VPWR _55692_/B sky130_fd_sc_hd__and2_2
+X_67677_ _67013_/X _67677_/B _67676_/Y VGND VGND VPWR VPWR _67678_/C sky130_fd_sc_hd__nor3_2
+XFILLER_664_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_629_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64889_ _64889_/A _64727_/B VGND VGND VPWR VPWR _64889_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_462_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57430_ _66632_/A _57430_/B VGND VGND VPWR VPWR _57430_/Y sky130_fd_sc_hd__nor2_2
+X_69416_ _64248_/A _69416_/B _69416_/C VGND VGND VPWR VPWR _69417_/D sky130_fd_sc_hd__nor3_2
+XFILLER_624_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_582_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54642_ _54642_/A _54641_/X VGND VGND VPWR VPWR _54642_/X sky130_fd_sc_hd__or2_2
+XFILLER_345_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66628_ _65973_/A _66628_/B _66627_/Y VGND VGND VPWR VPWR _66628_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_96_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51854_ _77700_/Q _51853_/X VGND VGND VPWR VPWR _51854_/Y sky130_fd_sc_hd__nand2_2
+X_39576_ _39203_/A _39565_/B VGND VGND VPWR VPWR _39576_/X sky130_fd_sc_hd__or2_2
+XPHY_19519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_522_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_422_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_307 _48009_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_183_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_599_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38527_ _38523_/A _38523_/B _67552_/A VGND VGND VPWR VPWR _38528_/B sky130_fd_sc_hd__nand3_2
+XFILLER_443_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50805_ _50803_/Y _50804_/X VGND VGND VPWR VPWR _77976_/D sky130_fd_sc_hd__nand2_2
+XFILLER_2_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_318 _47821_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_57361_ _57361_/A _57118_/B VGND VGND VPWR VPWR _57361_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_423_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69347_ _69487_/A _69339_/Y _69346_/Y VGND VGND VPWR VPWR _69347_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54573_ _54658_/A _54573_/B VGND VGND VPWR VPWR _54573_/X sky130_fd_sc_hd__or2_2
+XFILLER_345_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66559_ _66559_/A VGND VGND VPWR VPWR _66725_/B sky130_fd_sc_hd__buf_1
+XFILLER_560_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_329 _48948_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_57_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51785_ _51783_/Y _51784_/X VGND VGND VPWR VPWR _77720_/D sky130_fd_sc_hd__nand2_2
+XFILLER_462_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_497_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59100_ _59100_/A VGND VGND VPWR VPWR _62370_/A sky130_fd_sc_hd__buf_1
+XFILLER_54_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56312_ _38857_/C _56312_/B VGND VGND VPWR VPWR _56313_/C sky130_fd_sc_hd__nor2_2
+XFILLER_427_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53524_ _53524_/A _53521_/B VGND VGND VPWR VPWR _53524_/X sky130_fd_sc_hd__or2_2
+XFILLER_388_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_36219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38458_ _38458_/A _38458_/B VGND VGND VPWR VPWR _38458_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_548_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50736_ _50733_/Y _50735_/X VGND VGND VPWR VPWR _77996_/D sky130_fd_sc_hd__nand2_2
+X_57292_ _57292_/A _57048_/X VGND VGND VPWR VPWR _57293_/C sky130_fd_sc_hd__nor2_2
+XFILLER_388_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69278_ _69138_/A _69278_/B _69278_/C VGND VGND VPWR VPWR _69278_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_440_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_41_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_595_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_180_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_208_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_341_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59031_ _59349_/A _59031_/B _59031_/C VGND VGND VPWR VPWR _59032_/C sky130_fd_sc_hd__nor3_2
+XFILLER_201_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_55_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_110_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56243_ _56242_/X VGND VGND VPWR VPWR _58833_/A sky130_fd_sc_hd__buf_1
+X_80507_ _80508_/CLK _80507_/D VGND VGND VPWR VPWR _76166_/C sky130_fd_sc_hd__dfxtp_4
+X_68229_ _68229_/A _68229_/B _68229_/C VGND VGND VPWR VPWR _68236_/B sky130_fd_sc_hd__nor3_2
+XFILLER_675_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_576_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53455_ _53453_/Y _53454_/X VGND VGND VPWR VPWR _77280_/D sky130_fd_sc_hd__nand2_2
+XFILLER_52_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38389_ _38392_/A _38400_/B _80388_/Q VGND VGND VPWR VPWR _38389_/Y sky130_fd_sc_hd__nand3_2
+X_50667_ _54489_/A _50759_/B VGND VGND VPWR VPWR _50667_/X sky130_fd_sc_hd__or2_2
+XFILLER_690_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81487_ _81513_/CLK _72121_/Y VGND VGND VPWR VPWR _56333_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_161_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_1528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40420_ _40415_/A _40429_/B _67992_/A VGND VGND VPWR VPWR _40421_/B sky130_fd_sc_hd__nand3_2
+XFILLER_167_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52406_ _52406_/A _52413_/B VGND VGND VPWR VPWR _52408_/A sky130_fd_sc_hd__nand2_2
+XPHY_25039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71240_ _71240_/A VGND VGND VPWR VPWR _71240_/X sky130_fd_sc_hd__buf_1
+XFILLER_675_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56174_ _56174_/A _56174_/B _56174_/C VGND VGND VPWR VPWR wbs_dat_o[28] sky130_fd_sc_hd__nor3_2
+X_80438_ _80408_/CLK _76438_/Y VGND VGND VPWR VPWR _76437_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_544_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53386_ _53444_/A _53401_/B VGND VGND VPWR VPWR _53387_/B sky130_fd_sc_hd__or2_2
+XPHY_34839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50598_ _62192_/A _50584_/B VGND VGND VPWR VPWR _50600_/A sky130_fd_sc_hd__nand2_2
+XFILLER_220_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_378_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_393_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_100_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_519_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55125_ _55133_/A VGND VGND VPWR VPWR _55144_/A sky130_fd_sc_hd__buf_1
+X_40351_ _39991_/A _40341_/B VGND VGND VPWR VPWR _40351_/X sky130_fd_sc_hd__or2_2
+XFILLER_319_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52337_ _52784_/A VGND VGND VPWR VPWR _52338_/A sky130_fd_sc_hd__buf_1
+XFILLER_617_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71171_ _70244_/Y _71171_/B VGND VGND VPWR VPWR _71171_/X sky130_fd_sc_hd__or2_2
+XFILLER_10_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_301_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_52_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80369_ _80370_/CLK _38458_/Y VGND VGND VPWR VPWR _64728_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_519_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_374_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_519_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70122_ _70122_/A VGND VGND VPWR VPWR _70123_/A sky130_fd_sc_hd__inv_8
+XFILLER_515_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_272_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43070_ _46109_/A _43075_/B _43070_/C VGND VGND VPWR VPWR _43070_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_382_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59933_ _58879_/A VGND VGND VPWR VPWR _60005_/A sky130_fd_sc_hd__buf_1
+X_55056_ _55056_/A _46038_/B VGND VGND VPWR VPWR _55058_/B sky130_fd_sc_hd__nor2_2
+XPHY_13125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40282_ _40300_/A VGND VGND VPWR VPWR _40290_/A sky130_fd_sc_hd__buf_1
+XFILLER_720_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52268_ _52268_/A _52268_/B VGND VGND VPWR VPWR _77592_/D sky130_fd_sc_hd__nand2_2
+XPHY_22914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_712_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_119_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_172_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42021_ wbs_dat_i[18] VGND VGND VPWR VPWR _42021_/Y sky130_fd_sc_hd__inv_8
+XPHY_13158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54007_ _54030_/B VGND VGND VPWR VPWR _54008_/B sky130_fd_sc_hd__buf_1
+XFILLER_317_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_510_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51219_ _51219_/A _51222_/B VGND VGND VPWR VPWR _51221_/A sky130_fd_sc_hd__nand2_2
+XPHY_12424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74930_ _75413_/A _74930_/B VGND VGND VPWR VPWR _74930_/X sky130_fd_sc_hd__or2_2
+XFILLER_531_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70053_ _70053_/A VGND VGND VPWR VPWR _70105_/A sky130_fd_sc_hd__buf_1
+XFILLER_631_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59864_ _59543_/A _59864_/B _59864_/C VGND VGND VPWR VPWR _59874_/B sky130_fd_sc_hd__nor3_2
+XFILLER_46_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52199_ _52199_/A _52199_/B VGND VGND VPWR VPWR _77612_/D sky130_fd_sc_hd__nand2_2
+XFILLER_323_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_296_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_512_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58815_ _72835_/C _58681_/B VGND VGND VPWR VPWR _58817_/B sky130_fd_sc_hd__nor2_2
+XFILLER_351_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74861_ _74858_/X _74861_/B VGND VGND VPWR VPWR _74861_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_289_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_81_1613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59795_ _60276_/A _59795_/B _59795_/C VGND VGND VPWR VPWR _59815_/A sky130_fd_sc_hd__nor3_2
+XFILLER_295_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_674_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_500_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_150_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_510_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76600_ VGND VGND VPWR VPWR _76600_/HI la_data_out[96] sky130_fd_sc_hd__conb_1
+XFILLER_172_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73812_ _73805_/A _73809_/B _73812_/C VGND VGND VPWR VPWR _73813_/B sky130_fd_sc_hd__nand3_2
+XFILLER_352_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_510_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_270_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_81_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_135_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46760_ _46718_/X VGND VGND VPWR VPWR _46765_/A sky130_fd_sc_hd__inv_8
+XPHY_11778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58746_ _58679_/A _58746_/B VGND VGND VPWR VPWR _58747_/C sky130_fd_sc_hd__nor2_2
+XFILLER_313_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77580_ _78559_/CLK _77580_/D VGND VGND VPWR VPWR _52310_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_438_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43972_ _43959_/A _43967_/Y _43972_/C VGND VGND VPWR VPWR _79274_/D sky130_fd_sc_hd__nor3_2
+XPHY_7020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55958_ _47242_/B _42408_/B _41664_/B VGND VGND VPWR VPWR _55959_/B sky130_fd_sc_hd__and3_2
+XFILLER_150_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74792_ _74792_/A VGND VGND VPWR VPWR _74921_/A sky130_fd_sc_hd__buf_1
+XFILLER_485_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_46_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_1_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45711_ _72059_/X VGND VGND VPWR VPWR _45713_/A sky130_fd_sc_hd__inv_8
+XFILLER_682_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76531_ VGND VGND VPWR VPWR _76531_/HI la_data_out[23] sky130_fd_sc_hd__conb_1
+XPHY_7053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42923_ _42959_/A VGND VGND VPWR VPWR _42938_/B sky130_fd_sc_hd__buf_1
+X_54909_ _60407_/A _54920_/B VGND VGND VPWR VPWR _54909_/Y sky130_fd_sc_hd__nand2_2
+X_73743_ _73740_/X _73743_/B VGND VGND VPWR VPWR _73743_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_368_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_23_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58677_ _58610_/A _58673_/Y _58677_/C VGND VGND VPWR VPWR _58678_/B sky130_fd_sc_hd__nor3_2
+X_46691_ _46685_/A VGND VGND VPWR VPWR _46692_/A sky130_fd_sc_hd__buf_1
+X_70955_ _71969_/A _70954_/Y VGND VGND VPWR VPWR _71962_/A sky130_fd_sc_hd__or2_2
+XFILLER_79_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55889_ _69644_/Y _55888_/X VGND VGND VPWR VPWR _55890_/D sky130_fd_sc_hd__nor2_2
+XFILLER_481_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_111_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_425_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48430_ _48401_/A _48448_/B VGND VGND VPWR VPWR _48430_/X sky130_fd_sc_hd__or2_2
+XPHY_6352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79250_ _79248_/CLK _79250_/D VGND VGND VPWR VPWR _79250_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57628_ _42112_/A VGND VGND VPWR VPWR _57649_/A sky130_fd_sc_hd__buf_1
+X_45642_ _45642_/A _45642_/B _45641_/Y VGND VGND VPWR VPWR _79114_/D sky130_fd_sc_hd__nor3_2
+X_76462_ VGND VGND VPWR VPWR _76462_/HI io_oeb[22] sky130_fd_sc_hd__conb_1
+XFILLER_643_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42854_ _41824_/Y _42847_/X _41825_/Y _42848_/X VGND VGND VPWR VPWR _42854_/X sky130_fd_sc_hd__o22a_4
+X_73674_ _73671_/A _73671_/B _81123_/Q VGND VGND VPWR VPWR _73674_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_480_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_432_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_444_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70886_ _69935_/A _69966_/X VGND VGND VPWR VPWR _70892_/B sky130_fd_sc_hd__nor2_2
+XFILLER_409_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_98_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78201_ _78186_/CLK _78201_/D VGND VGND VPWR VPWR _78201_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_406_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75413_ _75413_/A _75413_/B VGND VGND VPWR VPWR _75413_/X sky130_fd_sc_hd__or2_2
+XFILLER_453_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_217_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_562_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41805_ wbs_dat_i[6] VGND VGND VPWR VPWR _41805_/Y sky130_fd_sc_hd__inv_8
+XPHY_38144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48361_ _78613_/Q _48340_/B VGND VGND VPWR VPWR _48361_/Y sky130_fd_sc_hd__nand2_2
+XPHY_5662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72625_ _72632_/A _72620_/B _68251_/A VGND VGND VPWR VPWR _72626_/B sky130_fd_sc_hd__nand3_2
+XFILLER_233_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79181_ _79175_/CLK _79181_/D VGND VGND VPWR VPWR _44862_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_245_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_2_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_92_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45573_ _45581_/A _45571_/Y _45572_/Y VGND VGND VPWR VPWR _79133_/D sky130_fd_sc_hd__nor3_2
+XPHY_37410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57559_ _66997_/A _57320_/X VGND VGND VPWR VPWR _57561_/B sky130_fd_sc_hd__nor2_2
+XPHY_38155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76393_ _76397_/A _76397_/B _67556_/A VGND VGND VPWR VPWR _76393_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_40_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42785_ _64855_/A _42741_/X VGND VGND VPWR VPWR _42787_/B sky130_fd_sc_hd__nor2_2
+XFILLER_426_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_324_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47312_ _42205_/Y _47302_/X _42207_/Y _47304_/X VGND VGND VPWR VPWR _47313_/A sky130_fd_sc_hd__o22a_4
+XFILLER_207_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78132_ _78173_/CLK _78132_/D VGND VGND VPWR VPWR _78132_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_400_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44524_ _44522_/A _44534_/A VGND VGND VPWR VPWR _44526_/B sky130_fd_sc_hd__nor2_2
+XPHY_4961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75344_ _75223_/A _75351_/B VGND VGND VPWR VPWR _75344_/X sky130_fd_sc_hd__or2_2
+XFILLER_246_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_619_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41736_ _41727_/X _41735_/X VGND VGND VPWR VPWR _41736_/Y sky130_fd_sc_hd__nand2_2
+XPHY_37454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60570_ _60096_/A _60570_/B _60569_/Y VGND VGND VPWR VPWR _60571_/C sky130_fd_sc_hd__nor3_2
+XFILLER_148_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72556_ _72677_/A _72570_/B VGND VGND VPWR VPWR _72558_/A sky130_fd_sc_hd__or2_2
+X_48292_ _47495_/A VGND VGND VPWR VPWR _48293_/A sky130_fd_sc_hd__buf_1
+XPHY_4972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_44_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_18_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_242_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71507_ _71434_/A _42934_/A VGND VGND VPWR VPWR _71507_/X sky130_fd_sc_hd__or2_2
+XFILLER_92_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47243_ _47242_/X VGND VGND VPWR VPWR _47244_/B sky130_fd_sc_hd__inv_8
+X_59229_ _59878_/A VGND VGND VPWR VPWR _59230_/A sky130_fd_sc_hd__buf_1
+XFILLER_18_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78063_ _78062_/CLK _78063_/D VGND VGND VPWR VPWR _62057_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_226_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44455_ _44321_/A _44454_/X VGND VGND VPWR VPWR _44456_/B sky130_fd_sc_hd__nor2_2
+XPHY_26230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75275_ _75265_/A _75265_/B _75275_/C VGND VGND VPWR VPWR _75276_/B sky130_fd_sc_hd__nand3_2
+XFILLER_559_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41667_ _79174_/Q VGND VGND VPWR VPWR _41917_/A sky130_fd_sc_hd__inv_8
+XPHY_36764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_109_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72487_ _72485_/X _72487_/B VGND VGND VPWR VPWR _81418_/D sky130_fd_sc_hd__nand2_2
+XPHY_26241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_183_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_320_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_220_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77014_ _76998_/CLK _77014_/D VGND VGND VPWR VPWR _77014_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_302_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43406_ _43395_/A _43406_/B _67943_/A VGND VGND VPWR VPWR _43406_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_53_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62240_ _59234_/X VGND VGND VPWR VPWR _62241_/B sky130_fd_sc_hd__buf_1
+XPHY_36797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74226_ _74226_/A _74225_/Y VGND VGND VPWR VPWR _80989_/D sky130_fd_sc_hd__nand2_2
+XFILLER_161_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40618_ _40615_/X _40618_/B VGND VGND VPWR VPWR _40618_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_594_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47174_ _47169_/B _47174_/B _47171_/C VGND VGND VPWR VPWR _47174_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_201_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_242_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71438_ _71434_/X _71438_/B VGND VGND VPWR VPWR _71438_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_404_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44386_ _44321_/A VGND VGND VPWR VPWR _44539_/A sky130_fd_sc_hd__buf_1
+XPHY_25540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_714_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_478_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41598_ _41598_/A _41606_/B _57124_/A VGND VGND VPWR VPWR _41598_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_547_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_26296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_400_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_51_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46125_ _45367_/A _43103_/Y VGND VGND VPWR VPWR _46126_/B sky130_fd_sc_hd__or2_2
+XFILLER_173_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43337_ _43350_/A _43337_/B _65634_/A VGND VGND VPWR VPWR _43337_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_220_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62171_ _62171_/A _62170_/X VGND VGND VPWR VPWR _62171_/Y sky130_fd_sc_hd__nor2_2
+XPHY_15050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74157_ _73626_/B _74157_/B VGND VGND VPWR VPWR _74180_/A sky130_fd_sc_hd__or2_2
+XFILLER_400_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40549_ _40405_/A _40549_/B VGND VGND VPWR VPWR _40549_/X sky130_fd_sc_hd__or2_2
+XFILLER_199_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71369_ _71428_/A _71366_/Y _71369_/C VGND VGND VPWR VPWR _71369_/X sky130_fd_sc_hd__or3_2
+XPHY_15061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_346_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61122_ _60500_/A _61121_/Y VGND VGND VPWR VPWR _61123_/C sky130_fd_sc_hd__nor2_2
+X_73108_ _73108_/A VGND VGND VPWR VPWR _73109_/B sky130_fd_sc_hd__buf_1
+XFILLER_60_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_632_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46056_ _46056_/A _46055_/Y VGND VGND VPWR VPWR _46056_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_554_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_590_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43268_ _43263_/A _43268_/B _68765_/A VGND VGND VPWR VPWR _43268_/Y sky130_fd_sc_hd__nand3_2
+XPHY_14360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74088_ _74086_/X _74088_/B VGND VGND VPWR VPWR _74088_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_290_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78965_ _78899_/CLK _78965_/D VGND VGND VPWR VPWR _43065_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_515_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_138_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_451_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_472_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45007_ _45005_/X _45006_/X VGND VGND VPWR VPWR _45008_/B sky130_fd_sc_hd__nand2_2
+XFILLER_201_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42219_ _42219_/A _42219_/B _42200_/X VGND VGND VPWR VPWR _42220_/C sky130_fd_sc_hd__nor3_2
+XFILLER_550_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65930_ _65766_/A _65930_/B _65929_/Y VGND VGND VPWR VPWR _65930_/Y sky130_fd_sc_hd__nor3_2
+X_61053_ _60898_/A _61048_/Y _61052_/Y VGND VGND VPWR VPWR _61053_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_158_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73039_ _73075_/A VGND VGND VPWR VPWR _73051_/B sky130_fd_sc_hd__buf_1
+X_77916_ _77915_/CLK _77916_/D VGND VGND VPWR VPWR _51031_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_632_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_335_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_451_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_114_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_589_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43199_ _43199_/A _43195_/A VGND VGND VPWR VPWR _43199_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_126_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78896_ _78896_/CLK _47171_/Y VGND VGND VPWR VPWR _46372_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_648_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_511_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60004_ _59856_/A _60004_/B VGND VGND VPWR VPWR _60004_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_314_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_259_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49815_ _49812_/Y _49814_/X VGND VGND VPWR VPWR _49815_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_272_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_433_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65861_ _39072_/C _65699_/B VGND VGND VPWR VPWR _65862_/C sky130_fd_sc_hd__nor2_2
+X_77847_ _77854_/CLK _77847_/D VGND VGND VPWR VPWR _60868_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_5_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_299_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_411_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67600_ _67600_/A _67599_/Y VGND VGND VPWR VPWR _67600_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_286_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_253_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_119_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_140_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64812_ _64341_/A _64812_/B _64812_/C VGND VGND VPWR VPWR _64813_/C sky130_fd_sc_hd__nor3_2
+XFILLER_331_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_312_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49746_ _49690_/A _49758_/B VGND VGND VPWR VPWR _49746_/X sky130_fd_sc_hd__or2_2
+XPHY_30370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68580_ _68241_/A _68580_/B _68579_/Y VGND VGND VPWR VPWR _68580_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_330_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_3_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46958_ _70418_/X _46925_/B VGND VGND VPWR VPWR _46959_/C sky130_fd_sc_hd__and2_2
+X_65792_ _64176_/X VGND VGND VPWR VPWR _65793_/B sky130_fd_sc_hd__buf_1
+X_77778_ _77799_/CLK _77778_/D VGND VGND VPWR VPWR _51565_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_268_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_692_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_618_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67531_ _67203_/X _67531_/B _67531_/C VGND VGND VPWR VPWR _67539_/B sky130_fd_sc_hd__nor3_2
+XFILLER_149_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79517_ _79518_/CLK _79517_/D VGND VGND VPWR VPWR _42132_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_626_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45909_ _42528_/B _45908_/X VGND VGND VPWR VPWR _45910_/C sky130_fd_sc_hd__nor2_2
+X_64743_ _67370_/A VGND VGND VPWR VPWR _64748_/A sky130_fd_sc_hd__buf_1
+XFILLER_99_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76729_ _76729_/CLK _76729_/D VGND VGND VPWR VPWR _76729_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_386_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61955_ _61646_/A _61955_/B _61954_/Y VGND VGND VPWR VPWR _61955_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_605_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49677_ _49676_/X _49677_/B VGND VGND VPWR VPWR _49678_/B sky130_fd_sc_hd__or2_2
+XFILLER_509_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46889_ _46880_/A _46889_/B _46888_/X VGND VGND VPWR VPWR _46890_/B sky130_fd_sc_hd__or3_2
+XFILLER_484_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_80_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_411_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_660_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39430_ _39171_/A _39437_/B VGND VGND VPWR VPWR _39430_/X sky130_fd_sc_hd__or2_2
+XFILLER_446_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60906_ _60577_/X _60898_/Y _60906_/C VGND VGND VPWR VPWR _60925_/A sky130_fd_sc_hd__nor3_2
+XFILLER_348_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_82 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48628_ _48628_/A _48627_/X VGND VGND VPWR VPWR _48628_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_416_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67462_ _67462_/A _67304_/X VGND VGND VPWR VPWR _67462_/Y sky130_fd_sc_hd__nor2_2
+X_79448_ _79501_/CLK _79448_/D VGND VGND VPWR VPWR _42857_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_97_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64674_ _66355_/A VGND VGND VPWR VPWR _65182_/B sky130_fd_sc_hd__buf_1
+XFILLER_3_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61886_ _61263_/A _61884_/Y _61885_/Y VGND VGND VPWR VPWR _61890_/B sky130_fd_sc_hd__nor3_2
+XFILLER_23_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_681_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69201_ _64733_/A _69197_/Y _69200_/Y VGND VGND VPWR VPWR _69201_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_283_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66413_ _66736_/A _66413_/B _66412_/Y VGND VGND VPWR VPWR _66414_/B sky130_fd_sc_hd__nor3_2
+XFILLER_431_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_110_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39361_ _39239_/A _39256_/A VGND VGND VPWR VPWR _39361_/X sky130_fd_sc_hd__or2_2
+XFILLER_97_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63625_ _63625_/A _63625_/B VGND VGND VPWR VPWR _63625_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_589_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60837_ _77655_/Q _60837_/B VGND VGND VPWR VPWR _60838_/C sky130_fd_sc_hd__nor2_2
+X_48559_ _48465_/X _48568_/B VGND VGND VPWR VPWR _48560_/B sky130_fd_sc_hd__or2_2
+XFILLER_244_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67393_ _67393_/A _67389_/Y _67393_/C VGND VGND VPWR VPWR _67393_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_264_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_149_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79379_ _79575_/CLK _43327_/Y VGND VGND VPWR VPWR _57208_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_620_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_632_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_190_0_u_core.clock clkbuf_7_95_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_381_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_440_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38312_ _38312_/A _38312_/B VGND VGND VPWR VPWR _38312_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_620_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_299_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69132_ _68497_/X _69130_/Y _69132_/C VGND VGND VPWR VPWR _69132_/Y sky130_fd_sc_hd__nor3_2
+X_81410_ _81378_/CLK _81410_/D VGND VGND VPWR VPWR _67590_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_522_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_58_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66344_ _66832_/A _66344_/B _66343_/Y VGND VGND VPWR VPWR _66344_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_659_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_507_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_659_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39292_ _39291_/X _39292_/B _39292_/C VGND VGND VPWR VPWR _39292_/Y sky130_fd_sc_hd__nand3_2
+X_51570_ _77777_/Q _51555_/X VGND VGND VPWR VPWR _51573_/A sky130_fd_sc_hd__nand2_2
+X_63556_ _62920_/X _63548_/Y _63556_/C VGND VGND VPWR VPWR _63572_/A sky130_fd_sc_hd__nor3_2
+XFILLER_51_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60768_ _77495_/Q _60147_/X VGND VGND VPWR VPWR _60769_/C sky130_fd_sc_hd__nor2_2
+XFILLER_23_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_75_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_225_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_600_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38243_ _76362_/A _38239_/X VGND VGND VPWR VPWR _38245_/A sky130_fd_sc_hd__or2_2
+XFILLER_568_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50521_ _50518_/X VGND VGND VPWR VPWR _50543_/B sky130_fd_sc_hd__buf_1
+X_81341_ _81435_/CLK _72778_/Y VGND VGND VPWR VPWR _66771_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_320_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62507_ _62188_/X _62503_/Y _62506_/Y VGND VGND VPWR VPWR _62507_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_558_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69063_ _69063_/A _69063_/B VGND VGND VPWR VPWR _69063_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_223_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_51_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_137_0_u_core.clock clkbuf_7_68_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_275_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_362_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66275_ _66275_/A _66274_/X VGND VGND VPWR VPWR _66275_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_303_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_719_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63487_ _63487_/A _63625_/B VGND VGND VPWR VPWR _63487_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_36_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60699_ _60227_/A _60699_/B _60698_/Y VGND VGND VPWR VPWR _60699_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_52_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_694_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_197_0_u_core.clock clkbuf_8_98_0_u_core.clock/X VGND VGND VPWR VPWR _79136_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_405_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_577_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68014_ _67846_/A _68014_/B _68014_/C VGND VGND VPWR VPWR _68015_/C sky130_fd_sc_hd__nor3_2
+XFILLER_34_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53240_ _59589_/A _53243_/B VGND VGND VPWR VPWR _53242_/A sky130_fd_sc_hd__nand2_2
+X_65226_ _66884_/A VGND VGND VPWR VPWR _65886_/B sky130_fd_sc_hd__buf_1
+XFILLER_672_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50452_ _50452_/A _50458_/B VGND VGND VPWR VPWR _50452_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_539_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62438_ _62438_/A _62907_/B VGND VGND VPWR VPWR _62438_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_526_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81272_ _81263_/CLK _73073_/Y VGND VGND VPWR VPWR _65939_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_225_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_69_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_85_0_u_core.clock clkbuf_8_85_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_85_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_178_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_31_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_533_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_653_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80223_ _80315_/CLK _39043_/Y VGND VGND VPWR VPWR _80223_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_355_2906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_203_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65157_ _64641_/X _65151_/Y _65157_/C VGND VGND VPWR VPWR _65158_/C sky130_fd_sc_hd__nor3_2
+XFILLER_437_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53171_ _54980_/A VGND VGND VPWR VPWR _53210_/A sky130_fd_sc_hd__buf_1
+XFILLER_203_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50383_ _50261_/A _50389_/B VGND VGND VPWR VPWR _50384_/B sky130_fd_sc_hd__or2_2
+XFILLER_299_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_258_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62369_ _61752_/A _62369_/B _62368_/Y VGND VGND VPWR VPWR _62369_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_453_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_584_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_418_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_618_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_301_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52122_ _48257_/X _52122_/B VGND VGND VPWR VPWR _52125_/A sky130_fd_sc_hd__or2_2
+XFILLER_375_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64108_ _64164_/A _64246_/C VGND VGND VPWR VPWR _64108_/X sky130_fd_sc_hd__or2_2
+XFILLER_277_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_195_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_514_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_470_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80154_ _80123_/CLK _39333_/Y VGND VGND VPWR VPWR _66358_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_715_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65088_ _65933_/A VGND VGND VPWR VPWR _65630_/A sky130_fd_sc_hd__buf_1
+X_69965_ _69965_/A _69964_/Y VGND VGND VPWR VPWR _69966_/A sky130_fd_sc_hd__or2_2
+XFILLER_375_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_69_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_689_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52053_ _52053_/A _52053_/B VGND VGND VPWR VPWR _52055_/A sky130_fd_sc_hd__nand2_2
+X_56930_ _65691_/A _57085_/B VGND VGND VPWR VPWR _56930_/Y sky130_fd_sc_hd__nor2_2
+XPHY_9906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68916_ _68916_/A _68911_/Y _68915_/Y VGND VGND VPWR VPWR _68916_/Y sky130_fd_sc_hd__nor3_2
+XPHY_21509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64039_ _64039_/A _59720_/B VGND VGND VPWR VPWR _64041_/B sky130_fd_sc_hd__nor2_2
+XFILLER_685_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_156_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80085_ _80101_/CLK _80085_/D VGND VGND VPWR VPWR _80085_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_668_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_271_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69896_ _76724_/Q VGND VGND VPWR VPWR _69896_/Y sky130_fd_sc_hd__inv_8
+XFILLER_144_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_453_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51004_ _77922_/Q _50994_/X VGND VGND VPWR VPWR _51008_/A sky130_fd_sc_hd__nand2_2
+XFILLER_28_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_117_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56861_ _80213_/Q _56768_/B VGND VGND VPWR VPWR _56863_/B sky130_fd_sc_hd__nor2_2
+XFILLER_314_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68847_ _44332_/Y _68512_/X VGND VGND VPWR VPWR _68851_/A sky130_fd_sc_hd__nor2_2
+XFILLER_696_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_644_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_271_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58600_ _69121_/A _58531_/B VGND VGND VPWR VPWR _58600_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_585_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55812_ _51158_/A _55818_/B VGND VGND VPWR VPWR _55813_/B sky130_fd_sc_hd__or2_2
+XFILLER_49_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_3025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59580_ _77279_/Q _60068_/B VGND VGND VPWR VPWR _59581_/C sky130_fd_sc_hd__nor2_2
+XFILLER_312_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56792_ _56888_/A _56790_/Y _56792_/C VGND VGND VPWR VPWR _56792_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_277_158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68778_ _58496_/A _68439_/X VGND VGND VPWR VPWR _68778_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_63_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_683_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_154_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_8_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58531_ _58531_/A _58531_/B VGND VGND VPWR VPWR _58533_/B sky130_fd_sc_hd__nor2_2
+XFILLER_28_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55743_ _54967_/A _55761_/B VGND VGND VPWR VPWR _55743_/X sky130_fd_sc_hd__or2_2
+X_67729_ _66083_/A VGND VGND VPWR VPWR _67734_/A sky130_fd_sc_hd__buf_1
+XFILLER_382_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52955_ _52955_/A _52954_/X VGND VGND VPWR VPWR _77409_/D sky130_fd_sc_hd__nand2_2
+XFILLER_292_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_232_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_609_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_86_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_98_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80987_ _81013_/CLK _74233_/Y VGND VGND VPWR VPWR _74232_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_475_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_312_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_527_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51906_ _51904_/Y _51905_/X VGND VGND VPWR VPWR _77688_/D sky130_fd_sc_hd__nand2_2
+X_39628_ _39119_/A _39643_/B VGND VGND VPWR VPWR _39628_/X sky130_fd_sc_hd__or2_2
+XFILLER_663_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58462_ _38646_/C _58462_/B VGND VGND VPWR VPWR _58465_/B sky130_fd_sc_hd__nor2_2
+X_70740_ _70736_/B _70690_/Y VGND VGND VPWR VPWR _70740_/X sky130_fd_sc_hd__or2_2
+XFILLER_150_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_407_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_65_0_u_core.clock clkbuf_9_65_0_u_core.clock/A VGND VGND VPWR VPWR _77956_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_55674_ _55710_/A _55673_/X VGND VGND VPWR VPWR _55674_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_252_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_1071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52886_ _52902_/B VGND VGND VPWR VPWR _52899_/B sky130_fd_sc_hd__buf_1
+XFILLER_105_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_3023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_677_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_347_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57413_ _57413_/A VGND VGND VPWR VPWR _57667_/A sky130_fd_sc_hd__buf_1
+XFILLER_501_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_462_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54625_ _76968_/Q _54615_/B VGND VGND VPWR VPWR _54625_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_41_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_104 _75827_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_505_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_96_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51837_ _61134_/A _51840_/B VGND VGND VPWR VPWR _51839_/A sky130_fd_sc_hd__nand2_2
+X_39559_ _39559_/A _39559_/B _80094_/Q VGND VGND VPWR VPWR _39559_/Y sky130_fd_sc_hd__nand3_2
+XPHY_18604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58393_ _58393_/A _58234_/X VGND VGND VPWR VPWR _58395_/B sky130_fd_sc_hd__nor2_2
+XPHY_19349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70671_ _70664_/X _70670_/X VGND VGND VPWR VPWR _70671_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_651_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_76_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_115 _45204_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_58_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_416_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_540_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_388_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_480_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_126 _39132_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_445_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_137 _39174_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_701_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72410_ _72410_/A _72422_/B _66939_/A VGND VGND VPWR VPWR _72410_/Y sky130_fd_sc_hd__nand3_2
+XPHY_4257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_677_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_388_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_148 _71678_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_208_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57344_ _38816_/C _57495_/B VGND VGND VPWR VPWR _57345_/C sky130_fd_sc_hd__nor2_2
+XFILLER_480_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42570_ _42566_/Y _42570_/B _42615_/C _42569_/X VGND VGND VPWR VPWR _42570_/Y sky130_fd_sc_hd__nor4_2
+X_54556_ _54554_/A VGND VGND VPWR VPWR _54572_/B sky130_fd_sc_hd__buf_1
+XFILLER_243_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73390_ _73387_/Y _73389_/X VGND VGND VPWR VPWR _73390_/Y sky130_fd_sc_hd__nand2_2
+XANTENNA_159 _39211_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51768_ _51770_/A VGND VGND VPWR VPWR _51780_/B sky130_fd_sc_hd__buf_1
+XFILLER_360_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41521_ _41521_/A _41521_/B VGND VGND VPWR VPWR _41521_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_198_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53507_ _77267_/Q _53514_/B VGND VGND VPWR VPWR _53509_/A sky130_fd_sc_hd__nand2_2
+XFILLER_404_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72341_ _69859_/A VGND VGND VPWR VPWR _72341_/Y sky130_fd_sc_hd__inv_8
+XPHY_3567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50719_ _77999_/Q _50727_/B VGND VGND VPWR VPWR _50722_/A sky130_fd_sc_hd__nand2_2
+XFILLER_655_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57275_ _74358_/C _57275_/B VGND VGND VPWR VPWR _57276_/C sky130_fd_sc_hd__nor2_2
+XPHY_35315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54487_ _54485_/Y _54486_/X VGND VGND VPWR VPWR _77005_/D sky130_fd_sc_hd__nand2_2
+XFILLER_241_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51699_ _51699_/A _51698_/X VGND VGND VPWR VPWR _77742_/D sky130_fd_sc_hd__nand2_2
+XPHY_2844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_321_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59014_ _60590_/A VGND VGND VPWR VPWR _59346_/A sky130_fd_sc_hd__buf_1
+XFILLER_263_1190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44240_ _44042_/X VGND VGND VPWR VPWR _44245_/B sky130_fd_sc_hd__inv_8
+XFILLER_387_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56226_ _56304_/A VGND VGND VPWR VPWR _56342_/A sky130_fd_sc_hd__buf_1
+XPHY_2866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75060_ _76224_/A _74665_/B VGND VGND VPWR VPWR _75083_/A sky130_fd_sc_hd__or2_2
+XFILLER_201_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41452_ _41074_/X _41446_/B VGND VGND VPWR VPWR _41456_/A sky130_fd_sc_hd__or2_2
+XPHY_34614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53438_ _53447_/A VGND VGND VPWR VPWR _53439_/B sky130_fd_sc_hd__buf_1
+XFILLER_208_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72272_ _74764_/A VGND VGND VPWR VPWR _72666_/A sky130_fd_sc_hd__buf_1
+XPHY_2877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_376_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_636_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_595_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_529_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_139_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_393_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74011_ _74011_/A VGND VGND VPWR VPWR _74176_/A sky130_fd_sc_hd__buf_1
+XFILLER_358_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40403_ _40400_/X _40403_/B VGND VGND VPWR VPWR _40403_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_295_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71223_ _71223_/A _71223_/B VGND VGND VPWR VPWR _81513_/D sky130_fd_sc_hd__nand2_2
+XFILLER_196_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44171_ _44185_/A _44165_/Y _44171_/C VGND VGND VPWR VPWR _79257_/D sky130_fd_sc_hd__nor3_2
+XFILLER_35_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56157_ _56085_/A VGND VGND VPWR VPWR _56157_/X sky130_fd_sc_hd__buf_1
+XFILLER_167_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41383_ _41383_/A _41389_/B VGND VGND VPWR VPWR _41385_/A sky130_fd_sc_hd__or2_2
+XFILLER_675_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53369_ _53367_/Y _53369_/B VGND VGND VPWR VPWR _53369_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_319_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_494_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_154_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_358_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_491_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43122_ _43130_/A VGND VGND VPWR VPWR _43124_/A sky130_fd_sc_hd__buf_1
+XFILLER_489_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55108_ _70260_/A _55108_/B VGND VGND VPWR VPWR _55108_/X sky130_fd_sc_hd__or2_2
+XFILLER_721_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_294_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40334_ _40298_/A VGND VGND VPWR VPWR _40341_/B sky130_fd_sc_hd__buf_1
+XFILLER_355_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_688_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71154_ _71792_/A VGND VGND VPWR VPWR _71427_/A sky130_fd_sc_hd__buf_1
+XFILLER_139_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56088_ _56088_/A _56088_/B VGND VGND VPWR VPWR _56089_/B sky130_fd_sc_hd__nor2_2
+XFILLER_538_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_491_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_532_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_632_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70105_ _70105_/A VGND VGND VPWR VPWR _70165_/A sky130_fd_sc_hd__buf_1
+X_47930_ _47839_/A _47930_/B VGND VGND VPWR VPWR _47931_/B sky130_fd_sc_hd__or2_2
+XPHY_22733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55039_ _54983_/A _55030_/B VGND VGND VPWR VPWR _55039_/X sky130_fd_sc_hd__or2_2
+X_59916_ _77353_/Q _59595_/B VGND VGND VPWR VPWR _59918_/B sky130_fd_sc_hd__nor2_2
+XFILLER_370_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43053_ _45175_/A _43053_/B VGND VGND VPWR VPWR _43054_/B sky130_fd_sc_hd__or2_2
+XPHY_12210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78750_ _78251_/CLK _47813_/Y VGND VGND VPWR VPWR _78750_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_69_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40265_ _40262_/X _40265_/B VGND VGND VPWR VPWR _40265_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_343_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75962_ _75962_/A _75961_/X VGND VGND VPWR VPWR _75962_/X sky130_fd_sc_hd__or2_2
+XPHY_23489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71085_ _71085_/A VGND VGND VPWR VPWR _71164_/B sky130_fd_sc_hd__inv_8
+XFILLER_712_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_551_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_452_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_159_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_174_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42004_ _45367_/A _41972_/X VGND VGND VPWR VPWR _42004_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_658_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77701_ _77203_/CLK _51851_/Y VGND VGND VPWR VPWR _60511_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_250_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_510_3062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74913_ _75274_/A _74910_/B VGND VGND VPWR VPWR _74913_/X sky130_fd_sc_hd__or2_2
+XFILLER_335_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70036_ _70522_/A VGND VGND VPWR VPWR _70419_/A sky130_fd_sc_hd__buf_1
+XFILLER_190_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47861_ _47884_/B VGND VGND VPWR VPWR _47865_/B sky130_fd_sc_hd__buf_1
+XPHY_22788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59847_ _54268_/A _59685_/B VGND VGND VPWR VPWR _59847_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_139_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78681_ _77613_/CLK _48088_/Y VGND VGND VPWR VPWR _78681_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_12265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40196_ _40196_/A _40196_/B VGND VGND VPWR VPWR _40196_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_272_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_237_1579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75893_ _75891_/X _75892_/Y VGND VGND VPWR VPWR _75893_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_415_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_666_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_215_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49600_ _49600_/A _49600_/B VGND VGND VPWR VPWR _78297_/D sky130_fd_sc_hd__nand2_2
+XFILLER_215_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_122_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46812_ _46168_/B _46812_/B VGND VGND VPWR VPWR _46812_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_117_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77632_ _77639_/CLK _52111_/Y VGND VGND VPWR VPWR _77632_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_268_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_96_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74844_ _74834_/A VGND VGND VPWR VPWR _74863_/A sky130_fd_sc_hd__buf_1
+XFILLER_111_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59778_ _76953_/Q _59615_/B VGND VGND VPWR VPWR _59780_/B sky130_fd_sc_hd__nor2_2
+X_47792_ _47789_/X VGND VGND VPWR VPWR _47792_/X sky130_fd_sc_hd__buf_1
+XPHY_10830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_486_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_7_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49531_ _49531_/A _49530_/X VGND VGND VPWR VPWR _49534_/A sky130_fd_sc_hd__nand2_2
+XFILLER_661_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58729_ _58721_/Y _58728_/Y VGND VGND VPWR VPWR _58730_/B sky130_fd_sc_hd__nor2_2
+X_46743_ _43030_/B _46747_/A VGND VGND VPWR VPWR _46744_/C sky130_fd_sc_hd__nor2_2
+XFILLER_469_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77563_ _78070_/CLK _77563_/D VGND VGND VPWR VPWR _52378_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_660_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43955_ _79276_/Q _43955_/B VGND VGND VPWR VPWR _43955_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_369_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_283_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_625_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74775_ _75387_/A _74761_/B VGND VGND VPWR VPWR _74775_/X sky130_fd_sc_hd__or2_2
+XFILLER_313_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_469_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_621_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71987_ _70772_/A _69871_/X VGND VGND VPWR VPWR _71992_/A sky130_fd_sc_hd__nor2_2
+XFILLER_584_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_265_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_707_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_608_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_446_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79302_ _79302_/CLK _79302_/D VGND VGND VPWR VPWR _44037_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_10896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_276_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_65_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76514_ VGND VGND VPWR VPWR _76514_/HI la_data_out[6] sky130_fd_sc_hd__conb_1
+XFILLER_76_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42906_ _42906_/A _42920_/B _68792_/A VGND VGND VPWR VPWR _42907_/B sky130_fd_sc_hd__nand3_2
+XFILLER_441_3101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61740_ _61740_/A _61428_/B VGND VGND VPWR VPWR _61740_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_185_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49462_ _49462_/A _49462_/B VGND VGND VPWR VPWR _49462_/Y sky130_fd_sc_hd__nand2_2
+X_73726_ _73724_/X _73735_/B _65423_/A VGND VGND VPWR VPWR _73727_/B sky130_fd_sc_hd__nand3_2
+XFILLER_365_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_565_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46674_ _41849_/Y _46662_/X VGND VGND VPWR VPWR _46676_/B sky130_fd_sc_hd__nor2_2
+XFILLER_133_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_162_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_248_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70938_ _70917_/B _70938_/B VGND VGND VPWR VPWR _70938_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_441_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77494_ _77434_/CLK _77494_/D VGND VGND VPWR VPWR _60623_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_426_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_406_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43886_ _43871_/A _43886_/B _43885_/Y VGND VGND VPWR VPWR _43886_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_287_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_209_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_365_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48413_ _78599_/Q _48413_/B VGND VGND VPWR VPWR _48413_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_413_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79233_ _81273_/CLK _44432_/Y VGND VGND VPWR VPWR _79233_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_98_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45625_ _45607_/A VGND VGND VPWR VPWR _45642_/A sky130_fd_sc_hd__buf_1
+XFILLER_441_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76445_ VGND VGND VPWR VPWR _76445_/HI io_oeb[5] sky130_fd_sc_hd__conb_1
+XPHY_6193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42837_ _42263_/A _42837_/B _42837_/C VGND VGND VPWR VPWR _42837_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_695_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61671_ _61581_/Y _61670_/Y VGND VGND VPWR VPWR _61671_/Y sky130_fd_sc_hd__nor2_2
+X_73657_ _73655_/X _73656_/Y VGND VGND VPWR VPWR _73657_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_445_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49393_ _78350_/Q _49396_/B VGND VGND VPWR VPWR _49393_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_643_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70869_ _70869_/A _70341_/Y _70869_/C _70869_/D VGND VGND VPWR VPWR _70870_/A sky130_fd_sc_hd__or4_2
+XFILLER_407_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63410_ _63821_/A _63407_/Y _63410_/C VGND VGND VPWR VPWR _63417_/B sky130_fd_sc_hd__nor3_2
+XPHY_19861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_422_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_189_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_611_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72608_ _72728_/A _72608_/B VGND VGND VPWR VPWR _72608_/X sky130_fd_sc_hd__or2_2
+X_60622_ _52664_/A _60146_/B VGND VGND VPWR VPWR _60622_/Y sky130_fd_sc_hd__nor2_2
+XPHY_19872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48344_ _48344_/A _48344_/B VGND VGND VPWR VPWR _78619_/D sky130_fd_sc_hd__nand2_2
+XPHY_5492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79164_ _79164_/CLK _79164_/D VGND VGND VPWR VPWR _69604_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_92_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45556_ _45556_/A _45541_/B VGND VGND VPWR VPWR _45558_/B sky130_fd_sc_hd__nor2_2
+XFILLER_703_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64390_ _64334_/A VGND VGND VPWR VPWR _66355_/A sky130_fd_sc_hd__buf_1
+XFILLER_32_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76376_ _76373_/X _76375_/Y VGND VGND VPWR VPWR _76376_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42768_ _42763_/Y _42768_/B _42766_/X _42777_/D VGND VGND VPWR VPWR _42768_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_222_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_72_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73588_ _73951_/A _73588_/B VGND VGND VPWR VPWR _73590_/A sky130_fd_sc_hd__or2_2
+XPHY_37251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_388_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78115_ _78108_/CLK _78115_/D VGND VGND VPWR VPWR _62669_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_4791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44507_ _44338_/Y _44507_/B VGND VGND VPWR VPWR _44507_/X sky130_fd_sc_hd__and2_2
+XFILLER_60_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63341_ _63181_/A _63339_/Y _63340_/Y VGND VGND VPWR VPWR _63345_/B sky130_fd_sc_hd__nor3_2
+XFILLER_283_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75327_ _75363_/A VGND VGND VPWR VPWR _75339_/B sky130_fd_sc_hd__buf_1
+XFILLER_75_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_166_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_591_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41719_ _41695_/Y _41719_/B _41719_/C VGND VGND VPWR VPWR _41720_/A sky130_fd_sc_hd__or3_2
+XPHY_37284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48275_ _48275_/A _48281_/B VGND VGND VPWR VPWR _48276_/B sky130_fd_sc_hd__or2_2
+X_60553_ _60073_/A _60551_/Y _60553_/C VGND VGND VPWR VPWR _60554_/C sky130_fd_sc_hd__nor3_2
+X_72539_ _72542_/A _72542_/B _66600_/A VGND VGND VPWR VPWR _72540_/B sky130_fd_sc_hd__nand3_2
+XFILLER_421_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79095_ _79095_/CLK _79095_/D VGND VGND VPWR VPWR _45800_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_677_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45487_ _45477_/A _45487_/B _45486_/Y VGND VGND VPWR VPWR _45487_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42699_ _42645_/A _42698_/X VGND VGND VPWR VPWR _42699_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_610_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47226_ _47223_/B _47226_/B _47216_/C VGND VGND VPWR VPWR _78878_/D sky130_fd_sc_hd__nor3_2
+X_66060_ _66221_/A _66060_/B _66060_/C VGND VGND VPWR VPWR _66071_/B sky130_fd_sc_hd__nor3_2
+XFILLER_18_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_441_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78046_ _78046_/CLK _50542_/Y VGND VGND VPWR VPWR _61879_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_476_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44438_ _44489_/A _44438_/B _44437_/Y VGND VGND VPWR VPWR _44438_/Y sky130_fd_sc_hd__nor3_2
+X_63272_ _63272_/A _63705_/B VGND VGND VPWR VPWR _63272_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_203_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75258_ _75256_/X _75257_/Y VGND VGND VPWR VPWR _75258_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_676_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60484_ _60484_/A _60167_/B VGND VGND VPWR VPWR _60485_/C sky130_fd_sc_hd__nor2_2
+XFILLER_672_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_725_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65011_ _80306_/Q _65540_/B VGND VGND VPWR VPWR _65012_/C sky130_fd_sc_hd__nor2_2
+XFILLER_375_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_319_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_146_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_200_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74209_ _74172_/A VGND VGND VPWR VPWR _74210_/B sky130_fd_sc_hd__buf_1
+X_62223_ _62070_/A _62219_/Y _62223_/C VGND VGND VPWR VPWR _62233_/B sky130_fd_sc_hd__nor3_2
+XFILLER_105_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_509_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47157_ _47121_/X VGND VGND VPWR VPWR _47162_/A sky130_fd_sc_hd__inv_8
+XFILLER_220_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44369_ _44368_/X VGND VGND VPWR VPWR _44369_/X sky130_fd_sc_hd__buf_1
+XFILLER_179_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75189_ _75189_/A _75192_/B VGND VGND VPWR VPWR _75191_/A sky130_fd_sc_hd__or2_2
+XFILLER_379_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_685_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_140_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_255_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_196_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46108_ _46108_/A _46108_/B _46107_/Y _46108_/D VGND VGND VPWR VPWR _46187_/A sky130_fd_sc_hd__or4_2
+XFILLER_591_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_185_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_179_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_498 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_572_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62154_ _76769_/Q _61999_/X VGND VGND VPWR VPWR _62155_/C sky130_fd_sc_hd__nor2_2
+XFILLER_556_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47088_ _46867_/A _47088_/B _47088_/C VGND VGND VPWR VPWR _47089_/B sky130_fd_sc_hd__or3_2
+XFILLER_552_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79997_ _80123_/CLK _39956_/Y VGND VGND VPWR VPWR _79997_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_632_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61105_ _60482_/A _61103_/Y _61105_/C VGND VGND VPWR VPWR _61105_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_173_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38930_ _38930_/A _38930_/B VGND VGND VPWR VPWR _38930_/Y sky130_fd_sc_hd__nand2_2
+Xclkbuf_9_325_0_u_core.clock clkbuf_9_325_0_u_core.clock/A VGND VGND VPWR VPWR _76921_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_46039_ _46036_/A VGND VGND VPWR VPWR _46850_/B sky130_fd_sc_hd__buf_1
+X_69750_ _69643_/B VGND VGND VPWR VPWR _69750_/Y sky130_fd_sc_hd__inv_8
+XFILLER_712_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62085_ _78127_/Q _61460_/B VGND VGND VPWR VPWR _62086_/C sky130_fd_sc_hd__nor2_2
+XFILLER_318_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78948_ _78890_/CLK _78948_/D VGND VGND VPWR VPWR _43181_/A sky130_fd_sc_hd__dfxtp_4
+X_66962_ _66962_/A _66792_/X VGND VGND VPWR VPWR _66962_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_689_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_350_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_337_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_237_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_173_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68701_ _68701_/A _68367_/B VGND VGND VPWR VPWR _68701_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_303_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65913_ _66090_/A _65913_/B _65912_/Y VGND VGND VPWR VPWR _65914_/B sky130_fd_sc_hd__nor3_2
+XFILLER_510_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61036_ _60719_/A _61036_/B _61036_/C VGND VGND VPWR VPWR _61040_/B sky130_fd_sc_hd__nor3_2
+XFILLER_302_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38861_ _38742_/A _38979_/B VGND VGND VPWR VPWR _38868_/A sky130_fd_sc_hd__or2_2
+XFILLER_331_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_489_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69681_ _69681_/A _70058_/B VGND VGND VPWR VPWR _70790_/B sky130_fd_sc_hd__or2_2
+XFILLER_678_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66893_ _67377_/A _66893_/B _66892_/Y VGND VGND VPWR VPWR _66913_/A sky130_fd_sc_hd__nor3_2
+X_78879_ _78890_/CLK _78879_/D VGND VGND VPWR VPWR _46448_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_82_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_86_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_494_0_u_core.clock clkbuf_9_495_0_u_core.clock/A VGND VGND VPWR VPWR _80321_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_433_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80910_ _81005_/CLK _74549_/Y VGND VGND VPWR VPWR _80910_/Q sky130_fd_sc_hd__dfxtp_4
+X_68632_ _66163_/A VGND VGND VPWR VPWR _68632_/X sky130_fd_sc_hd__buf_1
+XFILLER_649_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65844_ _65844_/A _65843_/X VGND VGND VPWR VPWR _65844_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_60_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38792_ _38792_/A _38791_/Y VGND VGND VPWR VPWR _38792_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_446_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_99_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80841_ _80841_/CLK _74847_/Y VGND VGND VPWR VPWR _80841_/Q sky130_fd_sc_hd__dfxtp_4
+X_49729_ _78262_/Q _49732_/B VGND VGND VPWR VPWR _49731_/A sky130_fd_sc_hd__nand2_2
+XFILLER_288_1411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68563_ _68563_/A _68563_/B VGND VGND VPWR VPWR _68563_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_3_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65775_ _65621_/A _65773_/Y _65774_/Y VGND VGND VPWR VPWR _65775_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_23_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62987_ _78573_/Q _62987_/B VGND VGND VPWR VPWR _62987_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_661_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_211_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_56_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_567_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_481_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67514_ _66872_/X _67514_/B _67514_/C VGND VGND VPWR VPWR _67515_/D sky130_fd_sc_hd__nor3_2
+XFILLER_720_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_503_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52740_ _61047_/A _52728_/X VGND VGND VPWR VPWR _52742_/A sky130_fd_sc_hd__nand2_2
+XFILLER_645_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64726_ _64887_/A _64726_/B _64726_/C VGND VGND VPWR VPWR _64734_/B sky130_fd_sc_hd__nor3_2
+XFILLER_509_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_725_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61938_ _61583_/X _61938_/B VGND VGND VPWR VPWR _61978_/B sky130_fd_sc_hd__nor2_2
+XFILLER_329_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80772_ _80725_/CLK _80772_/D VGND VGND VPWR VPWR _75103_/C sky130_fd_sc_hd__dfxtp_4
+X_68494_ _80295_/Q _68494_/B VGND VGND VPWR VPWR _68494_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_348_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_472_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_249_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_344_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39413_ _39420_/A _39413_/B _39413_/C VGND VGND VPWR VPWR _39414_/B sky130_fd_sc_hd__nand3_2
+XFILLER_460_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_271_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67445_ _57858_/A _66787_/X VGND VGND VPWR VPWR _67445_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_724_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64657_ _64657_/A _64239_/B VGND VGND VPWR VPWR _64657_/Y sky130_fd_sc_hd__nor2_2
+X_52671_ _52696_/B VGND VGND VPWR VPWR _52671_/X sky130_fd_sc_hd__buf_1
+XFILLER_561_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_3_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61869_ _61869_/A _61714_/X VGND VGND VPWR VPWR _61871_/B sky130_fd_sc_hd__nor2_2
+XFILLER_110_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_247_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_559_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54410_ _60152_/A _54420_/B VGND VGND VPWR VPWR _54412_/A sky130_fd_sc_hd__nand2_2
+XFILLER_35_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_544_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39344_ _39217_/A _39340_/X VGND VGND VPWR VPWR _39344_/X sky130_fd_sc_hd__or2_2
+X_51622_ _51557_/X _51643_/B VGND VGND VPWR VPWR _51623_/B sky130_fd_sc_hd__or2_2
+XFILLER_270_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63608_ _63608_/A _63149_/B VGND VGND VPWR VPWR _63608_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_431_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_522_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55390_ _71271_/A _55390_/B VGND VGND VPWR VPWR _55400_/A sky130_fd_sc_hd__or2_2
+XFILLER_678_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67376_ _67376_/A _67376_/B _67375_/Y VGND VGND VPWR VPWR _67376_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_36_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64588_ _67837_/A VGND VGND VPWR VPWR _69411_/A sky130_fd_sc_hd__buf_1
+XFILLER_36_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_413_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_127_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_604_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_361_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_260_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69115_ _69115_/A _64392_/B VGND VGND VPWR VPWR _69115_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_180_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_400_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54341_ _54366_/B VGND VGND VPWR VPWR _54353_/B sky130_fd_sc_hd__buf_1
+XFILLER_620_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66327_ _80602_/Q _66157_/B VGND VGND VPWR VPWR _66328_/C sky130_fd_sc_hd__nor2_2
+XPHY_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_361_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51553_ _51553_/A _51552_/X VGND VGND VPWR VPWR _51553_/Y sky130_fd_sc_hd__nand2_2
+X_39275_ _39127_/A _39283_/B VGND VGND VPWR VPWR _39275_/X sky130_fd_sc_hd__or2_2
+XFILLER_440_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63539_ _63231_/A _63539_/B VGND VGND VPWR VPWR _63539_/Y sky130_fd_sc_hd__nor2_2
+XPHY_2129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_397_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_574_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_211_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_707_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50504_ _50504_/A _50503_/X VGND VGND VPWR VPWR _50504_/Y sky130_fd_sc_hd__nand2_2
+X_38226_ _38346_/A _38225_/X VGND VGND VPWR VPWR _38231_/A sky130_fd_sc_hd__or2_2
+X_69046_ _69324_/A _69046_/B _69046_/C VGND VGND VPWR VPWR _69046_/Y sky130_fd_sc_hd__nor3_2
+X_81324_ _80923_/CLK _81324_/D VGND VGND VPWR VPWR _81324_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_260_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57060_ _65799_/A _56818_/B VGND VGND VPWR VPWR _57061_/C sky130_fd_sc_hd__nor2_2
+XPHY_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_168_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54272_ _54240_/X _54266_/B VGND VGND VPWR VPWR _54272_/X sky130_fd_sc_hd__or2_2
+XFILLER_323_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66258_ _66258_/A _66258_/B _66257_/Y VGND VGND VPWR VPWR _66259_/C sky130_fd_sc_hd__nor3_2
+XFILLER_479_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51484_ _77800_/Q _51477_/B VGND VGND VPWR VPWR _51484_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_56_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_342_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_715_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_3065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56011_ _45358_/Y _56010_/X VGND VGND VPWR VPWR _56016_/B sky130_fd_sc_hd__nor2_2
+XFILLER_299_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53223_ _53223_/A _53237_/B VGND VGND VPWR VPWR _53226_/A sky130_fd_sc_hd__nand2_2
+XPHY_33209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65209_ _65209_/A VGND VGND VPWR VPWR _65712_/B sky130_fd_sc_hd__buf_1
+XFILLER_577_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2052 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50435_ _78076_/Q _50435_/B VGND VGND VPWR VPWR _50435_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_320_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81255_ _81255_/CLK _73151_/Y VGND VGND VPWR VPWR _68408_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_30_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_574_2686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66189_ _66515_/A _66189_/B _66188_/Y VGND VGND VPWR VPWR _66189_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_105_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_136_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_366_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_353_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_393_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_203_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80206_ _80239_/CLK _80206_/D VGND VGND VPWR VPWR _39105_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_688_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53154_ _77355_/Q _53173_/B VGND VGND VPWR VPWR _53154_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_516_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50366_ _50364_/Y _50366_/B VGND VGND VPWR VPWR _78093_/D sky130_fd_sc_hd__nand2_2
+XFILLER_353_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81186_ _81228_/CLK _73434_/Y VGND VGND VPWR VPWR _81186_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_352_121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52105_ _52105_/A _52104_/X VGND VGND VPWR VPWR _52105_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_336_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_139_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80137_ _80178_/CLK _80137_/D VGND VGND VPWR VPWR _80137_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_219_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57962_ _57962_/A _57961_/Y VGND VGND VPWR VPWR _57962_/Y sky130_fd_sc_hd__nor2_2
+X_53085_ _53082_/Y _53085_/B VGND VGND VPWR VPWR _77372_/D sky130_fd_sc_hd__nand2_2
+XFILLER_82_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_306_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69948_ _69793_/A VGND VGND VPWR VPWR _70463_/B sky130_fd_sc_hd__buf_1
+XPHY_21306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_30_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50297_ _50261_/A _50293_/X VGND VGND VPWR VPWR _50297_/X sky130_fd_sc_hd__or2_2
+XFILLER_105_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59701_ _59376_/A _59701_/B _59701_/C VGND VGND VPWR VPWR _59705_/B sky130_fd_sc_hd__nor3_2
+XFILLER_65_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40050_ _40038_/X _40065_/B _58029_/A VGND VGND VPWR VPWR _40051_/B sky130_fd_sc_hd__nand3_2
+XPHY_9736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52036_ _52033_/Y _52035_/X VGND VGND VPWR VPWR _52036_/Y sky130_fd_sc_hd__nand2_2
+X_56913_ _56228_/A VGND VGND VPWR VPWR _56913_/X sky130_fd_sc_hd__buf_1
+XFILLER_336_1467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80068_ _80099_/CLK _80068_/D VGND VGND VPWR VPWR _58056_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_290_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57893_ _80322_/Q _57813_/B VGND VGND VPWR VPWR _57895_/B sky130_fd_sc_hd__nor2_2
+XFILLER_43_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69879_ _69879_/A VGND VGND VPWR VPWR _69880_/A sky130_fd_sc_hd__buf_1
+XPHY_9758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_568_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_439_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59632_ _54803_/A _59632_/B VGND VGND VPWR VPWR _59635_/B sky130_fd_sc_hd__nor2_2
+XPHY_20638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_254_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71910_ _71793_/A _71904_/Y _71909_/Y VGND VGND VPWR VPWR _71910_/X sky130_fd_sc_hd__or3_2
+XPHY_10115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56844_ _57643_/A VGND VGND VPWR VPWR _56844_/X sky130_fd_sc_hd__buf_1
+XPHY_20649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72890_ _73796_/A _72872_/B VGND VGND VPWR VPWR _72892_/A sky130_fd_sc_hd__or2_2
+XFILLER_467_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_232_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_656_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_436_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59563_ _77159_/Q _59728_/B VGND VGND VPWR VPWR _59565_/B sky130_fd_sc_hd__nor2_2
+XFILLER_369_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_71841_ _70972_/D _70973_/A _70948_/B VGND VGND VPWR VPWR _71899_/B sky130_fd_sc_hd__o21a_4
+XFILLER_448_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56775_ _56766_/Y _56775_/B VGND VGND VPWR VPWR _56776_/B sky130_fd_sc_hd__nor2_2
+XFILLER_47_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_63_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53987_ _53926_/A _53987_/B VGND VGND VPWR VPWR _53987_/X sky130_fd_sc_hd__or2_2
+XFILLER_366_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_624_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_6_43_0_u_core.clock clkbuf_6_42_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_87_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_41_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58514_ _68977_/A _58437_/B VGND VGND VPWR VPWR _58516_/B sky130_fd_sc_hd__nor2_2
+XFILLER_58_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_630_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_546_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_707_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43740_ _73109_/B VGND VGND VPWR VPWR _43938_/A sky130_fd_sc_hd__buf_1
+XFILLER_726_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55726_ _55409_/X _45002_/B VGND VGND VPWR VPWR _55727_/B sky130_fd_sc_hd__or2_2
+XFILLER_562_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74560_ _74563_/A _74554_/B _80907_/Q VGND VGND VPWR VPWR _74560_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_18_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40952_ _40590_/A _40963_/B VGND VGND VPWR VPWR _40955_/A sky130_fd_sc_hd__or2_2
+XPHY_29614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_527_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52938_ _52994_/A _52938_/B VGND VGND VPWR VPWR _52938_/X sky130_fd_sc_hd__or2_2
+XFILLER_288_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71772_ _71772_/A VGND VGND VPWR VPWR _71890_/A sky130_fd_sc_hd__buf_1
+X_59494_ _58982_/A _59494_/B VGND VGND VPWR VPWR _59534_/B sky130_fd_sc_hd__nor2_2
+XFILLER_189_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_463_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_207_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73511_ _73511_/A _73523_/B _69459_/A VGND VGND VPWR VPWR _73511_/Y sky130_fd_sc_hd__nand3_2
+XPHY_28902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70723_ _69770_/X _70723_/B VGND VGND VPWR VPWR _70723_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_699_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58445_ _58435_/X _58445_/B _58444_/Y VGND VGND VPWR VPWR _58445_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_284_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43671_ _43670_/X VGND VGND VPWR VPWR _43784_/B sky130_fd_sc_hd__buf_1
+XPHY_4010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_597_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55657_ _55525_/X _55657_/B VGND VGND VPWR VPWR _55657_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_499_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74491_ _74491_/A _74504_/B VGND VGND VPWR VPWR _74495_/A sky130_fd_sc_hd__or2_2
+XFILLER_280_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40883_ _40883_/A _40888_/B VGND VGND VPWR VPWR _40887_/A sky130_fd_sc_hd__or2_2
+XPHY_28924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52869_ _60600_/A _52866_/B VGND VGND VPWR VPWR _52871_/A sky130_fd_sc_hd__nand2_2
+XPHY_29669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_382_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45410_ _55897_/B VGND VGND VPWR VPWR _55896_/A sky130_fd_sc_hd__buf_1
+XFILLER_720_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76230_ _76226_/X _76230_/B VGND VGND VPWR VPWR _80494_/D sky130_fd_sc_hd__nand2_2
+XPHY_18423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42622_ _42576_/X _42621_/X _70395_/Y _42589_/X VGND VGND VPWR VPWR _42622_/X sky130_fd_sc_hd__o22a_4
+XFILLER_568_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54608_ _54608_/A _54608_/B VGND VGND VPWR VPWR _54608_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_520_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73442_ _74999_/A _73438_/X VGND VGND VPWR VPWR _73442_/X sky130_fd_sc_hd__or2_2
+XFILLER_61_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46390_ _46394_/A _46390_/B _46390_/C VGND VGND VPWR VPWR _46464_/C sky130_fd_sc_hd__nor3_2
+XFILLER_603_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58376_ _58294_/A _58376_/B _58376_/C VGND VGND VPWR VPWR _58377_/C sky130_fd_sc_hd__nor3_2
+XPHY_19179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70654_ _69997_/A _70654_/B VGND VGND VPWR VPWR _70654_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_622_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55588_ _55605_/A _55714_/B VGND VGND VPWR VPWR _55588_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_347_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_50 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_167_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_431_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45341_ _45341_/A _45341_/B VGND VGND VPWR VPWR _45341_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_540_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57327_ _57400_/A _57326_/Y VGND VGND VPWR VPWR _57327_/Y sky130_fd_sc_hd__nor2_2
+X_76161_ _76161_/A _76161_/B VGND VGND VPWR VPWR _76161_/X sky130_fd_sc_hd__or2_2
+XPHY_3353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_61 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_242_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54539_ _54655_/A _54535_/B VGND VGND VPWR VPWR _54539_/X sky130_fd_sc_hd__or2_2
+XPHY_18478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42553_ _42553_/A VGND VGND VPWR VPWR _42579_/A sky130_fd_sc_hd__buf_1
+X_73373_ _73371_/Y _73372_/X VGND VGND VPWR VPWR _73373_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_694_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70585_ _70585_/A _69904_/A VGND VGND VPWR VPWR _70586_/A sky130_fd_sc_hd__or2_2
+XFILLER_690_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_83 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_203_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75112_ _75111_/X VGND VGND VPWR VPWR _75120_/A sky130_fd_sc_hd__buf_1
+XFILLER_497_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41504_ _41502_/X _41503_/Y VGND VGND VPWR VPWR _41504_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_54_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48060_ _48060_/A _48063_/B VGND VGND VPWR VPWR _48061_/B sky130_fd_sc_hd__or2_2
+X_72324_ _72820_/A _72319_/B VGND VGND VPWR VPWR _72324_/X sky130_fd_sc_hd__or2_2
+XPHY_2652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45272_ _45216_/A _45271_/Y VGND VGND VPWR VPWR _45272_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57258_ _80058_/Q _57569_/B VGND VGND VPWR VPWR _57259_/C sky130_fd_sc_hd__nor2_2
+XPHY_35145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76092_ _76092_/A _76091_/Y VGND VGND VPWR VPWR _80522_/D sky130_fd_sc_hd__nand2_2
+XPHY_2663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42484_ _42633_/A VGND VGND VPWR VPWR _42500_/B sky130_fd_sc_hd__buf_1
+XFILLER_395_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_655_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_497_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47011_ _47006_/X _47011_/B VGND VGND VPWR VPWR _47012_/B sky130_fd_sc_hd__and2_2
+XFILLER_592_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44223_ _44269_/A _44223_/B _44223_/C VGND VGND VPWR VPWR _79251_/D sky130_fd_sc_hd__nor3_2
+XFILLER_278_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56209_ _56209_/A _56209_/B VGND VGND VPWR VPWR _56209_/X sky130_fd_sc_hd__or2_2
+XFILLER_196_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75043_ _75043_/A _75039_/B VGND VGND VPWR VPWR _75043_/X sky130_fd_sc_hd__or2_2
+X_79920_ _79921_/CLK _40243_/Y VGND VGND VPWR VPWR _64656_/A sky130_fd_sc_hd__dfxtp_4
+X_41435_ _41506_/A VGND VGND VPWR VPWR _41440_/A sky130_fd_sc_hd__buf_1
+XFILLER_725_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72255_ _72913_/A VGND VGND VPWR VPWR _72256_/A sky130_fd_sc_hd__buf_1
+XPHY_33710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57189_ _56956_/A _57187_/Y _57189_/C VGND VGND VPWR VPWR _57189_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_373_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_70_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71206_ _72029_/B VGND VGND VPWR VPWR _71321_/B sky130_fd_sc_hd__buf_1
+XFILLER_706_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_358_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44154_ _44206_/A _44154_/B _44153_/Y VGND VGND VPWR VPWR _44155_/C sky130_fd_sc_hd__nor3_2
+XFILLER_295_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79851_ _80010_/CLK _40536_/Y VGND VGND VPWR VPWR _69115_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_67_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_436_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41366_ _41116_/X _41376_/B VGND VGND VPWR VPWR _41368_/A sky130_fd_sc_hd__or2_2
+XFILLER_194_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_121 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72186_ _75450_/A VGND VGND VPWR VPWR _74694_/A sky130_fd_sc_hd__buf_1
+XPHY_23231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_551_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_23_0_u_core.clock clkbuf_7_23_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_47_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_393_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43105_ _43091_/Y _43105_/B VGND VGND VPWR VPWR _43106_/B sky130_fd_sc_hd__nand2_2
+XFILLER_182_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78802_ _78809_/CLK _78802_/D VGND VGND VPWR VPWR _63780_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_33787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_633_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40317_ _40317_/A _40331_/B VGND VGND VPWR VPWR _40317_/X sky130_fd_sc_hd__or2_2
+XFILLER_291_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_276_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71137_ _41016_/A VGND VGND VPWR VPWR _42897_/A sky130_fd_sc_hd__buf_1
+XFILLER_489_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48962_ _63812_/A _48958_/B VGND VGND VPWR VPWR _48964_/A sky130_fd_sc_hd__nand2_2
+XFILLER_291_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44085_ _44085_/A VGND VGND VPWR VPWR _44086_/C sky130_fd_sc_hd__inv_8
+XPHY_22530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79782_ _79778_/CLK _79782_/D VGND VGND VPWR VPWR _68307_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_436_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41297_ _41284_/A _41297_/B _58496_/A VGND VGND VPWR VPWR _41298_/B sky130_fd_sc_hd__nand3_2
+XFILLER_139_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76994_ _76993_/CLK _76994_/D VGND VGND VPWR VPWR _54528_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_551_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_319_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_491_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_178_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47913_ _47855_/A _47913_/B VGND VGND VPWR VPWR _47913_/X sky130_fd_sc_hd__or2_2
+XPHY_22563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43036_ _45205_/A _43036_/B VGND VGND VPWR VPWR _43036_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78733_ _78741_/CLK _47885_/Y VGND VGND VPWR VPWR _47883_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_124_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40248_ _40260_/A VGND VGND VPWR VPWR _40362_/B sky130_fd_sc_hd__buf_1
+XFILLER_272_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75945_ _75941_/X _75828_/X _75945_/C VGND VGND VPWR VPWR _75945_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_512_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_452_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71068_ _71150_/A _71067_/Y VGND VGND VPWR VPWR _71068_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48893_ _48864_/A _48893_/B VGND VGND VPWR VPWR _48893_/X sky130_fd_sc_hd__or2_2
+XPHY_22585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_151_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_61_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_430_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62910_ _62281_/A _62910_/B _62910_/C VGND VGND VPWR VPWR _62914_/B sky130_fd_sc_hd__nor3_2
+XFILLER_297_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_124_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70019_ _70019_/A _70019_/B VGND VGND VPWR VPWR _70020_/B sky130_fd_sc_hd__or2_2
+XPHY_12084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47844_ _47841_/Y _47843_/X VGND VGND VPWR VPWR _47844_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_485_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78664_ _78638_/CLK _48157_/Y VGND VGND VPWR VPWR _78664_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_285_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40179_ _40197_/A VGND VGND VPWR VPWR _40186_/B sky130_fd_sc_hd__buf_1
+XFILLER_250_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_709_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63890_ _63337_/A _63886_/Y _63889_/Y VGND VGND VPWR VPWR _63898_/B sky130_fd_sc_hd__nor3_2
+XPHY_21884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_649_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75876_ _75876_/A _75876_/B VGND VGND VPWR VPWR _75876_/X sky130_fd_sc_hd__or2_2
+XFILLER_29_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_646_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77615_ _77628_/CLK _52183_/Y VGND VGND VPWR VPWR _60829_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_545_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62841_ _63463_/A _62841_/B _62840_/Y VGND VGND VPWR VPWR _62841_/Y sky130_fd_sc_hd__nor3_2
+X_74827_ _74823_/X _74827_/B VGND VGND VPWR VPWR _80846_/D sky130_fd_sc_hd__nand2_2
+XFILLER_439_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47775_ _47775_/A _47761_/B VGND VGND VPWR VPWR _47777_/A sky130_fd_sc_hd__nand2_2
+XFILLER_662_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78595_ _78619_/CLK _78595_/D VGND VGND VPWR VPWR _63851_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_59_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44987_ _44951_/A _44987_/B VGND VGND VPWR VPWR _44997_/A sky130_fd_sc_hd__or2_2
+XFILLER_77_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_627_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_545_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_431 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_37_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49514_ _62131_/A _49519_/B VGND VGND VPWR VPWR _49514_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_540_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_387_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_627_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46726_ _43033_/B _46725_/X VGND VGND VPWR VPWR _46726_/X sky130_fd_sc_hd__or2_2
+XPHY_10693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65560_ _65560_/A _65560_/B VGND VGND VPWR VPWR _65560_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_525_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77546_ _77571_/CLK _77546_/D VGND VGND VPWR VPWR _77546_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_661_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43938_ _43938_/A VGND VGND VPWR VPWR _43957_/B sky130_fd_sc_hd__buf_1
+X_62772_ _62453_/X _62772_/B _62771_/Y VGND VGND VPWR VPWR _62772_/Y sky130_fd_sc_hd__nor3_2
+X_74758_ _74749_/A _74749_/B _74758_/C VGND VGND VPWR VPWR _74759_/B sky130_fd_sc_hd__nand3_2
+XFILLER_293_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_447_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_38_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_599_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_580_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64511_ _64511_/A _65064_/B VGND VGND VPWR VPWR _64511_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_408_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_406_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73709_ _73951_/A _73712_/B VGND VGND VPWR VPWR _73709_/X sky130_fd_sc_hd__or2_2
+X_49445_ _49445_/A _49444_/X VGND VGND VPWR VPWR _49445_/Y sky130_fd_sc_hd__nand2_2
+X_61723_ _61723_/A _61254_/B VGND VGND VPWR VPWR _61723_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_604_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46657_ _46653_/Y _46657_/B VGND VGND VPWR VPWR _46658_/A sky130_fd_sc_hd__or2_2
+X_65491_ _65313_/A _65489_/Y _65490_/Y VGND VGND VPWR VPWR _65491_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_482_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77477_ _77454_/CLK _77477_/D VGND VGND VPWR VPWR _77477_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_185_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43869_ _72904_/A _43891_/B VGND VGND VPWR VPWR _43869_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_20_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74689_ _74689_/A VGND VGND VPWR VPWR _76089_/A sky130_fd_sc_hd__buf_1
+XFILLER_80_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_46_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_115_0_u_core.clock clkbuf_8_57_0_u_core.clock/X VGND VGND VPWR VPWR _76907_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_98_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67230_ _66731_/A _67228_/Y _67229_/Y VGND VGND VPWR VPWR _67230_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_541_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_248_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79216_ _79216_/CLK _44572_/Y VGND VGND VPWR VPWR _79216_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_55_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45608_ _42673_/A _45596_/B VGND VGND VPWR VPWR _45610_/B sky130_fd_sc_hd__nor2_2
+X_64442_ _80688_/Q _65219_/B VGND VGND VPWR VPWR _64442_/Y sky130_fd_sc_hd__nor2_2
+X_76428_ _38310_/A _76418_/B VGND VGND VPWR VPWR _76428_/X sky130_fd_sc_hd__or2_2
+XFILLER_413_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_695_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61654_ _61344_/A _61650_/Y _61654_/C VGND VGND VPWR VPWR _61667_/B sky130_fd_sc_hd__nor3_2
+XFILLER_146_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49376_ _49373_/Y _49375_/X VGND VGND VPWR VPWR _78356_/D sky130_fd_sc_hd__nand2_2
+XFILLER_421_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46588_ _46583_/A _46588_/B _46588_/C VGND VGND VPWR VPWR _46589_/C sky130_fd_sc_hd__nor3_2
+XFILLER_261_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_578_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_621_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_206_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_621_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_33_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48327_ _48327_/A _48327_/B VGND VGND VPWR VPWR _48327_/Y sky130_fd_sc_hd__nand2_2
+X_60605_ _60605_/A _60452_/B VGND VGND VPWR VPWR _60605_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_495_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67161_ _66992_/A _67161_/B _67161_/C VGND VGND VPWR VPWR _67162_/C sky130_fd_sc_hd__nor3_2
+XFILLER_261_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79147_ _79145_/CLK _79147_/D VGND VGND VPWR VPWR _69688_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_421_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45539_ _45538_/Y VGND VGND VPWR VPWR _45539_/X sky130_fd_sc_hd__buf_1
+X_76359_ _76359_/A _76373_/B VGND VGND VPWR VPWR _76359_/X sky130_fd_sc_hd__or2_2
+Xclkbuf_8_224_0_u_core.clock clkbuf_7_112_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_449_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_343_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64373_ _64366_/X _64369_/Y _64373_/C VGND VGND VPWR VPWR _64374_/C sky130_fd_sc_hd__nor3_2
+XFILLER_611_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61585_ _51797_/A _62064_/B VGND VGND VPWR VPWR _61588_/B sky130_fd_sc_hd__nor2_2
+XFILLER_72_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_343_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_284_0_u_core.clock clkbuf_9_285_0_u_core.clock/A VGND VGND VPWR VPWR _77232_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XANTENNA_490 _64448_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_222_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66112_ _66112_/A _65447_/B VGND VGND VPWR VPWR _66112_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_163_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39060_ _39060_/A VGND VGND VPWR VPWR _39075_/B sky130_fd_sc_hd__buf_1
+XFILLER_421_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63324_ _78687_/Q _63161_/B VGND VGND VPWR VPWR _63324_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_226_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_501_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48258_ _48134_/A _48257_/X VGND VGND VPWR VPWR _48258_/X sky130_fd_sc_hd__or2_2
+X_60536_ _60536_/A _60536_/B VGND VGND VPWR VPWR _60575_/B sky130_fd_sc_hd__nor2_2
+XFILLER_60_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67092_ _65442_/A VGND VGND VPWR VPWR _67092_/X sky130_fd_sc_hd__buf_1
+XFILLER_302_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79078_ _79100_/CLK _79078_/D VGND VGND VPWR VPWR _41814_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_226_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_15 io_in[28] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_203_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_394_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_394_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_26 io_out[16] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_37 wbs_adr_i[1] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_124_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47209_ _47205_/B _47208_/Y _47200_/C VGND VGND VPWR VPWR _78884_/D sky130_fd_sc_hd__nor3_2
+X_66043_ _65205_/A VGND VGND VPWR VPWR _66209_/B sky130_fd_sc_hd__buf_1
+XFILLER_31_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_48 wbs_adr_i[5] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_78029_ _77540_/CLK _50609_/Y VGND VGND VPWR VPWR _61721_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_582_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_192_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63255_ _63255_/A _62944_/B VGND VGND VPWR VPWR _63255_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_105_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_555_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60467_ _59973_/A _60467_/B _60467_/C VGND VGND VPWR VPWR _60467_/Y sky130_fd_sc_hd__nor3_2
+XANTENNA_59 _57308_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_48189_ _48187_/Y _48188_/X VGND VGND VPWR VPWR _48189_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_302_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_638_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50220_ _78130_/Q _50220_/B VGND VGND VPWR VPWR _50220_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_337_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62206_ _78072_/Q _61892_/X VGND VGND VPWR VPWR _62208_/B sky130_fd_sc_hd__nor2_2
+X_81040_ _80809_/CLK _73984_/Y VGND VGND VPWR VPWR _64536_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_517_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_474_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63186_ _62877_/A _63186_/B _63185_/Y VGND VGND VPWR VPWR _63187_/B sky130_fd_sc_hd__nor3_2
+XFILLER_203_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60398_ _53195_/A _60398_/B VGND VGND VPWR VPWR _60398_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_435_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_523_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_713_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_454_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_196_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69802_ _69801_/X VGND VGND VPWR VPWR _69802_/Y sky130_fd_sc_hd__inv_8
+XFILLER_192_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50151_ _78149_/Q _50148_/B VGND VGND VPWR VPWR _50153_/A sky130_fd_sc_hd__nand2_2
+X_62137_ _61670_/A _62137_/B _62137_/C VGND VGND VPWR VPWR _62138_/B sky130_fd_sc_hd__nor3_2
+XFILLER_290_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39962_ _40240_/A VGND VGND VPWR VPWR _39963_/A sky130_fd_sc_hd__buf_1
+XFILLER_192_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_161_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_470_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67994_ _67994_/A _67990_/Y _67993_/Y VGND VGND VPWR VPWR _67994_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_179_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_315_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_66_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_157_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38913_ _38913_/A VGND VGND VPWR VPWR _38920_/B sky130_fd_sc_hd__buf_1
+XFILLER_318_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_666_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69733_ _76724_/Q _69732_/X VGND VGND VPWR VPWR _55923_/C sky130_fd_sc_hd__or2_2
+XFILLER_712_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62068_ _62068_/A _61756_/B VGND VGND VPWR VPWR _62068_/Y sky130_fd_sc_hd__nor2_2
+X_50082_ _49997_/A _50085_/B VGND VGND VPWR VPWR _50083_/B sky130_fd_sc_hd__or2_2
+X_66945_ _66945_/A _66937_/Y _66945_/C VGND VGND VPWR VPWR _66945_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_318_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39893_ _39885_/A _39904_/B _39893_/C VGND VGND VPWR VPWR _39893_/Y sky130_fd_sc_hd__nand3_2
+XPHY_8309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53910_ _53853_/A _53885_/A VGND VGND VPWR VPWR _53910_/X sky130_fd_sc_hd__or2_2
+X_61019_ _59426_/A VGND VGND VPWR VPWR _61485_/B sky130_fd_sc_hd__buf_1
+XFILLER_25_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38844_ _38790_/A VGND VGND VPWR VPWR _38851_/A sky130_fd_sc_hd__buf_1
+XFILLER_663_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69664_ _69664_/A _69641_/B VGND VGND VPWR VPWR _69665_/B sky130_fd_sc_hd__or2_2
+XFILLER_330_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_153_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54890_ _54946_/A _54893_/B VGND VGND VPWR VPWR _54891_/B sky130_fd_sc_hd__or2_2
+XFILLER_353_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_350_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66876_ _80670_/Q _67037_/B VGND VGND VPWR VPWR _66876_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_350_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_276_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_190_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_350_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_648_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_665_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_448_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_141_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_64_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68615_ _68615_/A _68946_/B VGND VGND VPWR VPWR _68615_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_268_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53841_ _53841_/A _53841_/B VGND VGND VPWR VPWR _53841_/X sky130_fd_sc_hd__or2_2
+XFILLER_366_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65827_ _79991_/Q _66495_/B VGND VGND VPWR VPWR _65830_/B sky130_fd_sc_hd__nor2_2
+XPHY_6907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38775_ _38793_/A VGND VGND VPWR VPWR _38789_/B sky130_fd_sc_hd__buf_1
+XFILLER_366_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69595_ _69595_/A VGND VGND VPWR VPWR _69614_/B sky130_fd_sc_hd__buf_1
+XFILLER_60_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_291_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_5_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80824_ _80826_/CLK _80824_/D VGND VGND VPWR VPWR _65886_/A sky130_fd_sc_hd__dfxtp_4
+X_68546_ _80520_/Q _68206_/B VGND VGND VPWR VPWR _68547_/C sky130_fd_sc_hd__nor2_2
+X_56560_ _56560_/A _58809_/B VGND VGND VPWR VPWR _56560_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_563_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_429_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53772_ _53834_/A _53791_/B VGND VGND VPWR VPWR _53772_/X sky130_fd_sc_hd__or2_2
+X_65758_ _74634_/C _65431_/X VGND VGND VPWR VPWR _65758_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_491_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50984_ _61998_/A _50987_/B VGND VGND VPWR VPWR _50986_/A sky130_fd_sc_hd__nand2_2
+XFILLER_46_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_598_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_164_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_634_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_426_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_623_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_283_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55511_ _55341_/A VGND VGND VPWR VPWR _55562_/A sky130_fd_sc_hd__buf_1
+XFILLER_621_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52723_ _60425_/A _52717_/B VGND VGND VPWR VPWR _52723_/Y sky130_fd_sc_hd__nand2_2
+X_64709_ _65037_/A _64706_/Y _64708_/Y VGND VGND VPWR VPWR _64710_/C sky130_fd_sc_hd__nor3_2
+XPHY_28209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56491_ _56407_/A _56489_/Y _56490_/Y VGND VGND VPWR VPWR _56492_/C sky130_fd_sc_hd__nor3_2
+XFILLER_309_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_271_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80755_ _80852_/CLK _80755_/D VGND VGND VPWR VPWR _80755_/Q sky130_fd_sc_hd__dfxtp_4
+X_68477_ _64913_/A VGND VGND VPWR VPWR _68478_/B sky130_fd_sc_hd__buf_1
+XFILLER_227_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65689_ _65851_/A _65689_/B _65689_/C VGND VGND VPWR VPWR _65693_/B sky130_fd_sc_hd__nor3_2
+XFILLER_544_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_622_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_129_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58230_ _58307_/A _58230_/B _58230_/C VGND VGND VPWR VPWR _58240_/A sky130_fd_sc_hd__nor3_2
+XPHY_27508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55442_ _55466_/A _55442_/B VGND VGND VPWR VPWR _55442_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_246_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67428_ _67428_/A _67108_/X VGND VGND VPWR VPWR _67428_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_43_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52654_ _52654_/A _52654_/B VGND VGND VPWR VPWR _77490_/D sky130_fd_sc_hd__nand2_2
+XPHY_27519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_460_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80686_ _80685_/CLK _80686_/D VGND VGND VPWR VPWR _75428_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_326_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_420_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_3050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51605_ _51605_/A _51605_/B VGND VGND VPWR VPWR _51605_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_58_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39327_ _39271_/X VGND VGND VPWR VPWR _39327_/X sky130_fd_sc_hd__buf_1
+XPHY_17029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58161_ _58239_/A _58161_/B _58160_/Y VGND VGND VPWR VPWR _58162_/B sky130_fd_sc_hd__nor3_2
+XFILLER_224_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_38_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55373_ _55373_/A _55372_/Y VGND VGND VPWR VPWR _55374_/B sky130_fd_sc_hd__nor2_2
+X_67359_ _67359_/A _67359_/B _67359_/C VGND VGND VPWR VPWR _67515_/C sky130_fd_sc_hd__or3_2
+XFILLER_708_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52585_ _52556_/A _52603_/B VGND VGND VPWR VPWR _52586_/B sky130_fd_sc_hd__or2_2
+XPHY_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_178_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57112_ _57112_/A VGND VGND VPWR VPWR _57282_/B sky130_fd_sc_hd__buf_1
+XFILLER_322_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_547_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54324_ _59850_/A _54313_/B VGND VGND VPWR VPWR _54324_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_107_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_573_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39258_ _39254_/X _39258_/B VGND VGND VPWR VPWR _80174_/D sky130_fd_sc_hd__nand2_2
+X_51536_ _51526_/X VGND VGND VPWR VPWR _51549_/B sky130_fd_sc_hd__buf_1
+XPHY_16339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58092_ _67943_/A _58249_/B VGND VGND VPWR VPWR _58092_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_103_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70370_ _70370_/A VGND VGND VPWR VPWR _70370_/Y sky130_fd_sc_hd__inv_8
+XFILLER_600_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_199_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_8_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_101_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_373_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38209_ _76411_/A VGND VGND VPWR VPWR _38210_/A sky130_fd_sc_hd__buf_1
+X_57043_ _57033_/Y _57042_/Y VGND VGND VPWR VPWR _57044_/B sky130_fd_sc_hd__nor2_2
+X_69029_ _68374_/A _69025_/Y _69029_/C VGND VGND VPWR VPWR _69037_/B sky130_fd_sc_hd__nor3_2
+X_81307_ _81306_/CLK _81307_/D VGND VGND VPWR VPWR _72925_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_707_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54255_ _48489_/X _54190_/X VGND VGND VPWR VPWR _54265_/A sky130_fd_sc_hd__or2_2
+XPHY_15638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_279_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_719_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_592_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39189_ _39142_/A VGND VGND VPWR VPWR _39189_/X sky130_fd_sc_hd__buf_1
+X_51467_ _51467_/A _51466_/X VGND VGND VPWR VPWR _51467_/Y sky130_fd_sc_hd__nand2_2
+XPHY_15649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41220_ _41209_/X _41228_/B _57532_/A VGND VGND VPWR VPWR _41220_/Y sky130_fd_sc_hd__nand3_2
+XPHY_33039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53206_ _77345_/Q _53209_/B VGND VGND VPWR VPWR _53208_/A sky130_fd_sc_hd__nand2_2
+X_72040_ _72040_/A VGND VGND VPWR VPWR _72972_/A sky130_fd_sc_hd__buf_1
+XPHY_14937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50418_ _50865_/A VGND VGND VPWR VPWR _50418_/X sky130_fd_sc_hd__buf_1
+XFILLER_193_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81238_ _81211_/CLK _81238_/D VGND VGND VPWR VPWR _65619_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_20_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_176_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_121 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54186_ _54186_/A _54168_/B VGND VGND VPWR VPWR _54188_/A sky130_fd_sc_hd__nand2_2
+XPHY_32316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_338_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51398_ _51398_/A _51397_/X VGND VGND VPWR VPWR _51403_/A sky130_fd_sc_hd__nand2_2
+XPHY_14959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_535_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_109_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_437_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_316_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_492_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41151_ _41147_/X _41150_/Y VGND VGND VPWR VPWR _41151_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_139_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53137_ _53137_/A _53136_/X VGND VGND VPWR VPWR _53277_/A sky130_fd_sc_hd__or2_2
+XFILLER_180_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_703_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_256_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_688_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50349_ _50340_/A VGND VGND VPWR VPWR _50350_/B sky130_fd_sc_hd__buf_1
+XFILLER_325_165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81169_ _81476_/CLK _81169_/D VGND VGND VPWR VPWR _64758_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_633_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58994_ _59333_/A VGND VGND VPWR VPWR _58995_/B sky130_fd_sc_hd__buf_1
+XFILLER_191_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_381_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_251_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_124_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40102_ _40100_/X _40101_/Y VGND VGND VPWR VPWR _79958_/D sky130_fd_sc_hd__nand2_2
+XPHY_9511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_535_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_117_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41082_ _41081_/X _41076_/X _57620_/A VGND VGND VPWR VPWR _41083_/B sky130_fd_sc_hd__nand3_2
+XFILLER_713_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53068_ _61000_/A _53061_/B VGND VGND VPWR VPWR _53070_/A sky130_fd_sc_hd__nand2_2
+X_57945_ _57945_/A _57944_/X VGND VGND VPWR VPWR _57945_/Y sky130_fd_sc_hd__nor2_2
+XPHY_9533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73991_ _73991_/A VGND VGND VPWR VPWR _73998_/B sky130_fd_sc_hd__buf_1
+XFILLER_62_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_274_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_726_3132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40033_ _40029_/X _40032_/Y VGND VGND VPWR VPWR _79977_/D sky130_fd_sc_hd__nand2_2
+XPHY_9566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44910_ _41677_/X _44910_/B VGND VGND VPWR VPWR _44911_/A sky130_fd_sc_hd__or2_2
+X_52019_ _52051_/A _52027_/B VGND VGND VPWR VPWR _52019_/X sky130_fd_sc_hd__or2_2
+XPHY_20424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75730_ _75802_/A VGND VGND VPWR VPWR _75734_/B sky130_fd_sc_hd__buf_1
+XPHY_21169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72942_ _73959_/A _72956_/B VGND VGND VPWR VPWR _72944_/A sky130_fd_sc_hd__or2_2
+XFILLER_267_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45890_ _45899_/A _45890_/B VGND VGND VPWR VPWR _79080_/D sky130_fd_sc_hd__nor2_2
+XFILLER_234_1549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_568_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57876_ _67654_/A _57794_/B VGND VGND VPWR VPWR _57876_/Y sky130_fd_sc_hd__nor2_2
+XPHY_30969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_27_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_685_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_510_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_3187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_628_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59615_ _76952_/Q _59615_/B VGND VGND VPWR VPWR _59618_/B sky130_fd_sc_hd__nor2_2
+XFILLER_238_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44841_ _44457_/X VGND VGND VPWR VPWR _44892_/A sky130_fd_sc_hd__buf_1
+XFILLER_529_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56827_ _56416_/A VGND VGND VPWR VPWR _57150_/A sky130_fd_sc_hd__buf_1
+XPHY_8876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75661_ _75643_/A VGND VGND VPWR VPWR _75662_/A sky130_fd_sc_hd__buf_1
+XPHY_20479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_388_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72873_ _72873_/A _72873_/B _81318_/Q VGND VGND VPWR VPWR _72873_/Y sky130_fd_sc_hd__nand3_2
+XPHY_8887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_3062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_628_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_93_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77400_ _77749_/CLK _52986_/Y VGND VGND VPWR VPWR _77400_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_19_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74612_ _74610_/X _74611_/Y VGND VGND VPWR VPWR _80893_/D sky130_fd_sc_hd__nand2_2
+XFILLER_360_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_60_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71824_ _71539_/X _71810_/X _71548_/X _43633_/A VGND VGND VPWR VPWR _71825_/A sky130_fd_sc_hd__o22a_4
+X_47560_ _47560_/A _47545_/B VGND VGND VPWR VPWR _47562_/A sky130_fd_sc_hd__nand2_2
+X_59546_ _53754_/A _64004_/B VGND VGND VPWR VPWR _59547_/C sky130_fd_sc_hd__nor2_2
+XFILLER_408_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78380_ _77920_/CLK _49260_/Y VGND VGND VPWR VPWR _63983_/A sky130_fd_sc_hd__dfxtp_4
+X_44772_ _44514_/Y VGND VGND VPWR VPWR _44772_/X sky130_fd_sc_hd__buf_1
+XFILLER_247_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56758_ _56758_/A _56757_/Y VGND VGND VPWR VPWR _56758_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_310_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75592_ _75835_/A _75700_/B VGND VGND VPWR VPWR _75592_/X sky130_fd_sc_hd__or2_2
+XFILLER_644_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41984_ _41977_/Y _41984_/B _42009_/C VGND VGND VPWR VPWR _41984_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_74_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_704_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46511_ _46505_/B _46511_/B VGND VGND VPWR VPWR _79011_/D sky130_fd_sc_hd__nor2_2
+XFILLER_581_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77331_ _77353_/CLK _77331_/D VGND VGND VPWR VPWR _77331_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_1_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_91_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43723_ _43723_/A _43699_/Y _43881_/A VGND VGND VPWR VPWR _43867_/B sky130_fd_sc_hd__or3_4
+X_55709_ _55707_/X _55709_/B VGND VGND VPWR VPWR _55709_/X sky130_fd_sc_hd__and2_2
+XFILLER_562_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74543_ _75585_/A _74543_/B VGND VGND VPWR VPWR _74546_/A sky130_fd_sc_hd__or2_2
+XFILLER_21_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_286_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40935_ _40988_/A VGND VGND VPWR VPWR _40936_/A sky130_fd_sc_hd__buf_1
+XPHY_29444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47491_ _47289_/B _49196_/A VGND VGND VPWR VPWR _53307_/A sky130_fd_sc_hd__or2_2
+XFILLER_189_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_707_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59477_ _59640_/A _59477_/B _59477_/C VGND VGND VPWR VPWR _59484_/B sky130_fd_sc_hd__nor3_2
+X_71755_ _70848_/X _71754_/Y VGND VGND VPWR VPWR _71755_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_524_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56689_ _80307_/Q _56606_/B VGND VGND VPWR VPWR _56689_/Y sky130_fd_sc_hd__nor2_2
+XPHY_28710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_249_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_290_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_59_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_425_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49230_ _49230_/A _49251_/B VGND VGND VPWR VPWR _49230_/X sky130_fd_sc_hd__or2_2
+XFILLER_267_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_163_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46442_ _46438_/B VGND VGND VPWR VPWR _46443_/B sky130_fd_sc_hd__inv_8
+X_58428_ _79977_/Q _58648_/B VGND VGND VPWR VPWR _58428_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_280_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70706_ _70706_/A _69761_/X _69954_/A VGND VGND VPWR VPWR _70706_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_692_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77262_ _77254_/CLK _53525_/Y VGND VGND VPWR VPWR _77262_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_46_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43654_ _42795_/B _43640_/X VGND VGND VPWR VPWR _43655_/C sky130_fd_sc_hd__nor2_2
+XFILLER_640_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74474_ _74220_/A _74486_/B VGND VGND VPWR VPWR _74477_/A sky130_fd_sc_hd__or2_2
+XFILLER_440_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40866_ _40987_/A _40876_/B VGND VGND VPWR VPWR _40868_/A sky130_fd_sc_hd__or2_2
+XPHY_29499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71686_ _71686_/A _71421_/B VGND VGND VPWR VPWR _71686_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_597_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_37_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79001_ _79559_/CLK _79001_/D _46557_/X VGND VGND VPWR VPWR _79001_/Q sky130_fd_sc_hd__dfrtp_4
+XFILLER_36_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_423_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76213_ _76213_/A VGND VGND VPWR VPWR _38337_/A sky130_fd_sc_hd__buf_1
+XFILLER_349_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42605_ _42605_/A _42603_/Y _42604_/X _42594_/D VGND VGND VPWR VPWR _42605_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_410_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73425_ _73425_/A VGND VGND VPWR VPWR _73432_/B sky130_fd_sc_hd__buf_1
+XFILLER_262_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49161_ _49161_/A _49164_/B VGND VGND VPWR VPWR _49161_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_657_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_43_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46373_ _43089_/Y _46373_/B VGND VGND VPWR VPWR _46373_/X sky130_fd_sc_hd__or2_2
+XFILLER_376_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58359_ _58045_/A _58357_/Y _58358_/Y VGND VGND VPWR VPWR _58359_/Y sky130_fd_sc_hd__nor3_2
+X_70637_ _70637_/A VGND VGND VPWR VPWR _70638_/A sky130_fd_sc_hd__inv_8
+XFILLER_265_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_578_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77193_ _77193_/CLK _53782_/Y VGND VGND VPWR VPWR _59866_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43585_ _43549_/A VGND VGND VPWR VPWR _43593_/B sky130_fd_sc_hd__buf_1
+XPHY_17530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40797_ _40797_/A _40797_/B _68148_/A VGND VGND VPWR VPWR _40797_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_206_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_76_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_575_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_565_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48112_ _48110_/Y _48112_/B VGND VGND VPWR VPWR _78675_/D sky130_fd_sc_hd__nand2_2
+XPHY_3172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_72_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45324_ _45216_/A _45323_/Y VGND VGND VPWR VPWR _45324_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_204_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76144_ _74741_/A VGND VGND VPWR VPWR _76283_/A sky130_fd_sc_hd__buf_1
+XFILLER_579_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42536_ _42546_/A _42535_/X VGND VGND VPWR VPWR _42536_/Y sky130_fd_sc_hd__nor2_2
+X_61370_ _61215_/A _61370_/B _61370_/C VGND VGND VPWR VPWR _61375_/B sky130_fd_sc_hd__nor3_2
+X_49092_ _49092_/A _49092_/B VGND VGND VPWR VPWR _78425_/D sky130_fd_sc_hd__nand2_2
+XFILLER_42_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73356_ _73353_/Y _73355_/X VGND VGND VPWR VPWR _73356_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_674_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_278_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70568_ _69678_/A _69602_/A VGND VGND VPWR VPWR _70569_/C sky130_fd_sc_hd__nor2_2
+XFILLER_726_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_262_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_677_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_35_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_666_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60321_ _59679_/A _60319_/Y _60320_/Y VGND VGND VPWR VPWR _60325_/B sky130_fd_sc_hd__nor3_2
+XFILLER_592_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48043_ _48014_/A _50005_/A VGND VGND VPWR VPWR _48043_/X sky130_fd_sc_hd__or2_2
+X_72307_ _75556_/A VGND VGND VPWR VPWR _74792_/A sky130_fd_sc_hd__buf_1
+XPHY_16862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_436_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_174_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45255_ _45255_/A _45195_/B VGND VGND VPWR VPWR _45255_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_657_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76075_ _76075_/A _76080_/B VGND VGND VPWR VPWR _76075_/X sky130_fd_sc_hd__or2_2
+XPHY_2493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_419_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42467_ _42593_/A _42466_/Y VGND VGND VPWR VPWR _42468_/C sky130_fd_sc_hd__nor2_2
+XFILLER_673_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_395_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73287_ _73269_/A VGND VGND VPWR VPWR _73361_/A sky130_fd_sc_hd__buf_1
+XFILLER_122_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_536_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70499_ _70490_/Y _70498_/Y VGND VGND VPWR VPWR _70499_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44206_ _44206_/A _44206_/B _44206_/C VGND VGND VPWR VPWR _44207_/C sky130_fd_sc_hd__nor3_2
+XFILLER_256_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79903_ _79902_/CLK _40309_/Y VGND VGND VPWR VPWR _67146_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_329_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63040_ _78749_/Q _62884_/X VGND VGND VPWR VPWR _63042_/B sky130_fd_sc_hd__nor2_2
+XFILLER_679_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75026_ _75026_/A _75025_/X VGND VGND VPWR VPWR _80793_/D sky130_fd_sc_hd__nand2_2
+XFILLER_490_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_477_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41418_ _41418_/A _41418_/B _68771_/A VGND VGND VPWR VPWR _41418_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_128_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60252_ _60252_/A _60092_/B VGND VGND VPWR VPWR _60252_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72238_ _74737_/A VGND VGND VPWR VPWR _72239_/A sky130_fd_sc_hd__buf_1
+XFILLER_552_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_356_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45186_ _45128_/X _45186_/B _45185_/Y VGND VGND VPWR VPWR _45186_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_715_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42398_ _42307_/A _42397_/Y VGND VGND VPWR VPWR _42398_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_196_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_98_0_u_core.clock clkbuf_7_99_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_98_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_33551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_89_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_156_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_291_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44137_ _72875_/A _44095_/X VGND VGND VPWR VPWR _44138_/C sky130_fd_sc_hd__nor2_2
+XFILLER_291_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_535_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_412_0_u_core.clock clkbuf_9_413_0_u_core.clock/A VGND VGND VPWR VPWR _80914_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_23050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79834_ _79837_/CLK _40600_/Y VGND VGND VPWR VPWR _66345_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_67_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41349_ _41341_/X _41332_/X _57374_/A VGND VGND VPWR VPWR _41349_/Y sky130_fd_sc_hd__nand3_2
+XPHY_33584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60183_ _60183_/A _60010_/B VGND VGND VPWR VPWR _60185_/B sky130_fd_sc_hd__nor2_2
+XFILLER_319_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72169_ _74001_/A VGND VGND VPWR VPWR _72170_/A sky130_fd_sc_hd__buf_1
+XFILLER_143_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49994_ _49994_/A _50000_/B VGND VGND VPWR VPWR _49994_/X sky130_fd_sc_hd__or2_2
+XFILLER_506_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_158_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_721_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44068_ _44208_/A VGND VGND VPWR VPWR _44068_/X sky130_fd_sc_hd__buf_1
+X_48945_ _49063_/A _48937_/B VGND VGND VPWR VPWR _48946_/B sky130_fd_sc_hd__or2_2
+XFILLER_252_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79765_ _79805_/CLK _40859_/Y VGND VGND VPWR VPWR _56846_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64991_ _64991_/A _64660_/X VGND VGND VPWR VPWR _64991_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_6_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76977_ _76975_/CLK _76977_/D VGND VGND VPWR VPWR _59782_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_237_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43019_ _45048_/A _43019_/B VGND VGND VPWR VPWR _43026_/A sky130_fd_sc_hd__nor2_2
+XFILLER_22_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66730_ _66730_/A _66565_/B VGND VGND VPWR VPWR _66731_/C sky130_fd_sc_hd__nor2_2
+XFILLER_112_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78716_ _78737_/CLK _78716_/D VGND VGND VPWR VPWR _78716_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_664_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63942_ _78452_/Q _59307_/B VGND VGND VPWR VPWR _63944_/B sky130_fd_sc_hd__nor2_2
+X_75928_ _75928_/A _75920_/B VGND VGND VPWR VPWR _75928_/X sky130_fd_sc_hd__or2_2
+XFILLER_297_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_113_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48876_ _63393_/A _48873_/B VGND VGND VPWR VPWR _48878_/A sky130_fd_sc_hd__nand2_2
+XPHY_21670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_649_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79696_ _80013_/CLK _41145_/Y VGND VGND VPWR VPWR _64623_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_300_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_170_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_685_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_97_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47827_ _47823_/Y _47826_/X VGND VGND VPWR VPWR _78748_/D sky130_fd_sc_hd__nand2_2
+X_66661_ _66661_/A _66996_/B VGND VGND VPWR VPWR _66661_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_61_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78647_ _78638_/CLK _48221_/Y VGND VGND VPWR VPWR _63332_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63873_ _78747_/Q _63873_/B VGND VGND VPWR VPWR _63874_/C sky130_fd_sc_hd__nor2_2
+XFILLER_681_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75859_ _75866_/A _75866_/B _68369_/A VGND VGND VPWR VPWR _75860_/B sky130_fd_sc_hd__nand3_2
+Xclkbuf_7_123_0_u_core.clock clkbuf_6_61_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_246_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_11191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_285_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_418_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_61_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_26_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_187_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_183_0_u_core.clock clkbuf_7_91_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_183_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_684_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68400_ _68905_/A _68400_/B _68400_/C VGND VGND VPWR VPWR _68401_/C sky130_fd_sc_hd__nor3_2
+XFILLER_474_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_447_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_117_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65612_ _65439_/A _65612_/B _65612_/C VGND VGND VPWR VPWR _65613_/C sky130_fd_sc_hd__nor3_2
+XFILLER_270_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_443_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_662_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_646_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38560_ _38558_/X _38560_/B VGND VGND VPWR VPWR _38560_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_289_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62824_ _77876_/Q _62344_/X VGND VGND VPWR VPWR _62825_/C sky130_fd_sc_hd__nor2_2
+X_69380_ _64563_/A _69372_/Y _69380_/C VGND VGND VPWR VPWR _69381_/B sky130_fd_sc_hd__nor3_2
+XFILLER_284_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47758_ _47915_/A _47758_/B VGND VGND VPWR VPWR _53557_/A sky130_fd_sc_hd__or2_2
+XFILLER_20_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66592_ _64570_/X VGND VGND VPWR VPWR _67259_/B sky130_fd_sc_hd__buf_1
+XPHY_10490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78578_ _78586_/CLK _78578_/D VGND VGND VPWR VPWR _63727_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_690_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_627_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68331_ _67676_/A _68329_/Y _68330_/Y VGND VGND VPWR VPWR _68332_/C sky130_fd_sc_hd__nor3_2
+XFILLER_408_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_263_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46709_ _46145_/B _46709_/B VGND VGND VPWR VPWR _46710_/B sky130_fd_sc_hd__or2_2
+X_65543_ _80213_/Q _65699_/B VGND VGND VPWR VPWR _65543_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_482_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77529_ _77528_/CLK _52508_/Y VGND VGND VPWR VPWR _77529_/Q sky130_fd_sc_hd__dfxtp_4
+X_38491_ _76093_/A VGND VGND VPWR VPWR _38492_/A sky130_fd_sc_hd__buf_1
+XFILLER_113_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62755_ _62447_/A _62755_/B _62754_/Y VGND VGND VPWR VPWR _62756_/C sky130_fd_sc_hd__nor3_2
+XFILLER_408_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47689_ _78782_/Q _47666_/B VGND VGND VPWR VPWR _47692_/A sky130_fd_sc_hd__nand2_2
+XFILLER_564_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_38_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_580_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_383_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_343_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61706_ _61687_/Y _61706_/B VGND VGND VPWR VPWR _61707_/B sky130_fd_sc_hd__nor2_2
+XFILLER_25_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80540_ _80543_/CLK _80540_/D VGND VGND VPWR VPWR _66555_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_380_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68262_ _68262_/A _68261_/X VGND VGND VPWR VPWR _68262_/Y sky130_fd_sc_hd__nor2_2
+X_49428_ _49428_/A VGND VGND VPWR VPWR _49436_/B sky130_fd_sc_hd__buf_1
+XFILLER_542_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65474_ _68297_/A VGND VGND VPWR VPWR _65474_/X sky130_fd_sc_hd__buf_1
+XFILLER_185_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_78_0_u_core.clock clkbuf_8_79_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_78_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_399_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_326_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_20_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62686_ _59536_/A VGND VGND VPWR VPWR _62693_/A sky130_fd_sc_hd__buf_1
+XFILLER_203_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_463_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_597_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_59_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_441_275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_304_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67213_ _80864_/Q _67213_/B VGND VGND VPWR VPWR _67214_/C sky130_fd_sc_hd__nor2_2
+XFILLER_55_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_593_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_206_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64425_ _64422_/Y _64425_/B _64424_/Y VGND VGND VPWR VPWR _64693_/C sky130_fd_sc_hd__or3_2
+XFILLER_541_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_679_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61637_ _59205_/A VGND VGND VPWR VPWR _61645_/A sky130_fd_sc_hd__buf_1
+X_49359_ _51164_/A VGND VGND VPWR VPWR _49359_/X sky130_fd_sc_hd__buf_1
+XFILLER_37_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80471_ _80473_/CLK _76314_/Y VGND VGND VPWR VPWR _76313_/C sky130_fd_sc_hd__dfxtp_4
+X_68193_ _67370_/A VGND VGND VPWR VPWR _68198_/A sky130_fd_sc_hd__buf_1
+XFILLER_408_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_695_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_568_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39112_ _74679_/A VGND VGND VPWR VPWR _39113_/A sky130_fd_sc_hd__buf_1
+XFILLER_678_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_601_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_718_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67144_ _65497_/A VGND VGND VPWR VPWR _67151_/A sky130_fd_sc_hd__buf_1
+XFILLER_21_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_120_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52370_ _52341_/X _52347_/A VGND VGND VPWR VPWR _52371_/B sky130_fd_sc_hd__or2_2
+X_64356_ _64241_/A _64356_/B _64355_/Y VGND VGND VPWR VPWR _64375_/B sky130_fd_sc_hd__nor3_2
+XFILLER_139_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_495_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_378_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61568_ _52196_/A _60953_/B VGND VGND VPWR VPWR _61569_/C sky130_fd_sc_hd__nor2_2
+XFILLER_72_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39043_ _39040_/X _39042_/Y VGND VGND VPWR VPWR _39043_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_378_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51321_ _51247_/B _51333_/B VGND VGND VPWR VPWR _51322_/B sky130_fd_sc_hd__or2_2
+X_63307_ _63289_/Y _63306_/Y VGND VGND VPWR VPWR _63307_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_638_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60519_ _77629_/Q _60519_/B VGND VGND VPWR VPWR _60521_/B sky130_fd_sc_hd__nor2_2
+XFILLER_367_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67075_ _68722_/A VGND VGND VPWR VPWR _67740_/B sky130_fd_sc_hd__buf_1
+XFILLER_421_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64287_ _69110_/A _64283_/Y _64286_/Y VGND VGND VPWR VPWR _64288_/C sky130_fd_sc_hd__nor3_2
+XFILLER_382_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61499_ _53002_/A _61347_/B VGND VGND VPWR VPWR _61500_/C sky130_fd_sc_hd__nor2_2
+XFILLER_276_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_274_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54040_ _53921_/A _54037_/B VGND VGND VPWR VPWR _54040_/X sky130_fd_sc_hd__or2_2
+XFILLER_356_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_339_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66026_ _66194_/A _66026_/B _66026_/C VGND VGND VPWR VPWR _66031_/B sky130_fd_sc_hd__nor3_2
+XFILLER_307_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_704_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51252_ _53066_/A VGND VGND VPWR VPWR _51252_/X sky130_fd_sc_hd__buf_1
+X_63238_ _63238_/A _62767_/X VGND VGND VPWR VPWR _63238_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_356_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_159_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_2443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_437_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_336_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_119_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_308_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_532_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50203_ _50201_/Y _50202_/X VGND VGND VPWR VPWR _78135_/D sky130_fd_sc_hd__nand2_2
+XFILLER_335_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81023_ _81025_/CLK _81023_/D VGND VGND VPWR VPWR _67088_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_390_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63169_ _60826_/A VGND VGND VPWR VPWR _63169_/X sky130_fd_sc_hd__buf_1
+X_51183_ _51155_/A _51192_/B VGND VGND VPWR VPWR _51184_/B sky130_fd_sc_hd__or2_2
+XFILLER_352_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_333_2105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_419_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_106_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_571_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_85_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50134_ _50132_/Y _50133_/X VGND VGND VPWR VPWR _78155_/D sky130_fd_sc_hd__nand2_2
+XFILLER_337_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39945_ _39949_/A _39945_/B _80000_/Q VGND VGND VPWR VPWR _39945_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_122_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55991_ _55991_/A VGND VGND VPWR VPWR _55992_/A sky130_fd_sc_hd__buf_1
+XFILLER_685_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67977_ _67960_/Y _67977_/B VGND VGND VPWR VPWR _67977_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_489_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57730_ _80128_/Q _57730_/B VGND VGND VPWR VPWR _57731_/C sky130_fd_sc_hd__nor2_2
+XFILLER_628_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_1514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69716_ _69716_/A _55945_/C _69715_/Y VGND VGND VPWR VPWR _69716_/X sky130_fd_sc_hd__and3_2
+XFILLER_587_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50065_ _50072_/A VGND VGND VPWR VPWR _50084_/B sky130_fd_sc_hd__buf_1
+XFILLER_449_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54942_ _54942_/A _54954_/B VGND VGND VPWR VPWR _54942_/X sky130_fd_sc_hd__or2_2
+XFILLER_350_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_58_0_u_core.clock clkbuf_9_59_0_u_core.clock/A VGND VGND VPWR VPWR _78860_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_249_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66928_ _67256_/A _66928_/B _66927_/Y VGND VGND VPWR VPWR _66928_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_467_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_251_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_682_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39876_ _39864_/A _39857_/B _80017_/Q VGND VGND VPWR VPWR _39877_/B sky130_fd_sc_hd__nand3_2
+XPHY_8139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_461_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_9_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_5_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_57_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38827_ _38827_/A _38820_/B _80280_/Q VGND VGND VPWR VPWR _38827_/Y sky130_fd_sc_hd__nand3_2
+X_57661_ _57661_/A _57411_/B VGND VGND VPWR VPWR _57662_/C sky130_fd_sc_hd__nor2_2
+X_69647_ _69647_/A VGND VGND VPWR VPWR _69665_/A sky130_fd_sc_hd__buf_1
+XPHY_7438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_213_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_483_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54873_ _59325_/A _54873_/B VGND VGND VPWR VPWR _54873_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_448_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66859_ _66050_/X _66859_/B _66859_/C VGND VGND VPWR VPWR _66859_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_385_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_75_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_268_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_268_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59400_ _59722_/A _59398_/Y _59400_/C VGND VGND VPWR VPWR _59400_/Y sky130_fd_sc_hd__nor3_2
+XPHY_39208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56612_ _56695_/A _56591_/Y _56612_/C VGND VGND VPWR VPWR _56612_/X sky130_fd_sc_hd__or3_2
+XFILLER_57_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_547_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_483_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_726_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53824_ _59126_/A _53818_/B VGND VGND VPWR VPWR _53826_/A sky130_fd_sc_hd__nand2_2
+XFILLER_418_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38758_ _38758_/A _38757_/Y VGND VGND VPWR VPWR _38758_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_311_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_723_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57592_ _80254_/Q _57514_/B VGND VGND VPWR VPWR _57593_/C sky130_fd_sc_hd__nor2_2
+XFILLER_641_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_60_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69578_ _69578_/A VGND VGND VPWR VPWR _69582_/A sky130_fd_sc_hd__inv_8
+XFILLER_389_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_2_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_721_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_653_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59331_ _58982_/A _59331_/B VGND VGND VPWR VPWR _59331_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_704_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56543_ _56543_/A _56333_/B VGND VGND VPWR VPWR _56545_/B sky130_fd_sc_hd__nor2_2
+X_68529_ _68035_/A _68524_/Y _68528_/Y VGND VGND VPWR VPWR _68540_/B sky130_fd_sc_hd__nor3_2
+XFILLER_563_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80807_ _80751_/CLK _74975_/Y VGND VGND VPWR VPWR _68360_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_285_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53755_ _53698_/A _53758_/B VGND VGND VPWR VPWR _53756_/B sky130_fd_sc_hd__or2_2
+XFILLER_465_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_344_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38689_ _38689_/A _38689_/B _80317_/Q VGND VGND VPWR VPWR _38689_/Y sky130_fd_sc_hd__nand3_2
+X_50967_ _62779_/A _50967_/B VGND VGND VPWR VPWR _50967_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_79_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_645_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_268_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_528_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_309_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_363_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40720_ _40601_/A _40709_/X VGND VGND VPWR VPWR _40722_/A sky130_fd_sc_hd__or2_2
+XPHY_28039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52706_ _52706_/A _52705_/X VGND VGND VPWR VPWR _52706_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_266_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71540_ _71540_/A _71480_/B VGND VGND VPWR VPWR _71540_/X sky130_fd_sc_hd__and2_2
+XFILLER_246_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59262_ _60090_/A VGND VGND VPWR VPWR _59263_/A sky130_fd_sc_hd__buf_1
+XFILLER_38_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80738_ _80736_/CLK _75233_/Y VGND VGND VPWR VPWR _80738_/Q sky130_fd_sc_hd__dfxtp_4
+X_56474_ _64642_/A _56358_/B VGND VGND VPWR VPWR _56474_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_260_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53686_ _53684_/Y _53686_/B VGND VGND VPWR VPWR _77219_/D sky130_fd_sc_hd__nand2_2
+XFILLER_272_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50898_ _50898_/A _50897_/X VGND VGND VPWR VPWR _77952_/D sky130_fd_sc_hd__nand2_2
+XFILLER_721_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_502_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58213_ _38777_/C _58065_/B VGND VGND VPWR VPWR _58213_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_389_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_442_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55425_ _55517_/A _45343_/X _55331_/X _55424_/Y VGND VGND VPWR VPWR _55426_/C sky130_fd_sc_hd__o22a_4
+XFILLER_709_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_600_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_600_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40651_ _40659_/A _40646_/B _58658_/A VGND VGND VPWR VPWR _40651_/Y sky130_fd_sc_hd__nand3_2
+XPHY_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_498_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52637_ _52634_/Y _52637_/B VGND VGND VPWR VPWR _77494_/D sky130_fd_sc_hd__nand2_2
+XFILLER_281_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_502_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59193_ _64005_/A _59189_/Y _59193_/C VGND VGND VPWR VPWR _59203_/B sky130_fd_sc_hd__nor3_2
+XFILLER_358_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71471_ _71471_/A _71471_/B _71470_/Y VGND VGND VPWR VPWR _71471_/X sky130_fd_sc_hd__or3_2
+XFILLER_207_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80669_ _80637_/CLK _75514_/Y VGND VGND VPWR VPWR _80669_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_594_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_164_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_205_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73210_ _75021_/A _73219_/B VGND VGND VPWR VPWR _73210_/X sky130_fd_sc_hd__or2_2
+XPHY_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_227_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_693_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58144_ _57808_/X _58144_/B _58144_/C VGND VGND VPWR VPWR _58145_/B sky130_fd_sc_hd__nor3_2
+X_70422_ _70411_/Y _70421_/Y VGND VGND VPWR VPWR _70446_/B sky130_fd_sc_hd__nor2_2
+XPHY_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43370_ _43360_/A _43366_/X _58767_/A VGND VGND VPWR VPWR _43370_/Y sky130_fd_sc_hd__nand3_2
+X_55356_ _55356_/A _55355_/Y VGND VGND VPWR VPWR _55356_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_227_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74190_ _74190_/A _74189_/Y VGND VGND VPWR VPWR _74190_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40582_ _40579_/X _40581_/Y VGND VGND VPWR VPWR _79839_/D sky130_fd_sc_hd__nand2_2
+XPHY_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_498_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52568_ _60750_/A _52574_/B VGND VGND VPWR VPWR _52570_/A sky130_fd_sc_hd__nand2_2
+XFILLER_678_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_575_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_358_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_196_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42321_ _42320_/X VGND VGND VPWR VPWR _42373_/A sky130_fd_sc_hd__buf_1
+XFILLER_455_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54307_ _54305_/Y _54306_/X VGND VGND VPWR VPWR _77054_/D sky130_fd_sc_hd__nand2_2
+XFILLER_546_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73141_ _74966_/A _73135_/B VGND VGND VPWR VPWR _73141_/X sky130_fd_sc_hd__or2_2
+XFILLER_156_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_652_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51519_ _51519_/A _51519_/B VGND VGND VPWR VPWR _51519_/X sky130_fd_sc_hd__or2_2
+XPHY_15424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58075_ _56878_/A VGND VGND VPWR VPWR _58075_/X sky130_fd_sc_hd__buf_1
+XFILLER_279_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70353_ _70903_/A _70353_/B _70352_/X VGND VGND VPWR VPWR _70451_/A sky130_fd_sc_hd__or3_2
+XFILLER_519_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55287_ _55494_/A _55612_/B VGND VGND VPWR VPWR _55287_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_240_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_652_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_547_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_385_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52499_ _77531_/Q _52494_/X VGND VGND VPWR VPWR _52499_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_294_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45040_ _45082_/A _55269_/B VGND VGND VPWR VPWR _45040_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_476_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57026_ _80951_/Q _56779_/B VGND VGND VPWR VPWR _57027_/C sky130_fd_sc_hd__nor2_2
+XFILLER_71_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42252_ _42249_/Y _42252_/B _42262_/C VGND VGND VPWR VPWR _42253_/C sky130_fd_sc_hd__nor3_2
+XFILLER_535_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54238_ _54235_/Y _54237_/X VGND VGND VPWR VPWR _77073_/D sky130_fd_sc_hd__nand2_2
+XFILLER_137_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73072_ _42967_/A _73072_/B VGND VGND VPWR VPWR _73072_/X sky130_fd_sc_hd__or2_2
+XFILLER_303_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_338_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70284_ _70283_/X VGND VGND VPWR VPWR _70284_/X sky130_fd_sc_hd__buf_1
+XFILLER_550_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_418_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_404_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_7_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_613_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_570_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_120_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41203_ _41203_/A _41203_/B VGND VGND VPWR VPWR _79682_/D sky130_fd_sc_hd__nand2_2
+XPHY_32124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_197_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76900_ _76893_/CLK _54884_/Y VGND VGND VPWR VPWR _54881_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_338_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72023_ _72023_/A _72020_/Y _72022_/Y VGND VGND VPWR VPWR _72023_/X sky130_fd_sc_hd__or3_2
+XPHY_14767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_400 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_273_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42183_ _42050_/A VGND VGND VPWR VPWR _42184_/A sky130_fd_sc_hd__inv_8
+XFILLER_531_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54169_ _54169_/A _54169_/B VGND VGND VPWR VPWR _54169_/Y sky130_fd_sc_hd__nand2_2
+XPHY_31401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77880_ _77880_/CLK _77880_/D VGND VGND VPWR VPWR _62250_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_175_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_687_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_669_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41134_ _73246_/A VGND VGND VPWR VPWR _41618_/A sky130_fd_sc_hd__buf_1
+XPHY_31434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76831_ _79163_/CLK _55200_/X VGND VGND VPWR VPWR _55196_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_703_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_298_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46991_ _46967_/A _46991_/B _46991_/C VGND VGND VPWR VPWR _46991_/X sky130_fd_sc_hd__or3_2
+X_58977_ _58977_/A _59326_/B VGND VGND VPWR VPWR _58977_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_253_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48730_ _48730_/A _48705_/A VGND VGND VPWR VPWR _48731_/B sky130_fd_sc_hd__or2_2
+XPHY_9352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79550_ _78988_/Q _41878_/X _41876_/X VGND VGND VPWR VPWR _45311_/A sky130_fd_sc_hd__dfstp_4
+XFILLER_79_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45942_ _45946_/A _45942_/B _45942_/C VGND VGND VPWR VPWR _79063_/D sky130_fd_sc_hd__nor3_2
+XFILLER_117_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57928_ _58169_/A _57928_/B _57928_/C VGND VGND VPWR VPWR _57929_/C sky130_fd_sc_hd__nor3_2
+X_41065_ _39165_/A VGND VGND VPWR VPWR _41065_/X sky130_fd_sc_hd__buf_1
+XPHY_31489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76762_ _76762_/CLK _76762_/D VGND VGND VPWR VPWR _55836_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_9363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_234_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73974_ _73983_/A _73980_/B _81043_/Q VGND VGND VPWR VPWR _73975_/B sky130_fd_sc_hd__nand3_2
+XPHY_30755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78501_ _78477_/CLK _48798_/Y VGND VGND VPWR VPWR _78501_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_587_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40016_ _40016_/A _40015_/Y VGND VGND VPWR VPWR _79981_/D sky130_fd_sc_hd__nand2_2
+XPHY_9396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75713_ _75696_/X _75713_/B _80621_/Q VGND VGND VPWR VPWR _75713_/Y sky130_fd_sc_hd__nand3_2
+XPHY_20254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_368_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_79_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_130_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72925_ _72930_/A _72907_/B _72925_/C VGND VGND VPWR VPWR _72925_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_267_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48661_ _48659_/Y _48661_/B VGND VGND VPWR VPWR _48661_/Y sky130_fd_sc_hd__nand2_2
+XPHY_20265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79481_ _79479_/CLK _79481_/D VGND VGND VPWR VPWR _79481_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_94_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45873_ _45661_/Y VGND VGND VPWR VPWR _45873_/X sky130_fd_sc_hd__buf_1
+XFILLER_455_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57859_ _57936_/A _57859_/B _57858_/Y VGND VGND VPWR VPWR _57860_/C sky130_fd_sc_hd__nor3_2
+XPHY_30799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76693_ _79268_/CLK _76693_/D VGND VGND VPWR VPWR _70637_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_8673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_43_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47612_ _47641_/A _47611_/X VGND VGND VPWR VPWR _47612_/X sky130_fd_sc_hd__or2_2
+XFILLER_388_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78432_ _76762_/CLK _78432_/D VGND VGND VPWR VPWR _63400_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_329_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_21_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_507_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44824_ _75017_/A _44767_/X _44813_/Y _44823_/Y VGND VGND VPWR VPWR _44824_/X sky130_fd_sc_hd__o22a_4
+XPHY_7961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75644_ _75651_/A _75644_/B _67204_/A VGND VGND VPWR VPWR _75644_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_208_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60870_ _60870_/A _60401_/B VGND VGND VPWR VPWR _60870_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_286_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48592_ _63585_/A _48581_/B VGND VGND VPWR VPWR _48594_/A sky130_fd_sc_hd__nand2_2
+X_72856_ _74694_/A VGND VGND VPWR VPWR _73649_/A sky130_fd_sc_hd__buf_1
+XFILLER_310_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_112_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_59_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_93_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_628_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_447_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_726_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59529_ _59081_/A _59527_/Y _59529_/C VGND VGND VPWR VPWR _59530_/C sky130_fd_sc_hd__nor3_2
+XFILLER_75_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71807_ _71807_/A _71148_/B VGND VGND VPWR VPWR _71807_/Y sky130_fd_sc_hd__nor2_2
+X_47543_ _47540_/Y _47543_/B VGND VGND VPWR VPWR _78820_/D sky130_fd_sc_hd__nand2_2
+XFILLER_366_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78363_ _78405_/CLK _78363_/D VGND VGND VPWR VPWR _62744_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_40_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44755_ _72875_/A _44636_/B _44744_/Y _44754_/X VGND VGND VPWR VPWR _44755_/X sky130_fd_sc_hd__o22a_4
+XFILLER_229_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75575_ _76213_/A VGND VGND VPWR VPWR _75940_/A sky130_fd_sc_hd__buf_1
+XFILLER_470_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41967_ _45205_/B VGND VGND VPWR VPWR _45341_/B sky130_fd_sc_hd__buf_1
+XFILLER_624_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72787_ _72787_/A VGND VGND VPWR VPWR _72801_/B sky130_fd_sc_hd__buf_1
+XFILLER_95_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_609_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_202_0_u_core.clock clkbuf_9_203_0_u_core.clock/A VGND VGND VPWR VPWR _76671_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_444_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77314_ _76882_/CLK _77314_/D VGND VGND VPWR VPWR _77314_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_284_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43706_ _79278_/Q VGND VGND VPWR VPWR _43706_/Y sky130_fd_sc_hd__inv_8
+XFILLER_601_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62540_ _49952_/A _62225_/B VGND VGND VPWR VPWR _62542_/B sky130_fd_sc_hd__nor2_2
+XFILLER_499_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74526_ _74136_/A _74526_/B VGND VGND VPWR VPWR _74529_/A sky130_fd_sc_hd__or2_2
+XFILLER_423_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40918_ _40918_/A _40917_/Y VGND VGND VPWR VPWR _79750_/D sky130_fd_sc_hd__nand2_2
+XFILLER_78_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47474_ _78832_/Q _47460_/B VGND VGND VPWR VPWR _47474_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_700_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71738_ _70850_/X _71737_/Y VGND VGND VPWR VPWR _71738_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_451_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78294_ _78301_/CLK _49609_/Y VGND VGND VPWR VPWR _61951_/A sky130_fd_sc_hd__dfxtp_4
+X_44686_ _44733_/A _44733_/C VGND VGND VPWR VPWR _44725_/B sky130_fd_sc_hd__or2_2
+XFILLER_267_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_698_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_362_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41898_ _41887_/X io_in[18] _41883_/X _44993_/A VGND VGND VPWR VPWR _41898_/X sky130_fd_sc_hd__o22a_4
+XFILLER_602_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_327_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_280_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49213_ _63507_/A _49199_/B VGND VGND VPWR VPWR _49213_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_696_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46425_ _45161_/A _46425_/B VGND VGND VPWR VPWR _46426_/B sky130_fd_sc_hd__or2_2
+XFILLER_423_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77245_ _77251_/CLK _77245_/D VGND VGND VPWR VPWR _77245_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_299_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43637_ _42743_/B _43622_/X VGND VGND VPWR VPWR _43638_/C sky130_fd_sc_hd__nor2_2
+X_62471_ _78178_/Q _62309_/B VGND VGND VPWR VPWR _62472_/C sky130_fd_sc_hd__nor2_2
+XPHY_18050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74457_ _80930_/Q VGND VGND VPWR VPWR _74457_/Y sky130_fd_sc_hd__inv_8
+XFILLER_499_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40849_ _40608_/A _40855_/B VGND VGND VPWR VPWR _40849_/X sky130_fd_sc_hd__or2_2
+XPHY_28584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_143_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71669_ _73199_/A VGND VGND VPWR VPWR _42952_/A sky130_fd_sc_hd__buf_1
+XFILLER_422_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_679_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_371_0_u_core.clock clkbuf_9_371_0_u_core.clock/A VGND VGND VPWR VPWR _77689_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_28595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_520_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64210_ _66649_/A VGND VGND VPWR VPWR _64211_/B sky130_fd_sc_hd__buf_1
+XFILLER_56_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61422_ _61422_/A _60953_/B VGND VGND VPWR VPWR _61423_/C sky130_fd_sc_hd__nor2_2
+XFILLER_206_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73408_ _73398_/A _73408_/B _81193_/Q VGND VGND VPWR VPWR _73408_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_15_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49144_ _49144_/A _49144_/B VGND VGND VPWR VPWR _78412_/D sky130_fd_sc_hd__nand2_2
+XFILLER_223_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_637_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_377_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_343_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_477_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46356_ _43064_/Y _46356_/B VGND VGND VPWR VPWR _46464_/A sky130_fd_sc_hd__nor2_2
+X_77176_ _77176_/CLK _53845_/Y VGND VGND VPWR VPWR _77176_/Q sky130_fd_sc_hd__dfxtp_4
+X_65190_ _69428_/A VGND VGND VPWR VPWR _65862_/A sky130_fd_sc_hd__buf_1
+XFILLER_618_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43568_ _42537_/B _43575_/B VGND VGND VPWR VPWR _43568_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_700_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74388_ _74388_/A _74388_/B _80945_/Q VGND VGND VPWR VPWR _74388_/Y sky130_fd_sc_hd__nand3_2
+XPHY_27894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_128_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_653_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_124_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_160_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_321_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45307_ _45307_/A VGND VGND VPWR VPWR _45307_/Y sky130_fd_sc_hd__inv_8
+X_64141_ _64141_/A VGND VGND VPWR VPWR _69303_/A sky130_fd_sc_hd__buf_1
+X_76127_ _38271_/A _76132_/B VGND VGND VPWR VPWR _76130_/A sky130_fd_sc_hd__or2_2
+Xclkbuf_9_318_0_u_core.clock clkbuf_9_319_0_u_core.clock/A VGND VGND VPWR VPWR _81326_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_321_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42519_ _42593_/A VGND VGND VPWR VPWR _42581_/D sky130_fd_sc_hd__buf_1
+X_61353_ _60886_/A _61344_/Y _61353_/C VGND VGND VPWR VPWR _61354_/B sky130_fd_sc_hd__nor3_2
+X_49075_ _78428_/Q _49075_/B VGND VGND VPWR VPWR _49075_/Y sky130_fd_sc_hd__nand2_2
+X_73339_ _75017_/A _73348_/B VGND VGND VPWR VPWR _73340_/B sky130_fd_sc_hd__or2_2
+XFILLER_715_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46287_ _46283_/X VGND VGND VPWR VPWR _46291_/B sky130_fd_sc_hd__buf_1
+XPHY_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43499_ _55599_/A _44917_/A VGND VGND VPWR VPWR _43499_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_593_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_670_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_716_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48026_ _48023_/Y _48025_/X VGND VGND VPWR VPWR _78698_/D sky130_fd_sc_hd__nand2_2
+X_60304_ _76988_/Q _60146_/B VGND VGND VPWR VPWR _60304_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_191_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45238_ _45383_/A _45237_/Y VGND VGND VPWR VPWR _45239_/B sky130_fd_sc_hd__nor2_2
+XPHY_34060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64072_ _64072_/A VGND VGND VPWR VPWR _64100_/A sky130_fd_sc_hd__inv_8
+XFILLER_15_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76058_ _76054_/X _76045_/B _64719_/A VGND VGND VPWR VPWR _76059_/B sky130_fd_sc_hd__nand3_2
+XFILLER_293_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_176_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61284_ _61284_/A _60806_/B VGND VGND VPWR VPWR _61284_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_11_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_129_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_356_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_351_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_9_487_0_u_core.clock clkbuf_9_487_0_u_core.clock/A VGND VGND VPWR VPWR _80370_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_67900_ _81156_/Q _68563_/B VGND VGND VPWR VPWR _67901_/C sky130_fd_sc_hd__nor2_2
+XFILLER_493_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63023_ _63023_/A _63020_/Y _63022_/Y VGND VGND VPWR VPWR _63023_/Y sky130_fd_sc_hd__nor3_2
+XPHY_15991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75009_ _75020_/A _75006_/B _66713_/A VGND VGND VPWR VPWR _75009_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_190_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60235_ _53413_/A _60235_/B VGND VGND VPWR VPWR _60238_/B sky130_fd_sc_hd__nor2_2
+XFILLER_278_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_236_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_679_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_128_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45169_ _45029_/X _45169_/B _45168_/Y VGND VGND VPWR VPWR _45169_/X sky130_fd_sc_hd__or3_2
+XPHY_33370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68880_ _68880_/A _68554_/B VGND VGND VPWR VPWR _68880_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_521_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_317_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_451_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_333_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_722_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_451_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_372_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_176_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67831_ _57967_/A _67333_/B VGND VGND VPWR VPWR _67831_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_217_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79817_ _79778_/CLK _79817_/D VGND VGND VPWR VPWR _68812_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_694_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60166_ _60166_/A VGND VGND VPWR VPWR _60167_/B sky130_fd_sc_hd__buf_1
+XFILLER_553_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_560_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49977_ _53799_/A _49977_/B VGND VGND VPWR VPWR _49980_/A sky130_fd_sc_hd__or2_2
+XFILLER_436_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_67_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_98_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_710_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39730_ _39727_/A _39623_/B _39730_/C VGND VGND VPWR VPWR _39730_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_320_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_179 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48928_ _49050_/A _48928_/B VGND VGND VPWR VPWR _48928_/X sky130_fd_sc_hd__or2_2
+XFILLER_648_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67762_ _67762_/A _68092_/B VGND VGND VPWR VPWR _67762_/Y sky130_fd_sc_hd__nor2_2
+XPHY_22190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79748_ _79798_/CLK _40925_/Y VGND VGND VPWR VPWR _58099_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_28_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64974_ _64341_/A _64974_/B _64974_/C VGND VGND VPWR VPWR _64975_/C sky130_fd_sc_hd__nor3_2
+X_60097_ _60097_/A _60088_/Y _60096_/Y VGND VGND VPWR VPWR _60097_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_252_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_281_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_502_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_350_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69501_ _58839_/A _64557_/B VGND VGND VPWR VPWR _69501_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_230_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66713_ _66713_/A _66890_/B VGND VGND VPWR VPWR _66713_/Y sky130_fd_sc_hd__nor2_2
+X_39661_ _39659_/X _39660_/Y VGND VGND VPWR VPWR _80067_/D sky130_fd_sc_hd__nand2_2
+XFILLER_230_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63925_ _59349_/A _63923_/Y _63925_/C VGND VGND VPWR VPWR _63925_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_586_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_113_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48859_ _47268_/A _48830_/B VGND VGND VPWR VPWR _54609_/A sky130_fd_sc_hd__or2_2
+XFILLER_649_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67693_ _64112_/X VGND VGND VPWR VPWR _67857_/B sky130_fd_sc_hd__buf_1
+XFILLER_97_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79679_ _79641_/CLK _79679_/D VGND VGND VPWR VPWR _67133_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_547_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_449_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38612_ _76217_/A VGND VGND VPWR VPWR _38613_/A sky130_fd_sc_hd__buf_1
+XFILLER_410_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69432_ _68860_/A _69432_/B _69432_/C VGND VGND VPWR VPWR _69440_/B sky130_fd_sc_hd__nor3_2
+XFILLER_230_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_642_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66644_ _65993_/A _66644_/B _66644_/C VGND VGND VPWR VPWR _66645_/C sky130_fd_sc_hd__nor3_2
+XFILLER_135_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51870_ _51930_/A _51882_/B VGND VGND VPWR VPWR _51870_/X sky130_fd_sc_hd__or2_2
+XFILLER_250_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39592_ _39592_/A _39592_/B _80085_/Q VGND VGND VPWR VPWR _39592_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_367_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63856_ _59327_/A _63854_/Y _63856_/C VGND VGND VPWR VPWR _63857_/C sky130_fd_sc_hd__nor3_2
+XFILLER_651_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_461_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_635_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_265_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_66_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_74_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_167_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_560_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38543_ _76150_/A VGND VGND VPWR VPWR _38544_/A sky130_fd_sc_hd__buf_1
+X_50821_ _50818_/Y _50820_/X VGND VGND VPWR VPWR _50821_/Y sky130_fd_sc_hd__nand2_2
+X_62807_ _62180_/X _62805_/Y _62807_/C VGND VGND VPWR VPWR _62811_/B sky130_fd_sc_hd__nor3_2
+XFILLER_622_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69363_ _68777_/X _69363_/B _69363_/C VGND VGND VPWR VPWR _69364_/C sky130_fd_sc_hd__nor3_2
+XFILLER_187_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66575_ _81148_/Q _66253_/X VGND VGND VPWR VPWR _66576_/C sky130_fd_sc_hd__nor2_2
+XFILLER_465_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63787_ _63518_/X _63785_/Y _63786_/Y VGND VGND VPWR VPWR _63787_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_306_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_168_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60999_ _77664_/Q _60999_/B VGND VGND VPWR VPWR _61001_/B sky130_fd_sc_hd__nor2_2
+XFILLER_426_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_620_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_1537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_228_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_650_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68314_ _67823_/X _68314_/B _68313_/Y VGND VGND VPWR VPWR _68314_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_564_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53540_ _53512_/A _53549_/B VGND VGND VPWR VPWR _53540_/X sky130_fd_sc_hd__or2_2
+XFILLER_148_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65526_ _65351_/A _65526_/B _65525_/Y VGND VGND VPWR VPWR _65526_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_93_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38474_ _38522_/A VGND VGND VPWR VPWR _38474_/X sky130_fd_sc_hd__buf_1
+XFILLER_403_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_367_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50752_ _50752_/A _50752_/B VGND VGND VPWR VPWR _50752_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_588_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62738_ _60394_/A VGND VGND VPWR VPWR _62900_/B sky130_fd_sc_hd__buf_1
+XFILLER_675_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69294_ _74676_/C _64480_/X VGND VGND VPWR VPWR _69295_/C sky130_fd_sc_hd__nor2_2
+XFILLER_599_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_443_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_228_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_326_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_345_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_282_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80523_ _80465_/CLK _80523_/D VGND VGND VPWR VPWR _69027_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68245_ _67580_/X _68245_/B _68244_/Y VGND VGND VPWR VPWR _68245_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_386_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53471_ _53467_/Y _53470_/X VGND VGND VPWR VPWR _53471_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_0_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_198_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65457_ _65457_/A _65626_/B VGND VGND VPWR VPWR _65460_/B sky130_fd_sc_hd__nor2_2
+XFILLER_443_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_74_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_25_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62669_ _62669_/A _62984_/B VGND VGND VPWR VPWR _62669_/Y sky130_fd_sc_hd__nor2_2
+X_50683_ _62181_/A _50668_/X VGND VGND VPWR VPWR _50685_/A sky130_fd_sc_hd__nand2_2
+XFILLER_576_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_298_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_640_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55210_ _55210_/A _55209_/Y VGND VGND VPWR VPWR _76829_/D sky130_fd_sc_hd__or2_2
+XFILLER_718_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64408_ _69148_/B VGND VGND VPWR VPWR _65013_/B sky130_fd_sc_hd__buf_1
+X_52422_ _77550_/Q _52419_/B VGND VGND VPWR VPWR _52422_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_601_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_339_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80454_ _80421_/CLK _76380_/Y VGND VGND VPWR VPWR _80454_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_367_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56190_ _56083_/A _56190_/B VGND VGND VPWR VPWR _56191_/B sky130_fd_sc_hd__nor2_2
+XFILLER_165_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_538_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68176_ _68176_/A _68022_/Y _68026_/X _68175_/Y VGND VGND VPWR VPWR _76707_/D sky130_fd_sc_hd__or4_2
+XFILLER_40_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_456_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_22_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_198_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65388_ _65388_/A VGND VGND VPWR VPWR _66057_/B sky130_fd_sc_hd__buf_1
+XFILLER_421_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_717_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_671_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55141_ _55161_/A _55134_/Y _55141_/C VGND VGND VPWR VPWR _55142_/B sky130_fd_sc_hd__nor3_2
+XFILLER_528_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67127_ _57701_/A _66787_/X VGND VGND VPWR VPWR _67127_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_241_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_11_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52353_ _61269_/A _52357_/B VGND VGND VPWR VPWR _52356_/A sky130_fd_sc_hd__nand2_2
+XFILLER_495_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64339_ _68003_/A VGND VGND VPWR VPWR _64340_/B sky130_fd_sc_hd__buf_1
+XPHY_24509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80385_ _80421_/CLK _80385_/D VGND VGND VPWR VPWR _38400_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_421_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_456_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39026_ _38666_/A _39029_/B VGND VGND VPWR VPWR _39028_/A sky130_fd_sc_hd__or2_2
+X_51304_ _60710_/A _51307_/B VGND VGND VPWR VPWR _51306_/A sky130_fd_sc_hd__nand2_2
+XFILLER_304_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_476_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_716_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67058_ _67058_/A _66725_/B VGND VGND VPWR VPWR _67058_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_544_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_516_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55072_ _55088_/A VGND VGND VPWR VPWR _55073_/B sky130_fd_sc_hd__inv_8
+XFILLER_352_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52284_ _52156_/A _52305_/B VGND VGND VPWR VPWR _52285_/B sky130_fd_sc_hd__or2_2
+XPHY_23819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_235_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_317_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_33_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_370_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_194_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_691_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_202_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54023_ _54023_/A _54008_/B VGND VGND VPWR VPWR _54025_/A sky130_fd_sc_hd__nand2_2
+X_58900_ _59373_/A VGND VGND VPWR VPWR _59099_/A sky130_fd_sc_hd__buf_1
+X_66009_ _65840_/A _66009_/B _66008_/Y VGND VGND VPWR VPWR _66009_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_120_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_198_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51235_ _61651_/A _51262_/B VGND VGND VPWR VPWR _51235_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_134_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59880_ _60676_/A VGND VGND VPWR VPWR _60361_/B sky130_fd_sc_hd__buf_1
+XFILLER_179_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_351_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_517_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_162_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_135_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81006_ _81005_/CLK _81006_/D VGND VGND VPWR VPWR _81006_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_137_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58831_ _79630_/Q _58831_/B VGND VGND VPWR VPWR _58833_/B sky130_fd_sc_hd__nor2_2
+XFILLER_292_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_49_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51166_ _61781_/A _51160_/B VGND VGND VPWR VPWR _51168_/A sky130_fd_sc_hd__nand2_2
+XFILLER_350_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_352_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_612_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_6_36_0_u_core.clock clkbuf_5_18_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_73_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_323_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50117_ _50114_/Y _50116_/X VGND VGND VPWR VPWR _78159_/D sky130_fd_sc_hd__nand2_2
+XFILLER_330_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39928_ _39928_/A _39928_/B VGND VGND VPWR VPWR _80005_/D sky130_fd_sc_hd__nand2_2
+XPHY_11938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58762_ _58762_/A _58761_/Y VGND VGND VPWR VPWR _58763_/B sky130_fd_sc_hd__nor2_2
+XFILLER_513_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_385_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55974_ _45003_/Y _55974_/B VGND VGND VPWR VPWR _55977_/B sky130_fd_sc_hd__nor2_2
+XFILLER_172_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51097_ _51094_/Y _51097_/B VGND VGND VPWR VPWR _77898_/D sky130_fd_sc_hd__nand2_2
+XFILLER_304_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_191_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_310_127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_150_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_46_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_270_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57713_ _80000_/Q _57634_/B VGND VGND VPWR VPWR _57714_/C sky130_fd_sc_hd__nor2_2
+XFILLER_62_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_192_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50048_ _50048_/A _50048_/B VGND VGND VPWR VPWR _50048_/Y sky130_fd_sc_hd__nand2_2
+X_54925_ _54925_/A _54925_/B VGND VGND VPWR VPWR _54925_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_723_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_276_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39859_ _76196_/A VGND VGND VPWR VPWR _40348_/A sky130_fd_sc_hd__buf_1
+XFILLER_24_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58693_ _58484_/A _58691_/Y _58692_/Y VGND VGND VPWR VPWR _58693_/Y sky130_fd_sc_hd__nor3_2
+X_70971_ _70747_/A VGND VGND VPWR VPWR _70972_/D sky130_fd_sc_hd__inv_8
+XFILLER_191_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_682_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_216_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_653_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72710_ _72712_/A VGND VGND VPWR VPWR _72824_/B sky130_fd_sc_hd__buf_1
+XPHY_7257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57644_ _67165_/A _57320_/X VGND VGND VPWR VPWR _57644_/Y sky130_fd_sc_hd__nor2_2
+XPHY_39005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54856_ _54856_/A _54856_/B VGND VGND VPWR VPWR _76908_/D sky130_fd_sc_hd__nand2_2
+X_42870_ _43219_/A _42870_/B _42870_/C VGND VGND VPWR VPWR _79446_/D sky130_fd_sc_hd__nor3_2
+X_73690_ _73686_/X _73689_/Y VGND VGND VPWR VPWR _81119_/D sky130_fd_sc_hd__nand2_2
+XFILLER_409_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41821_ _46643_/A VGND VGND VPWR VPWR _41821_/Y sky130_fd_sc_hd__inv_8
+XPHY_39049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53807_ _53807_/A _53806_/X VGND VGND VPWR VPWR _53807_/Y sky130_fd_sc_hd__nand2_2
+XPHY_5822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72641_ _72234_/A _72652_/B VGND VGND VPWR VPWR _72644_/A sky130_fd_sc_hd__or2_2
+XPHY_6567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57575_ _57575_/A _57575_/B _57575_/C VGND VGND VPWR VPWR _57575_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_467_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54787_ _54787_/A _54815_/B VGND VGND VPWR VPWR _54797_/A sky130_fd_sc_hd__or2_2
+XFILLER_723_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51999_ _51942_/A _51976_/A VGND VGND VPWR VPWR _51999_/X sky130_fd_sc_hd__or2_2
+XFILLER_363_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_701_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59314_ _59314_/A _59312_/Y _59313_/Y VGND VGND VPWR VPWR _59314_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_166_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44540_ _44540_/A VGND VGND VPWR VPWR _44541_/B sky130_fd_sc_hd__inv_8
+XPHY_5866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56526_ _56526_/A VGND VGND VPWR VPWR _56527_/A sky130_fd_sc_hd__buf_1
+XFILLER_461_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75360_ _75367_/A _75360_/B _80704_/Q VGND VGND VPWR VPWR _75360_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_186_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_704_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41752_ _41752_/A _41751_/X VGND VGND VPWR VPWR _41752_/Y sky130_fd_sc_hd__nand2_2
+XPHY_37614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53738_ _53745_/A VGND VGND VPWR VPWR _53757_/B sky130_fd_sc_hd__buf_1
+XFILLER_445_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72572_ _72572_/A _72571_/Y VGND VGND VPWR VPWR _72572_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_385_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_229_1437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_71_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74311_ _74192_/A _74311_/B VGND VGND VPWR VPWR _74313_/A sky130_fd_sc_hd__or2_2
+XFILLER_260_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40703_ _40693_/A _40700_/B _66997_/A VGND VGND VPWR VPWR _40704_/B sky130_fd_sc_hd__nand3_2
+XPHY_27124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59245_ _59245_/A _64026_/B VGND VGND VPWR VPWR _59245_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_38_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71523_ _71391_/A _71521_/X _71522_/Y VGND VGND VPWR VPWR _71523_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_377_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_400_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44471_ _44471_/A _44451_/X _44508_/C VGND VGND VPWR VPWR _44471_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_231_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75291_ _75413_/A _75186_/A VGND VGND VPWR VPWR _75291_/X sky130_fd_sc_hd__or2_2
+X_56457_ _56536_/A _56449_/Y _56457_/C VGND VGND VPWR VPWR _56458_/B sky130_fd_sc_hd__nor3_2
+XPHY_27135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_578_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41683_ _41683_/A VGND VGND VPWR VPWR _41933_/A sky130_fd_sc_hd__inv_8
+XPHY_36924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53669_ _77223_/Q _53672_/B VGND VGND VPWR VPWR _53671_/A sky130_fd_sc_hd__nand2_2
+XPHY_37669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_658_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46210_ _43013_/B _46201_/X VGND VGND VPWR VPWR _46211_/C sky130_fd_sc_hd__and2_2
+XPHY_36946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77030_ _78386_/CLK _54399_/Y VGND VGND VPWR VPWR _77030_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_359_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43422_ _42940_/A _43415_/X VGND VGND VPWR VPWR _43422_/X sky130_fd_sc_hd__or2_2
+XFILLER_70_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55408_ _71355_/A _55430_/B VGND VGND VPWR VPWR _55408_/X sky130_fd_sc_hd__or2_2
+XFILLER_709_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74242_ _74013_/A VGND VGND VPWR VPWR _74242_/X sky130_fd_sc_hd__buf_1
+XFILLER_25_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40634_ _40632_/X _40634_/B VGND VGND VPWR VPWR _79824_/D sky130_fd_sc_hd__nand2_2
+XPHY_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47190_ _47186_/B _47189_/Y _47184_/C VGND VGND VPWR VPWR _78890_/D sky130_fd_sc_hd__nor3_2
+XPHY_27179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59176_ _59176_/A _59176_/B _59175_/Y VGND VGND VPWR VPWR _59176_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_92_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71454_ _71491_/A _71453_/X VGND VGND VPWR VPWR _71455_/B sky130_fd_sc_hd__nor2_2
+XFILLER_103_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56388_ _56295_/A VGND VGND VPWR VPWR _56389_/A sky130_fd_sc_hd__buf_1
+XPHY_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_281_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_207_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_16_0_u_core.clock clkbuf_6_8_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_16_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_73_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_200_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46141_ _46136_/Y _46138_/Y _46139_/Y _46140_/Y VGND VGND VPWR VPWR _46141_/X sky130_fd_sc_hd__or4_2
+XFILLER_240_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58127_ _39292_/C _58127_/B VGND VGND VPWR VPWR _58130_/B sky130_fd_sc_hd__nor2_2
+XFILLER_298_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70405_ _70183_/A _70405_/B _70404_/Y VGND VGND VPWR VPWR _70405_/X sky130_fd_sc_hd__or3_2
+XFILLER_724_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_322_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43353_ _43353_/A VGND VGND VPWR VPWR _43360_/A sky130_fd_sc_hd__buf_1
+XFILLER_693_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55339_ _55401_/A VGND VGND VPWR VPWR _55390_/B sky130_fd_sc_hd__buf_1
+XFILLER_200_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74173_ _74173_/A _74173_/B VGND VGND VPWR VPWR _74175_/A sky130_fd_sc_hd__or2_2
+XFILLER_31_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40565_ _40565_/A _40565_/B VGND VGND VPWR VPWR _40565_/X sky130_fd_sc_hd__or2_2
+XFILLER_717_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71385_ _71385_/A _71385_/B VGND VGND VPWR VPWR _71385_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_671_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_125_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_279_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_205_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42304_ _41887_/A _79493_/Q VGND VGND VPWR VPWR _42304_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_550_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_455_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73124_ _39747_/A VGND VGND VPWR VPWR _74953_/A sky130_fd_sc_hd__buf_1
+XPHY_25777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70336_ _70335_/X VGND VGND VPWR VPWR _70336_/Y sky130_fd_sc_hd__inv_8
+XFILLER_142_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46072_ _46057_/Y _46072_/B _46063_/A VGND VGND VPWR VPWR _46073_/C sky130_fd_sc_hd__nor3_2
+X_58058_ _57970_/A _58058_/B _58057_/Y VGND VGND VPWR VPWR _58058_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_259_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43284_ _42922_/A _43277_/B VGND VGND VPWR VPWR _43287_/A sky130_fd_sc_hd__or2_2
+XFILLER_201_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_318_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78981_ _79100_/CLK _58870_/Y _46686_/X VGND VGND VPWR VPWR _78981_/Q sky130_fd_sc_hd__dfrtp_4
+XFILLER_279_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40496_ _40493_/X _40495_/Y VGND VGND VPWR VPWR _40496_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_199_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_550_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49900_ _49900_/A _49885_/X VGND VGND VPWR VPWR _49902_/A sky130_fd_sc_hd__nand2_2
+XFILLER_138_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45023_ _44937_/A VGND VGND VPWR VPWR _45264_/A sky130_fd_sc_hd__buf_1
+XFILLER_491_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57009_ _57009_/A _57005_/Y _57009_/C VGND VGND VPWR VPWR _57009_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_184_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42235_ _42235_/A _42226_/B VGND VGND VPWR VPWR _42236_/B sky130_fd_sc_hd__nor2_2
+XFILLER_687_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77932_ _76768_/CLK _77932_/D VGND VGND VPWR VPWR _62779_/A sky130_fd_sc_hd__dfxtp_4
+X_73055_ _73053_/Y _73055_/B VGND VGND VPWR VPWR _81277_/D sky130_fd_sc_hd__nand2_2
+XFILLER_712_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_503_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70267_ _69978_/A _70266_/Y VGND VGND VPWR VPWR _70268_/B sky130_fd_sc_hd__nor2_2
+XFILLER_86_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_613_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_515_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_68_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60020_ _77202_/Q _59868_/B VGND VGND VPWR VPWR _60020_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_433_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72006_ _71839_/A _70786_/X VGND VGND VPWR VPWR _72007_/B sky130_fd_sc_hd__nor2_2
+XPHY_13852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_298_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49831_ _49838_/A VGND VGND VPWR VPWR _49847_/B sky130_fd_sc_hd__buf_1
+XFILLER_64_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42166_ _42166_/A _71042_/A VGND VGND VPWR VPWR _42167_/B sky130_fd_sc_hd__nor2_2
+X_77863_ _77863_/CLK _77863_/D VGND VGND VPWR VPWR _77863_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_173_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70198_ _70192_/Y _70193_/X _70194_/X _70197_/X VGND VGND VPWR VPWR _70198_/X sky130_fd_sc_hd__o22a_4
+XPHY_13874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_193_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_31242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_370_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79602_ _79626_/CLK _41501_/Y VGND VGND VPWR VPWR _79602_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_292_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_141_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_101_0_u_core.clock clkbuf_7_50_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_203_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_193_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_411_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41117_ _41116_/X _41131_/B VGND VGND VPWR VPWR _41117_/X sky130_fd_sc_hd__or2_2
+XFILLER_158_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76814_ _76684_/CLK _55400_/Y VGND VGND VPWR VPWR _71270_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_253_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49762_ _49762_/A _49761_/X VGND VGND VPWR VPWR _78253_/D sky130_fd_sc_hd__nand2_2
+XFILLER_45_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_669_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_161_0_u_core.clock clkbuf_8_80_0_u_core.clock/X VGND VGND VPWR VPWR _79074_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_180_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46974_ _46870_/A VGND VGND VPWR VPWR _46983_/B sky130_fd_sc_hd__buf_1
+X_42097_ _42097_/A _42094_/B VGND VGND VPWR VPWR _42100_/A sky130_fd_sc_hd__nor2_2
+XFILLER_685_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_292_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_665_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_530_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77794_ _79248_/CLK _77794_/D VGND VGND VPWR VPWR _51505_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48713_ _63692_/A _48706_/B VGND VGND VPWR VPWR _48716_/A sky130_fd_sc_hd__nand2_2
+XPHY_9182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79533_ _79533_/CLK _42071_/Y VGND VGND VPWR VPWR _70106_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_234_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41048_ _41047_/X _41044_/B VGND VGND VPWR VPWR _41048_/X sky130_fd_sc_hd__or2_2
+XFILLER_84_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45925_ _41647_/B _45930_/B VGND VGND VPWR VPWR _45928_/B sky130_fd_sc_hd__nor2_2
+XFILLER_218_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_110_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76745_ _78580_/CLK _76745_/D VGND VGND VPWR VPWR _45385_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_121_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49693_ _49693_/A _49702_/B VGND VGND VPWR VPWR _49693_/X sky130_fd_sc_hd__or2_2
+XFILLER_79_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61971_ _78310_/Q _61662_/B VGND VGND VPWR VPWR _61971_/Y sky130_fd_sc_hd__nor2_2
+X_73957_ _73955_/X _73957_/B VGND VGND VPWR VPWR _81048_/D sky130_fd_sc_hd__nand2_2
+XPHY_30585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_80_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_108_0_u_core.clock clkbuf_8_54_0_u_core.clock/X VGND VGND VPWR VPWR _77836_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_682_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63710_ _63710_/A _63708_/Y _63710_/C VGND VGND VPWR VPWR _63710_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_67_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_96 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60922_ _60758_/A _60922_/B _60921_/Y VGND VGND VPWR VPWR _60922_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_288_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72908_ _72905_/X _72907_/Y VGND VGND VPWR VPWR _81311_/D sky130_fd_sc_hd__nand2_2
+XFILLER_466_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48644_ _48641_/Y _48644_/B VGND VGND VPWR VPWR _78541_/D sky130_fd_sc_hd__nand2_2
+XFILLER_724_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79464_ _79533_/CLK _42702_/Y VGND VGND VPWR VPWR _79464_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_633_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45856_ _45726_/Y _45851_/X VGND VGND VPWR VPWR _45857_/B sky130_fd_sc_hd__nor2_2
+XFILLER_529_1131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64690_ _65197_/A _64690_/B VGND VGND VPWR VPWR _64690_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_27_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76676_ _76677_/CLK _76676_/D VGND VGND VPWR VPWR _69735_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_427_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_43_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73888_ _73646_/A _73885_/B VGND VGND VPWR VPWR _73888_/X sky130_fd_sc_hd__or2_2
+XFILLER_568_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_255_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78415_ _78092_/CLK _78415_/D VGND VGND VPWR VPWR _63263_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_248_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44807_ _44848_/A _44807_/B VGND VGND VPWR VPWR _79190_/D sky130_fd_sc_hd__nor2_2
+XFILLER_444_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63641_ _59143_/A _63641_/B _63641_/C VGND VGND VPWR VPWR _63645_/B sky130_fd_sc_hd__nor3_2
+X_75627_ _75622_/X _75626_/Y VGND VGND VPWR VPWR _80645_/D sky130_fd_sc_hd__nand2_2
+Xclkbuf_8_217_0_u_core.clock clkbuf_8_217_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_435_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_409_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60853_ _60853_/A _60544_/B VGND VGND VPWR VPWR _60854_/C sky130_fd_sc_hd__nor2_2
+X_48575_ _48573_/Y _48575_/B VGND VGND VPWR VPWR _78557_/D sky130_fd_sc_hd__nand2_2
+XFILLER_481_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72839_ _72843_/A _72843_/B _81325_/Q VGND VGND VPWR VPWR _72839_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_427_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79395_ _79395_/CLK _43269_/Y VGND VGND VPWR VPWR _68765_/A sky130_fd_sc_hd__dfxtp_4
+X_45787_ _45815_/A VGND VGND VPWR VPWR _55870_/A sky130_fd_sc_hd__buf_2
+XPHY_39550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_522_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_277_0_u_core.clock clkbuf_9_277_0_u_core.clock/A VGND VGND VPWR VPWR _77141_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_42999_ _42999_/A _42888_/B _64324_/A VGND VGND VPWR VPWR _42999_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_35_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_236_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47526_ _47526_/A _47498_/B VGND VGND VPWR VPWR _47530_/A sky130_fd_sc_hd__nand2_2
+XFILLER_688_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66360_ _66022_/A _66360_/B _66359_/Y VGND VGND VPWR VPWR _66360_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_345_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_381_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78346_ _76844_/CLK _78346_/D VGND VGND VPWR VPWR _62588_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44738_ _44487_/A _44733_/C _44737_/X VGND VGND VPWR VPWR _44740_/B sky130_fd_sc_hd__and3_2
+XFILLER_247_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63572_ _63572_/A _63572_/B VGND VGND VPWR VPWR _63573_/B sky130_fd_sc_hd__nor2_2
+X_75558_ _75928_/A _75548_/B VGND VGND VPWR VPWR _75561_/A sky130_fd_sc_hd__or2_2
+XFILLER_597_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_236_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60784_ _60482_/A _60781_/Y _60783_/Y VGND VGND VPWR VPWR _60789_/B sky130_fd_sc_hd__nor3_2
+XPHY_29071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_409_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_225_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65311_ _56782_/A _65311_/B VGND VGND VPWR VPWR _65313_/B sky130_fd_sc_hd__nor2_2
+XFILLER_598_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62523_ _62053_/X _62521_/Y _62522_/Y VGND VGND VPWR VPWR _62523_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_166_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74509_ _65607_/A VGND VGND VPWR VPWR _74509_/Y sky130_fd_sc_hd__inv_8
+XFILLER_381_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_264_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47457_ _47289_/B _47457_/B VGND VGND VPWR VPWR _53279_/A sky130_fd_sc_hd__or2_2
+X_66291_ _66291_/A _66290_/Y VGND VGND VPWR VPWR _66291_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_411_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78277_ _78277_/CLK _49678_/Y VGND VGND VPWR VPWR _78277_/Q sky130_fd_sc_hd__dfxtp_4
+X_44669_ _65708_/A _44850_/C VGND VGND VPWR VPWR _44669_/X sky130_fd_sc_hd__or2_2
+XFILLER_225_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75489_ _75487_/X _75489_/B VGND VGND VPWR VPWR _75489_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_594_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_251_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_280_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_90_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_694_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68030_ _67701_/A _68030_/B _68030_/C VGND VGND VPWR VPWR _68035_/B sky130_fd_sc_hd__nor3_2
+XFILLER_425_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_147_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_204_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_301_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46408_ _46406_/X _46407_/X VGND VGND VPWR VPWR _46408_/Y sky130_fd_sc_hd__nand2_2
+X_65242_ _80500_/Q _65580_/B VGND VGND VPWR VPWR _65243_/C sky130_fd_sc_hd__nor2_2
+XFILLER_242_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77228_ _77232_/CLK _53655_/Y VGND VGND VPWR VPWR _53652_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_34_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62454_ _77962_/Q _62140_/B VGND VGND VPWR VPWR _62454_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_22_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_692_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47388_ _47289_/B _47388_/B VGND VGND VPWR VPWR _53221_/A sky130_fd_sc_hd__or2_2
+XFILLER_143_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_559_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_657_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61405_ _60766_/X _61405_/B _61404_/Y VGND VGND VPWR VPWR _61406_/C sky130_fd_sc_hd__nor3_2
+X_49127_ _49214_/A _49120_/X VGND VGND VPWR VPWR _49127_/X sky130_fd_sc_hd__or2_2
+XFILLER_176_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_128_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46339_ _46339_/A _46339_/B VGND VGND VPWR VPWR _46339_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_492_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65173_ _56670_/A _65682_/B VGND VGND VPWR VPWR _65175_/B sky130_fd_sc_hd__nor2_2
+X_77159_ _77139_/CLK _53905_/Y VGND VGND VPWR VPWR _77159_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_559_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62385_ _61921_/A _62385_/B _62385_/C VGND VGND VPWR VPWR _62406_/A sky130_fd_sc_hd__nor3_2
+XPHY_17190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_321_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64124_ _64124_/A VGND VGND VPWR VPWR _66700_/A sky130_fd_sc_hd__buf_1
+XFILLER_121_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49058_ _49058_/A _49057_/X VGND VGND VPWR VPWR _49058_/Y sky130_fd_sc_hd__nand2_2
+X_61336_ _60865_/A _61336_/B _61336_/C VGND VGND VPWR VPWR _61354_/A sky130_fd_sc_hd__nor3_2
+XFILLER_525_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80170_ _80178_/CLK _39274_/Y VGND VGND VPWR VPWR _80170_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_34_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69981_ _55207_/A VGND VGND VPWR VPWR _70001_/A sky130_fd_sc_hd__inv_8
+XFILLER_692_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_707_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_716_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_392_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_635_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48009_ _48009_/A VGND VGND VPWR VPWR _48040_/A sky130_fd_sc_hd__buf_1
+XFILLER_258_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_353_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68932_ _41412_/C _69355_/B VGND VGND VPWR VPWR _68932_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_293_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64055_ _64055_/A _59054_/X VGND VGND VPWR VPWR _64056_/C sky130_fd_sc_hd__nor2_2
+XFILLER_540_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61267_ _61109_/A _61263_/Y _61267_/C VGND VGND VPWR VPWR _61279_/B sky130_fd_sc_hd__nor3_2
+XFILLER_392_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_121_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_451_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_635_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_176_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51020_ _51017_/Y _51019_/X VGND VGND VPWR VPWR _51020_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_258_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63006_ _78701_/Q _63321_/B VGND VGND VPWR VPWR _63009_/B sky130_fd_sc_hd__nor2_2
+XFILLER_514_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60218_ _60051_/A _60208_/Y _60217_/Y VGND VGND VPWR VPWR _60219_/B sky130_fd_sc_hd__nor3_2
+XFILLER_254_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_514_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_289_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68863_ _68694_/A _68863_/B _68863_/C VGND VGND VPWR VPWR _68867_/B sky130_fd_sc_hd__nor3_2
+XFILLER_521_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61198_ _61123_/Y _61198_/B VGND VGND VPWR VPWR _61198_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_28_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_588_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_522_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_193_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67814_ _57947_/A _67648_/B VGND VGND VPWR VPWR _67816_/B sky130_fd_sc_hd__nor2_2
+XFILLER_723_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60149_ _59973_/A _60149_/B _60149_/C VGND VGND VPWR VPWR _60149_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_321_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_629_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_667_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68794_ _68621_/X _68792_/Y _68793_/Y VGND VGND VPWR VPWR _68794_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_143_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_253_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39713_ _39226_/A _39701_/X VGND VGND VPWR VPWR _39715_/A sky130_fd_sc_hd__or2_2
+XFILLER_683_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_98_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67745_ _67745_/A _67745_/B _67745_/C VGND VGND VPWR VPWR _67754_/B sky130_fd_sc_hd__nor3_2
+XFILLER_58_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_132_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52971_ _52994_/B VGND VGND VPWR VPWR _52993_/B sky130_fd_sc_hd__buf_1
+XFILLER_119_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64957_ _64633_/A _64949_/Y _64957_/C VGND VGND VPWR VPWR _64957_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_488_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_681_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_301_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54710_ _54710_/A _54710_/B VGND VGND VPWR VPWR _76947_/D sky130_fd_sc_hd__nand2_2
+XFILLER_100_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_484_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51922_ _51922_/A _51918_/B VGND VGND VPWR VPWR _51924_/A sky130_fd_sc_hd__nand2_2
+X_39644_ _39633_/A _39653_/B _58286_/A VGND VGND VPWR VPWR _39645_/B sky130_fd_sc_hd__nand3_2
+XFILLER_367_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63908_ _78787_/Q _63908_/B VGND VGND VPWR VPWR _63910_/B sky130_fd_sc_hd__nor2_2
+XFILLER_210_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_269_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_636_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55690_ _55409_/X _55690_/B VGND VGND VPWR VPWR _55691_/B sky130_fd_sc_hd__or2_2
+XFILLER_246_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67676_ _67676_/A _67674_/Y _67675_/Y VGND VGND VPWR VPWR _67676_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_386_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_113_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64888_ _65740_/A VGND VGND VPWR VPWR _65589_/A sky130_fd_sc_hd__buf_1
+XFILLER_462_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_312_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_583_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_57_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_230_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69415_ _64250_/A _69382_/Y _69414_/Y VGND VGND VPWR VPWR _69416_/C sky130_fd_sc_hd__nor3_2
+XPHY_5118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54641_ _54639_/A VGND VGND VPWR VPWR _54641_/X sky130_fd_sc_hd__buf_1
+X_66627_ _66470_/A _66625_/Y _66627_/C VGND VGND VPWR VPWR _66627_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_720_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51853_ _51853_/A VGND VGND VPWR VPWR _51853_/X sky130_fd_sc_hd__buf_1
+X_39575_ _39575_/A _39574_/Y VGND VGND VPWR VPWR _39575_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_367_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63839_ _63839_/A _63839_/B VGND VGND VPWR VPWR _63839_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_41_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_85_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_38_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_2662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38526_ _38669_/A _38512_/B VGND VGND VPWR VPWR _38526_/X sky130_fd_sc_hd__or2_2
+X_50804_ _50716_/X _50807_/B VGND VGND VPWR VPWR _50804_/X sky130_fd_sc_hd__or2_2
+XFILLER_241_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57360_ _79899_/Q _57196_/B VGND VGND VPWR VPWR _57362_/B sky130_fd_sc_hd__nor2_2
+XANTENNA_308 _47820_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_282_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69346_ _64241_/A _69342_/Y _69346_/C VGND VGND VPWR VPWR _69346_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_74_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66558_ _76295_/C _66724_/B VGND VGND VPWR VPWR _66558_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_560_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54572_ _76983_/Q _54572_/B VGND VGND VPWR VPWR _54572_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_325_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_319 _53650_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_76_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_183_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51784_ _51812_/A _51790_/B VGND VGND VPWR VPWR _51784_/X sky130_fd_sc_hd__or2_2
+XPHY_4439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_165_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_54_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_462_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_306_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56311_ _57039_/A VGND VGND VPWR VPWR _56312_/B sky130_fd_sc_hd__buf_1
+XFILLER_399_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_620_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_521_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53523_ _77262_/Q _53523_/B VGND VGND VPWR VPWR _53523_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_423_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65509_ _66333_/A VGND VGND VPWR VPWR _66171_/A sky130_fd_sc_hd__buf_1
+XFILLER_81_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_213_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38457_ _38454_/A _38443_/B _64728_/A VGND VGND VPWR VPWR _38458_/B sky130_fd_sc_hd__nand3_2
+XFILLER_282_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50735_ _50763_/A _50756_/B VGND VGND VPWR VPWR _50735_/X sky130_fd_sc_hd__or2_2
+XFILLER_35_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57291_ _57291_/A _57046_/X VGND VGND VPWR VPWR _57293_/B sky130_fd_sc_hd__nor2_2
+XFILLER_595_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69277_ _69137_/A _69244_/Y _69277_/C VGND VGND VPWR VPWR _69278_/C sky130_fd_sc_hd__nor3_2
+XFILLER_126_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_405_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66489_ _80603_/Q _66157_/B VGND VGND VPWR VPWR _66490_/C sky130_fd_sc_hd__nor2_2
+XFILLER_403_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_440_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59030_ _77037_/Q _59348_/B VGND VGND VPWR VPWR _59031_/C sky130_fd_sc_hd__nor2_2
+XFILLER_658_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56242_ _56342_/A VGND VGND VPWR VPWR _56242_/X sky130_fd_sc_hd__buf_1
+X_80506_ _80508_/CLK _76172_/Y VGND VGND VPWR VPWR _80506_/Q sky130_fd_sc_hd__dfxtp_4
+X_68228_ _68228_/A _68396_/B VGND VGND VPWR VPWR _68229_/C sky130_fd_sc_hd__nor2_2
+XFILLER_345_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_601_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53454_ _53454_/A _53448_/B VGND VGND VPWR VPWR _53454_/X sky130_fd_sc_hd__or2_2
+XFILLER_224_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50666_ _50815_/A VGND VGND VPWR VPWR _50759_/B sky130_fd_sc_hd__buf_1
+XFILLER_201_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38388_ _38388_/A VGND VGND VPWR VPWR _38400_/B sky130_fd_sc_hd__buf_1
+XFILLER_495_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81486_ _81470_/CLK _81486_/D VGND VGND VPWR VPWR _58819_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_55_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_421_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_694_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_690_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_143_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52405_ _52405_/A _52405_/B VGND VGND VPWR VPWR _77556_/D sky130_fd_sc_hd__nand2_2
+XFILLER_478_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80437_ _80436_/CLK _38204_/Y VGND VGND VPWR VPWR _80437_/Q sky130_fd_sc_hd__dfxtp_4
+X_68159_ _39413_/C _68001_/X VGND VGND VPWR VPWR _68161_/B sky130_fd_sc_hd__nor2_2
+XFILLER_401_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56173_ _56179_/A _56173_/B VGND VGND VPWR VPWR _56174_/C sky130_fd_sc_hd__nor2_2
+XFILLER_495_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53385_ _60237_/A _53381_/B VGND VGND VPWR VPWR _53387_/A sky130_fd_sc_hd__nand2_2
+XFILLER_358_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_557_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50597_ _50597_/A _50597_/B VGND VGND VPWR VPWR _78033_/D sky130_fd_sc_hd__nand2_2
+XFILLER_675_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_210_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_124_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55124_ _55124_/A _55124_/B VGND VGND VPWR VPWR _76846_/D sky130_fd_sc_hd__or2_2
+XFILLER_614_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40350_ _40350_/A _40350_/B VGND VGND VPWR VPWR _40350_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_276_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_11_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52336_ _60645_/A _52332_/B VGND VGND VPWR VPWR _52339_/A sky130_fd_sc_hd__nand2_2
+XFILLER_536_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71170_ _70871_/Y _70255_/X VGND VGND VPWR VPWR _71171_/B sky130_fd_sc_hd__nor2_2
+XFILLER_396_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_393_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80368_ _80370_/CLK _80368_/D VGND VGND VPWR VPWR _64502_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_23605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_142_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_705_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39009_ _39012_/A _39017_/B _80232_/Q VGND VGND VPWR VPWR _39010_/B sky130_fd_sc_hd__nand3_2
+XFILLER_473_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70121_ _70120_/Y VGND VGND VPWR VPWR _70121_/X sky130_fd_sc_hd__buf_1
+XFILLER_108_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59932_ _59857_/Y _59932_/B VGND VGND VPWR VPWR _59932_/Y sky130_fd_sc_hd__nor2_2
+X_55055_ _55047_/A _43523_/B _55055_/C VGND VGND VPWR VPWR _55055_/X sky130_fd_sc_hd__and3_2
+XPHY_13115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52267_ _52177_/A _52267_/B VGND VGND VPWR VPWR _52268_/B sky130_fd_sc_hd__or2_2
+X_40281_ _40281_/A _40295_/B VGND VGND VPWR VPWR _40281_/X sky130_fd_sc_hd__or2_2
+XFILLER_30_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_119_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_669_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80299_ _80367_/CLK _38758_/Y VGND VGND VPWR VPWR _80299_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_515_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_258_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54006_ _77132_/Q _54017_/B VGND VGND VPWR VPWR _54006_/Y sky130_fd_sc_hd__nand2_2
+X_42020_ _45412_/A _42020_/B VGND VGND VPWR VPWR _42020_/Y sky130_fd_sc_hd__nor2_2
+XPHY_13148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51218_ _51216_/Y _51217_/X VGND VGND VPWR VPWR _77863_/D sky130_fd_sc_hd__nand2_2
+XFILLER_119_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70052_ _70591_/A VGND VGND VPWR VPWR _70053_/A sky130_fd_sc_hd__buf_1
+XPHY_13159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_11_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59863_ _59383_/A _59863_/B _59863_/C VGND VGND VPWR VPWR _59864_/C sky130_fd_sc_hd__nor3_2
+XFILLER_524_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_134_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_324_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52198_ _52156_/A _52197_/X VGND VGND VPWR VPWR _52199_/B sky130_fd_sc_hd__or2_2
+XFILLER_688_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_135_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_415_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_686_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58814_ _57145_/A _58814_/B _58814_/C VGND VGND VPWR VPWR _58814_/X sky130_fd_sc_hd__or3_2
+XFILLER_1_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51149_ _51149_/A _51148_/X VGND VGND VPWR VPWR _51149_/Y sky130_fd_sc_hd__nand2_2
+XPHY_11724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74860_ _74874_/A _74860_/B _80837_/Q VGND VGND VPWR VPWR _74861_/B sky130_fd_sc_hd__nand3_2
+XFILLER_497_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59794_ _59636_/A _59789_/Y _59794_/C VGND VGND VPWR VPWR _59795_/C sky130_fd_sc_hd__nor3_2
+XFILLER_194_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_669_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_27_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_484_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_295_126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73811_ _73811_/A _73804_/B VGND VGND VPWR VPWR _73811_/X sky130_fd_sc_hd__or2_2
+XFILLER_133_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_710_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58745_ _58745_/A _58745_/B VGND VGND VPWR VPWR _58746_/B sky130_fd_sc_hd__nor2_2
+XPHY_11768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_103_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43971_ _43951_/A _43969_/Y _43971_/C VGND VGND VPWR VPWR _43972_/C sky130_fd_sc_hd__nor3_2
+X_55957_ wb_clk_i _55956_/Y la_data_in[127] la_oen[127] VGND VGND VPWR VPWR _55957_/X
++ sky130_fd_sc_hd__o22a_4
+XPHY_7010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74791_ _74788_/X _74790_/Y VGND VGND VPWR VPWR _80853_/D sky130_fd_sc_hd__nand2_2
+XFILLER_584_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_489_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_707_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45710_ _45668_/X VGND VGND VPWR VPWR _45710_/X sky130_fd_sc_hd__buf_2
+XFILLER_686_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76530_ VGND VGND VPWR VPWR _76530_/HI la_data_out[22] sky130_fd_sc_hd__conb_1
+XPHY_7043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42922_ _42922_/A _42930_/B VGND VGND VPWR VPWR _42925_/A sky130_fd_sc_hd__or2_2
+XFILLER_428_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54908_ _54908_/A VGND VGND VPWR VPWR _54920_/B sky130_fd_sc_hd__buf_1
+XFILLER_264_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73742_ _73756_/A _73635_/B _81104_/Q VGND VGND VPWR VPWR _73743_/B sky130_fd_sc_hd__nand3_2
+XFILLER_643_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46690_ _46686_/A VGND VGND VPWR VPWR _46690_/X sky130_fd_sc_hd__buf_1
+XFILLER_24_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58676_ _58609_/A _58674_/Y _58675_/Y VGND VGND VPWR VPWR _58677_/C sky130_fd_sc_hd__nor3_2
+X_70954_ _70766_/A _70765_/X VGND VGND VPWR VPWR _70954_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_467_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55888_ _55888_/A _55888_/B VGND VGND VPWR VPWR _55888_/X sky130_fd_sc_hd__and2_2
+XFILLER_545_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_481_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_463_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45641_ _71944_/A _45638_/B VGND VGND VPWR VPWR _45641_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_385_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57627_ _57543_/X _57582_/X _57625_/X _74475_/Y _57626_/X VGND VGND VPWR VPWR _76659_/D
++ sky130_fd_sc_hd__a32oi_4
+X_76461_ VGND VGND VPWR VPWR _76461_/HI io_oeb[21] sky130_fd_sc_hd__conb_1
+XPHY_6353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42853_ _42853_/A _42832_/B VGND VGND VPWR VPWR _42853_/Y sky130_fd_sc_hd__nor2_2
+XPHY_7098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54839_ _76911_/Q _54843_/B VGND VGND VPWR VPWR _54839_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_166_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73673_ _73793_/A _73662_/B VGND VGND VPWR VPWR _73675_/A sky130_fd_sc_hd__or2_2
+XFILLER_57_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70885_ _72034_/A VGND VGND VPWR VPWR _71320_/A sky130_fd_sc_hd__buf_1
+XFILLER_508_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_481_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_151_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78200_ _78189_/CLK _78200_/D VGND VGND VPWR VPWR _78200_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_385_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75412_ _75409_/X _75412_/B VGND VGND VPWR VPWR _75412_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_543_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41804_ _46634_/A _41804_/B VGND VGND VPWR VPWR _41809_/A sky130_fd_sc_hd__nand2_2
+XFILLER_151_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72624_ _72678_/A VGND VGND VPWR VPWR _72632_/A sky130_fd_sc_hd__buf_1
+XFILLER_363_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48360_ _48360_/A _48359_/X VGND VGND VPWR VPWR _78614_/D sky130_fd_sc_hd__nand2_2
+XPHY_5652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79180_ _79175_/CLK _44873_/Y VGND VGND VPWR VPWR _44657_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_205_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45572_ _71343_/A _45572_/B VGND VGND VPWR VPWR _45572_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_324_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57558_ _57718_/A _57556_/Y _57558_/C VGND VGND VPWR VPWR _57562_/B sky130_fd_sc_hd__nor3_2
+XPHY_5663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76392_ _76392_/A VGND VGND VPWR VPWR _76397_/A sky130_fd_sc_hd__buf_1
+XFILLER_398_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42784_ _45644_/A VGND VGND VPWR VPWR _64855_/A sky130_fd_sc_hd__inv_8
+XFILLER_92_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_452_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_251_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_37422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47311_ _47308_/X _47310_/Y VGND VGND VPWR VPWR _78868_/D sky130_fd_sc_hd__nand2_2
+XFILLER_79_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_183_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78131_ _78127_/CLK _78131_/D VGND VGND VPWR VPWR _78131_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_4951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44523_ _44369_/X VGND VGND VPWR VPWR _44534_/A sky130_fd_sc_hd__inv_8
+XPHY_5696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56509_ _64825_/A _56508_/X VGND VGND VPWR VPWR _56509_/Y sky130_fd_sc_hd__nor2_2
+X_75343_ _75341_/X _75342_/Y VGND VGND VPWR VPWR _80709_/D sky130_fd_sc_hd__nand2_2
+XFILLER_504_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41735_ _41758_/A _41734_/X VGND VGND VPWR VPWR _41735_/X sky130_fd_sc_hd__or2_2
+XPHY_37444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48291_ _78628_/Q _48297_/B VGND VGND VPWR VPWR _48291_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72555_ _72555_/A VGND VGND VPWR VPWR _72570_/B sky130_fd_sc_hd__buf_1
+XFILLER_543_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57489_ _57658_/A _57489_/B _57488_/Y VGND VGND VPWR VPWR _57489_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_426_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_233_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47242_ _42276_/A _47242_/B _42285_/A VGND VGND VPWR VPWR _47242_/X sky130_fd_sc_hd__or3_2
+XFILLER_693_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59228_ _59756_/A VGND VGND VPWR VPWR _59441_/A sky130_fd_sc_hd__buf_1
+X_71506_ _39165_/A VGND VGND VPWR VPWR _42934_/A sky130_fd_sc_hd__buf_1
+XFILLER_400_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78062_ _78062_/CLK _50485_/Y VGND VGND VPWR VPWR _61898_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44454_ _44468_/A _44453_/Y VGND VGND VPWR VPWR _44454_/X sky130_fd_sc_hd__and2_2
+XPHY_26220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_75274_ _75274_/A _75271_/B VGND VGND VPWR VPWR _75274_/X sky130_fd_sc_hd__or2_2
+XFILLER_504_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41666_ _41666_/A _41666_/B VGND VGND VPWR VPWR _41666_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_204_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72486_ _72472_/A _72472_/B _72486_/C VGND VGND VPWR VPWR _72487_/B sky130_fd_sc_hd__nand3_2
+XFILLER_305_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_199_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_619_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77013_ _77422_/CLK _77013_/D VGND VGND VPWR VPWR _59017_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_26253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43405_ _43385_/X VGND VGND VPWR VPWR _43406_/B sky130_fd_sc_hd__buf_1
+X_74225_ _74224_/X _74221_/B _80989_/Q VGND VGND VPWR VPWR _74225_/Y sky130_fd_sc_hd__nand3_2
+Xclkbuf_9_405_0_u_core.clock clkbuf_9_405_0_u_core.clock/A VGND VGND VPWR VPWR _81013_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_393_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_36787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40617_ _40617_/A _40630_/B _65522_/A VGND VGND VPWR VPWR _40618_/B sky130_fd_sc_hd__nand3_2
+XPHY_26264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71437_ _71537_/A _71537_/B _67792_/A VGND VGND VPWR VPWR _71438_/B sky130_fd_sc_hd__nand3_2
+X_47173_ _46373_/B _47173_/B VGND VGND VPWR VPWR _47174_/B sky130_fd_sc_hd__nor2_2
+X_59159_ _60833_/A VGND VGND VPWR VPWR _59405_/A sky130_fd_sc_hd__buf_1
+XFILLER_70_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44385_ _44285_/A _44318_/Y _44384_/Y VGND VGND VPWR VPWR _79238_/D sky130_fd_sc_hd__nor3_2
+XPHY_25530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41597_ _41107_/X _41582_/X VGND VGND VPWR VPWR _41597_/X sky130_fd_sc_hd__or2_2
+XFILLER_576_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_196_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_591_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46124_ _46117_/X _46124_/B VGND VGND VPWR VPWR _46124_/X sky130_fd_sc_hd__or2_2
+XFILLER_547_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43336_ _43353_/A VGND VGND VPWR VPWR _43350_/A sky130_fd_sc_hd__buf_1
+XFILLER_51_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62170_ _59808_/A VGND VGND VPWR VPWR _62170_/X sky130_fd_sc_hd__buf_1
+XPHY_15040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74156_ _72708_/A _74156_/B VGND VGND VPWR VPWR _74157_/B sky130_fd_sc_hd__or2_2
+X_40548_ _40546_/X _40547_/Y VGND VGND VPWR VPWR _79848_/D sky130_fd_sc_hd__nand2_2
+XFILLER_724_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71368_ _71787_/A _71332_/B _71367_/Y VGND VGND VPWR VPWR _71369_/C sky130_fd_sc_hd__nor3_2
+XFILLER_572_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_717_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_220_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61121_ _61101_/Y _61121_/B VGND VGND VPWR VPWR _61121_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_562_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73107_ _72708_/A _73106_/X VGND VGND VPWR VPWR _73108_/A sky130_fd_sc_hd__or2_2
+XFILLER_177_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46055_ _46063_/A VGND VGND VPWR VPWR _46055_/Y sky130_fd_sc_hd__inv_8
+XFILLER_591_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70319_ _70319_/A _70182_/B _70182_/C VGND VGND VPWR VPWR _70320_/C sky130_fd_sc_hd__nor3_2
+XFILLER_550_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43267_ _43266_/X VGND VGND VPWR VPWR _43268_/B sky130_fd_sc_hd__buf_1
+XPHY_14350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74087_ _74067_/A _74073_/B _74087_/C VGND VGND VPWR VPWR _74088_/B sky130_fd_sc_hd__nand3_2
+XFILLER_290_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78964_ _78899_/CLK _78964_/D VGND VGND VPWR VPWR _43073_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_537_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40479_ _40409_/A VGND VGND VPWR VPWR _40493_/B sky130_fd_sc_hd__buf_1
+XFILLER_504_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_491_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71299_ _71376_/A _71376_/B _68448_/A VGND VGND VPWR VPWR _71300_/B sky130_fd_sc_hd__nand3_2
+XFILLER_220_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_689_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_370_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_126_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45006_ _45006_/A _45037_/A VGND VGND VPWR VPWR _45006_/X sky130_fd_sc_hd__or2_2
+XFILLER_29_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42218_ _42216_/Y _42226_/B VGND VGND VPWR VPWR _42219_/B sky130_fd_sc_hd__nor2_2
+X_61052_ _61052_/A _61050_/Y _61051_/Y VGND VGND VPWR VPWR _61052_/Y sky130_fd_sc_hd__nor3_2
+X_73038_ _73024_/X _73028_/X _67420_/A VGND VGND VPWR VPWR _73041_/A sky130_fd_sc_hd__nand3_2
+X_77915_ _77915_/CLK _51037_/Y VGND VGND VPWR VPWR _51035_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_114_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_488_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_294_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_272_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_116_0_u_core.clock clkbuf_6_58_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_116_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_416_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43198_ _42867_/A VGND VGND VPWR VPWR _43199_/A sky130_fd_sc_hd__inv_8
+XFILLER_712_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78895_ _78896_/CLK _47174_/Y VGND VGND VPWR VPWR _46373_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_158_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_632_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_176_0_u_core.clock clkbuf_7_88_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_353_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_253_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_504_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60003_ _59983_/Y _60003_/B VGND VGND VPWR VPWR _60004_/B sky130_fd_sc_hd__nor2_2
+XFILLER_126_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_570_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_487_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_141_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49814_ _49845_/A _49822_/B VGND VGND VPWR VPWR _49814_/X sky130_fd_sc_hd__or2_2
+XPHY_31050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42149_ _42149_/A _42147_/Y _42149_/C VGND VGND VPWR VPWR _42149_/Y sky130_fd_sc_hd__nor3_2
+XPHY_13693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65860_ _57012_/A _66028_/B VGND VGND VPWR VPWR _65862_/B sky130_fd_sc_hd__nor2_2
+XFILLER_214_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77846_ _77854_/CLK _51306_/Y VGND VGND VPWR VPWR _60710_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_64_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_155_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_313_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64811_ _75817_/C _64340_/B VGND VGND VPWR VPWR _64812_/C sky130_fd_sc_hd__nor2_2
+XFILLER_29_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49745_ _49738_/A VGND VGND VPWR VPWR _49758_/B sky130_fd_sc_hd__buf_1
+XPHY_30360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_706_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46957_ _71516_/A _46932_/B VGND VGND VPWR VPWR _46957_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_691_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77777_ _77799_/CLK _51573_/Y VGND VGND VPWR VPWR _77777_/Q sky130_fd_sc_hd__dfxtp_4
+X_65791_ _57053_/A _65791_/B VGND VGND VPWR VPWR _65791_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_25_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_68_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74989_ _74989_/A _74985_/B VGND VGND VPWR VPWR _74989_/X sky130_fd_sc_hd__or2_2
+XFILLER_286_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67530_ _66881_/A _67530_/B _67529_/Y VGND VGND VPWR VPWR _67531_/C sky130_fd_sc_hd__nor3_2
+X_79516_ _79145_/CLK _42138_/Y VGND VGND VPWR VPWR _79516_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_80_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45908_ _45885_/C VGND VGND VPWR VPWR _45908_/X sky130_fd_sc_hd__buf_1
+X_64742_ _64742_/A _64740_/Y _64742_/C VGND VGND VPWR VPWR _64742_/Y sky130_fd_sc_hd__nor3_2
+X_76728_ _76729_/CLK _59612_/Y VGND VGND VPWR VPWR _76728_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_95_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49676_ _49974_/A VGND VGND VPWR VPWR _49676_/X sky130_fd_sc_hd__buf_1
+X_61954_ _61645_/A _61954_/B _61953_/Y VGND VGND VPWR VPWR _61954_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_645_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46888_ _70215_/X _46871_/B VGND VGND VPWR VPWR _46888_/X sky130_fd_sc_hd__and2_2
+XFILLER_121_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_608_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_416_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_294_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_309_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_483_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60905_ _60440_/A _60901_/Y _60905_/C VGND VGND VPWR VPWR _60906_/C sky130_fd_sc_hd__nor3_2
+XFILLER_283_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48627_ _48718_/A _48627_/B VGND VGND VPWR VPWR _48627_/X sky130_fd_sc_hd__or2_2
+XFILLER_481_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67461_ _67631_/A _67457_/Y _67461_/C VGND VGND VPWR VPWR _67461_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_416_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79447_ _79501_/CLK _42864_/Y VGND VGND VPWR VPWR _79447_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_364_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45839_ _45806_/X _45839_/B _45839_/C VGND VGND VPWR VPWR _45839_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_643_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_604_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64673_ _64387_/A _64673_/B _64673_/C VGND VGND VPWR VPWR _64679_/B sky130_fd_sc_hd__nor3_2
+XFILLER_329_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_114_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76659_ _79201_/CLK _76659_/D VGND VGND VPWR VPWR _70472_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_724_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61885_ _61885_/A _61563_/X VGND VGND VPWR VPWR _61885_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_67_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_526_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_607_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69200_ _69478_/A _69198_/Y _69199_/Y VGND VGND VPWR VPWR _69200_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_36_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_76_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_149_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66412_ _66412_/A _66408_/Y _66412_/C VGND VGND VPWR VPWR _66412_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_444_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63624_ _63333_/A _63622_/Y _63624_/C VGND VGND VPWR VPWR _63624_/Y sky130_fd_sc_hd__nor3_2
+X_39360_ _39358_/X _39359_/Y VGND VGND VPWR VPWR _80146_/D sky130_fd_sc_hd__nand2_2
+XFILLER_699_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_282_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60836_ _60836_/A VGND VGND VPWR VPWR _60837_/B sky130_fd_sc_hd__buf_1
+XFILLER_58_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48558_ _48551_/A VGND VGND VPWR VPWR _48568_/B sky130_fd_sc_hd__buf_1
+XFILLER_247_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67392_ _67392_/A _67392_/B _67392_/C VGND VGND VPWR VPWR _67393_/C sky130_fd_sc_hd__nor3_2
+XFILLER_614_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_224_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79378_ _79575_/CLK _79378_/D VGND VGND VPWR VPWR _65956_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_307_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_3025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38311_ _38305_/A _38305_/B _80408_/Q VGND VGND VPWR VPWR _38312_/B sky130_fd_sc_hd__nand3_2
+XFILLER_620_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69131_ _80235_/Q _68666_/X VGND VGND VPWR VPWR _69132_/C sky130_fd_sc_hd__nor2_2
+XFILLER_283_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_235_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_97_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47509_ _47641_/A _47508_/X VGND VGND VPWR VPWR _47509_/X sky130_fd_sc_hd__or2_2
+XFILLER_624_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66343_ _57245_/A _66007_/X VGND VGND VPWR VPWR _66343_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_282_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78329_ _78332_/CLK _49472_/Y VGND VGND VPWR VPWR _62438_/A sky130_fd_sc_hd__dfxtp_4
+X_39291_ _39271_/X VGND VGND VPWR VPWR _39291_/X sky130_fd_sc_hd__buf_1
+X_63555_ _59304_/X _63555_/B _63555_/C VGND VGND VPWR VPWR _63556_/C sky130_fd_sc_hd__nor3_2
+XFILLER_91_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48489_ _48228_/A _48335_/B VGND VGND VPWR VPWR _48489_/X sky130_fd_sc_hd__or2_2
+X_60767_ _77487_/Q _60146_/B VGND VGND VPWR VPWR _60767_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_500_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_342_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_51_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_323_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50520_ _62817_/A _50519_/X VGND VGND VPWR VPWR _50520_/Y sky130_fd_sc_hd__nand2_2
+X_38242_ _38240_/X _38241_/Y VGND VGND VPWR VPWR _38242_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_381_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62506_ _61877_/X _62504_/Y _62506_/C VGND VGND VPWR VPWR _62506_/Y sky130_fd_sc_hd__nor3_2
+X_81340_ _81338_/CLK _81340_/D VGND VGND VPWR VPWR _66604_/A sky130_fd_sc_hd__dfxtp_4
+X_69062_ _72483_/C _68917_/B VGND VGND VPWR VPWR _69064_/B sky130_fd_sc_hd__nor2_2
+XFILLER_522_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_500_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66274_ _64579_/X VGND VGND VPWR VPWR _66274_/X sky130_fd_sc_hd__buf_1
+XFILLER_578_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63486_ _63333_/A _63484_/Y _63485_/Y VGND VGND VPWR VPWR _63490_/B sky130_fd_sc_hd__nor3_2
+XFILLER_162_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_479_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60698_ _60698_/A _60544_/B VGND VGND VPWR VPWR _60698_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_299_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_545_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68013_ _67676_/A _68011_/Y _68013_/C VGND VGND VPWR VPWR _68014_/C sky130_fd_sc_hd__nor3_2
+XFILLER_36_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_1071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65225_ _65225_/A VGND VGND VPWR VPWR _66884_/A sky130_fd_sc_hd__buf_1
+XFILLER_358_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50451_ _50451_/A _50450_/X VGND VGND VPWR VPWR _50451_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_718_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_342_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62437_ _59668_/A VGND VGND VPWR VPWR _62907_/B sky130_fd_sc_hd__buf_1
+XFILLER_713_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81271_ _81211_/CLK _81271_/D VGND VGND VPWR VPWR _81271_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_176_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_453_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_225_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80222_ _80315_/CLK _39046_/Y VGND VGND VPWR VPWR _80222_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_17_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53170_ _53332_/A VGND VGND VPWR VPWR _54980_/A sky130_fd_sc_hd__buf_1
+X_65156_ _65503_/A _65156_/B _65156_/C VGND VGND VPWR VPWR _65157_/C sky130_fd_sc_hd__nor3_2
+XFILLER_358_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50382_ _78089_/Q _50371_/B VGND VGND VPWR VPWR _50382_/Y sky130_fd_sc_hd__nand2_2
+X_62368_ _62368_/A _62532_/B VGND VGND VPWR VPWR _62368_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_400_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_164_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_581_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_699_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52121_ _51972_/A VGND VGND VPWR VPWR _52122_/B sky130_fd_sc_hd__buf_1
+XFILLER_148_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_590_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64107_ _64141_/A VGND VGND VPWR VPWR _64164_/A sky130_fd_sc_hd__buf_1
+XFILLER_394_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_91 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_392_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61319_ _77762_/Q _61159_/B VGND VGND VPWR VPWR _61321_/B sky130_fd_sc_hd__nor2_2
+XFILLER_618_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80153_ _80121_/CLK _39336_/Y VGND VGND VPWR VPWR _57166_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_236_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65087_ _64119_/A VGND VGND VPWR VPWR _65933_/A sky130_fd_sc_hd__buf_1
+X_69964_ _70388_/A _69964_/B VGND VGND VPWR VPWR _69964_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_353_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62299_ _61672_/X _62295_/Y _62298_/Y VGND VGND VPWR VPWR _62299_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_145_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_416_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_336_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52052_ _52052_/A _52051_/X VGND VGND VPWR VPWR _52052_/Y sky130_fd_sc_hd__nand2_2
+X_68915_ _69478_/A _68913_/Y _68914_/Y VGND VGND VPWR VPWR _68915_/Y sky130_fd_sc_hd__nor3_2
+X_64038_ _59551_/A _64038_/B _64037_/Y VGND VGND VPWR VPWR _64038_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_293_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_176_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_116_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80084_ _80073_/CLK _80084_/D VGND VGND VPWR VPWR _80084_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_305_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_434_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69895_ _69895_/A VGND VGND VPWR VPWR _70385_/A sky130_fd_sc_hd__buf_1
+XFILLER_138_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_63_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_137_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51003_ _51000_/Y _51002_/X VGND VGND VPWR VPWR _77923_/D sky130_fd_sc_hd__nand2_2
+XFILLER_271_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_549_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56860_ _57009_/A _56854_/Y _56860_/C VGND VGND VPWR VPWR _56860_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_8_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68846_ _68846_/A _68677_/B VGND VGND VPWR VPWR _68846_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_628_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_236_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_28_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_656_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55811_ _76769_/Q _55797_/B VGND VGND VPWR VPWR _55811_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_386_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56791_ _65320_/A _56633_/B VGND VGND VPWR VPWR _56792_/C sky130_fd_sc_hd__nor2_2
+X_68777_ _66838_/A VGND VGND VPWR VPWR _68777_/X sky130_fd_sc_hd__buf_1
+XFILLER_312_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_271_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65989_ _65989_/A VGND VGND VPWR VPWR _65993_/A sky130_fd_sc_hd__buf_1
+XFILLER_482_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58530_ _56767_/A VGND VGND VPWR VPWR _58530_/X sky130_fd_sc_hd__buf_1
+XFILLER_463_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_447_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55742_ _55740_/A VGND VGND VPWR VPWR _55761_/B sky130_fd_sc_hd__buf_1
+XFILLER_408_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67728_ _67728_/A _67726_/Y _67728_/C VGND VGND VPWR VPWR _67728_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_347_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52954_ _53010_/A _52960_/B VGND VGND VPWR VPWR _52954_/X sky130_fd_sc_hd__or2_2
+XFILLER_288_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_85_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_41_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80986_ _81106_/CLK _80986_/D VGND VGND VPWR VPWR _66265_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_445_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_463_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_284_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51905_ _51933_/A _51911_/B VGND VGND VPWR VPWR _51905_/X sky130_fd_sc_hd__or2_2
+XFILLER_427_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58461_ _58461_/A VGND VGND VPWR VPWR _58462_/B sky130_fd_sc_hd__buf_1
+X_39627_ _39665_/A VGND VGND VPWR VPWR _39643_/B sky130_fd_sc_hd__buf_1
+XFILLER_626_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67659_ _67659_/A _67655_/Y _67659_/C VGND VGND VPWR VPWR _67659_/Y sky130_fd_sc_hd__nor3_2
+X_55673_ _55671_/X _55673_/B VGND VGND VPWR VPWR _55673_/X sky130_fd_sc_hd__and2_2
+XFILLER_642_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_210_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52885_ _52885_/A _52892_/B VGND VGND VPWR VPWR _52885_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_73_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_234_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_699_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_230_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_547_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57412_ _57412_/A _57412_/B _57411_/Y VGND VGND VPWR VPWR _57417_/B sky130_fd_sc_hd__nor3_2
+XFILLER_464_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_416_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54624_ _54622_/Y _54623_/X VGND VGND VPWR VPWR _76969_/D sky130_fd_sc_hd__nand2_2
+XFILLER_243_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51836_ _51836_/A _51836_/B VGND VGND VPWR VPWR _51836_/Y sky130_fd_sc_hd__nand2_2
+X_39558_ _39179_/X _39558_/B VGND VGND VPWR VPWR _39558_/X sky130_fd_sc_hd__or2_2
+XFILLER_328_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_210_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_625_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58392_ _57993_/A VGND VGND VPWR VPWR _58484_/A sky130_fd_sc_hd__buf_1
+XPHY_19339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70670_ _69891_/X _70669_/Y _69627_/A _70586_/X VGND VGND VPWR VPWR _70670_/X sky130_fd_sc_hd__o22a_4
+XANTENNA_105 _75827_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_505_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_116 _76073_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_96_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_651_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_127 _39146_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_208_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_242_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_705_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38509_ _38518_/A _38509_/B _68210_/A VGND VGND VPWR VPWR _38510_/B sky130_fd_sc_hd__nand3_2
+XFILLER_497_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57343_ _80315_/Q _57264_/X VGND VGND VPWR VPWR _57343_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_74_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_138 _39174_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_247_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69329_ _81037_/Q _69329_/B VGND VGND VPWR VPWR _69330_/C sky130_fd_sc_hd__nor2_2
+XFILLER_445_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_149_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54555_ _76988_/Q _54569_/B VGND VGND VPWR VPWR _54555_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_183_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_149 _39193_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51767_ _47887_/B _51795_/B VGND VGND VPWR VPWR _51770_/A sky130_fd_sc_hd__or2_2
+XFILLER_324_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_549_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39489_ _39489_/A _39489_/B VGND VGND VPWR VPWR _39489_/Y sky130_fd_sc_hd__nand2_2
+XPHY_18649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_54_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_109_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53506_ _53503_/Y _53506_/B VGND VGND VPWR VPWR _77268_/D sky130_fd_sc_hd__nand2_2
+X_41520_ _41517_/A _41517_/B _79597_/Q VGND VGND VPWR VPWR _41521_/B sky130_fd_sc_hd__nand3_2
+XPHY_36039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72340_ _74663_/A VGND VGND VPWR VPWR _73265_/A sky130_fd_sc_hd__buf_1
+XFILLER_39_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_577_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_214_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50718_ _50715_/Y _50718_/B VGND VGND VPWR VPWR _78000_/D sky130_fd_sc_hd__nand2_2
+XFILLER_677_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57274_ _81306_/Q _57184_/B VGND VGND VPWR VPWR _57274_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_35_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_144_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54486_ _54486_/A _54463_/A VGND VGND VPWR VPWR _54486_/X sky130_fd_sc_hd__or2_2
+XFILLER_121_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_198_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51698_ _51584_/A _51685_/X VGND VGND VPWR VPWR _51698_/X sky130_fd_sc_hd__or2_2
+XPHY_3579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_108 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_538_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59013_ _59000_/X VGND VGND VPWR VPWR _60590_/A sky130_fd_sc_hd__buf_1
+XFILLER_241_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_41_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56225_ _58616_/A _56220_/Y _56225_/C VGND VGND VPWR VPWR _56238_/B sky130_fd_sc_hd__nor3_2
+XFILLER_592_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_475_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_658_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41451_ _41449_/X _41451_/B VGND VGND VPWR VPWR _79616_/D sky130_fd_sc_hd__nand2_2
+XPHY_34604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53437_ _53437_/A _53437_/B VGND VGND VPWR VPWR _53447_/A sky130_fd_sc_hd__or2_2
+XPHY_35349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_592_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72271_ _75525_/A VGND VGND VPWR VPWR _74764_/A sky130_fd_sc_hd__buf_1
+XPHY_2867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50649_ _50649_/A _50648_/X VGND VGND VPWR VPWR _50649_/X sky130_fd_sc_hd__or2_2
+XPHY_34615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81469_ _81468_/CLK _72258_/Y VGND VGND VPWR VPWR _81469_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_161_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74010_ _74008_/X _74010_/B VGND VGND VPWR VPWR _74010_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_397_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_161_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_204_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40402_ _40415_/A _40415_/B _68648_/A VGND VGND VPWR VPWR _40403_/B sky130_fd_sc_hd__nand3_2
+XFILLER_529_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71222_ _71188_/A _71188_/B _68785_/A VGND VGND VPWR VPWR _71223_/B sky130_fd_sc_hd__nand3_2
+XFILLER_329_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_128_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_690_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44170_ _44206_/A _44167_/Y _44170_/C VGND VGND VPWR VPWR _44171_/C sky130_fd_sc_hd__nor3_2
+XFILLER_573_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56156_ _56084_/A VGND VGND VPWR VPWR _56171_/A sky130_fd_sc_hd__buf_1
+XFILLER_474_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53368_ _53454_/A _53371_/B VGND VGND VPWR VPWR _53369_/B sky130_fd_sc_hd__or2_2
+X_41382_ _41382_/A _41382_/B VGND VGND VPWR VPWR _41382_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_319_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43121_ _43117_/X _43120_/Y VGND VGND VPWR VPWR _43207_/A sky130_fd_sc_hd__nor2_2
+XFILLER_174_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55107_ _70285_/X _55107_/B VGND VGND VPWR VPWR _55108_/B sky130_fd_sc_hd__or2_2
+XFILLER_671_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40333_ _40331_/X _40332_/Y VGND VGND VPWR VPWR _79896_/D sky130_fd_sc_hd__nand2_2
+XFILLER_276_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_13_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71153_ _71240_/A VGND VGND VPWR VPWR _71153_/X sky130_fd_sc_hd__buf_1
+X_52319_ _52319_/A _52328_/B VGND VGND VPWR VPWR _52319_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_633_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56087_ _56087_/A _56087_/B VGND VGND VPWR VPWR _56088_/B sky130_fd_sc_hd__nor2_2
+XPHY_23435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53299_ _53213_/A _53293_/B VGND VGND VPWR VPWR _53299_/X sky130_fd_sc_hd__or2_2
+XFILLER_108_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_644_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_358_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_108_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_571_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_100_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_178_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70104_ _70104_/A VGND VGND VPWR VPWR _70164_/A sky130_fd_sc_hd__buf_1
+XPHY_22723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43052_ _43037_/B VGND VGND VPWR VPWR _43053_/B sky130_fd_sc_hd__inv_8
+X_59915_ _59759_/A _59913_/Y _59915_/C VGND VGND VPWR VPWR _59919_/B sky130_fd_sc_hd__nor3_2
+X_55038_ _76855_/Q _55022_/X VGND VGND VPWR VPWR _55038_/Y sky130_fd_sc_hd__nand2_2
+XPHY_12200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40264_ _40278_/A _40251_/X _58624_/A VGND VGND VPWR VPWR _40265_/B sky130_fd_sc_hd__nand3_2
+XFILLER_5_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75961_ _75998_/A VGND VGND VPWR VPWR _75961_/X sky130_fd_sc_hd__buf_1
+XPHY_23479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71084_ _69935_/Y VGND VGND VPWR VPWR _71089_/A sky130_fd_sc_hd__buf_1
+XFILLER_434_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_512_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_85_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42003_ _42030_/A _42003_/B _42002_/Y VGND VGND VPWR VPWR _42003_/Y sky130_fd_sc_hd__nor3_2
+XPHY_12233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77700_ _77193_/CLK _77700_/D VGND VGND VPWR VPWR _77700_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_513_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_123_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74912_ _74910_/X _74911_/Y VGND VGND VPWR VPWR _80823_/D sky130_fd_sc_hd__nand2_2
+XFILLER_352_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70035_ _70477_/A VGND VGND VPWR VPWR _70522_/A sky130_fd_sc_hd__buf_1
+XFILLER_500_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47860_ _78740_/Q _47860_/B VGND VGND VPWR VPWR _47860_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59846_ _59846_/A _59842_/Y _59846_/C VGND VGND VPWR VPWR _59854_/B sky130_fd_sc_hd__nor3_2
+XFILLER_172_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78680_ _77628_/CLK _48091_/Y VGND VGND VPWR VPWR _78680_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_11510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40195_ _40202_/A _40202_/B _79933_/Q VGND VGND VPWR VPWR _40196_/B sky130_fd_sc_hd__nand3_2
+X_75892_ _75889_/A _75889_/B _80574_/Q VGND VGND VPWR VPWR _75892_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_107_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_510_3074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_686_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_724_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46811_ _46703_/B VGND VGND VPWR VPWR _46812_/B sky130_fd_sc_hd__inv_8
+XPHY_12288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77631_ _77639_/CLK _52114_/Y VGND VGND VPWR VPWR _77631_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_725_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74843_ _75203_/A _74835_/X VGND VGND VPWR VPWR _74843_/X sky130_fd_sc_hd__or2_2
+XFILLER_489_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47791_ _64035_/A _47791_/B VGND VGND VPWR VPWR _47791_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_673_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59777_ _62529_/A VGND VGND VPWR VPWR _60276_/A sky130_fd_sc_hd__buf_1
+XFILLER_411_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_352_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56989_ _79991_/Q _57072_/B VGND VGND VPWR VPWR _56990_/C sky130_fd_sc_hd__nor2_2
+XFILLER_250_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_669_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49530_ _49539_/A VGND VGND VPWR VPWR _49530_/X sky130_fd_sc_hd__buf_1
+XFILLER_665_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46742_ _46725_/X VGND VGND VPWR VPWR _46747_/A sky130_fd_sc_hd__inv_8
+XPHY_10853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58728_ _58435_/X _58724_/Y _58727_/Y VGND VGND VPWR VPWR _58728_/Y sky130_fd_sc_hd__nor3_2
+X_77562_ _77558_/CLK _77562_/D VGND VGND VPWR VPWR _77562_/Q sky130_fd_sc_hd__dfxtp_4
+X_43954_ _43954_/A VGND VGND VPWR VPWR _43955_/B sky130_fd_sc_hd__inv_8
+XFILLER_81_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74774_ _74774_/A VGND VGND VPWR VPWR _75387_/A sky130_fd_sc_hd__buf_1
+XFILLER_103_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_71986_ _71986_/A _42475_/A VGND VGND VPWR VPWR _71993_/B sky130_fd_sc_hd__and2_2
+XFILLER_647_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79301_ _79290_/CLK _79301_/D VGND VGND VPWR VPWR _43731_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_98_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_599_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76513_ VGND VGND VPWR VPWR _76513_/HI la_data_out[5] sky130_fd_sc_hd__conb_1
+XFILLER_506_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42905_ _42959_/A VGND VGND VPWR VPWR _42920_/B sky130_fd_sc_hd__buf_1
+XFILLER_4_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73725_ _73652_/A VGND VGND VPWR VPWR _73735_/B sky130_fd_sc_hd__buf_1
+XFILLER_189_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49461_ _49431_/A _49482_/B VGND VGND VPWR VPWR _49462_/B sky130_fd_sc_hd__or2_2
+XFILLER_707_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46673_ io_out[24] _46659_/Y VGND VGND VPWR VPWR _46677_/B sky130_fd_sc_hd__nor2_2
+X_70937_ _70931_/Y _70937_/B VGND VGND VPWR VPWR _70938_/B sky130_fd_sc_hd__nor2_2
+XFILLER_642_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58659_ _58659_/A _58793_/B VGND VGND VPWR VPWR _58659_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_4_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77493_ _77434_/CLK _77493_/D VGND VGND VPWR VPWR _60466_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_65_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_682_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43885_ _43860_/X _43883_/X _43885_/C VGND VGND VPWR VPWR _43885_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_49_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_364_0_u_core.clock clkbuf_9_365_0_u_core.clock/A VGND VGND VPWR VPWR _77719_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_662_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_326_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48412_ _48412_/A _48411_/X VGND VGND VPWR VPWR _78600_/D sky130_fd_sc_hd__nand2_2
+XPHY_6172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_111_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79232_ _79238_/CLK _79232_/D VGND VGND VPWR VPWR _44433_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_428_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_428_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_59_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45624_ _45618_/A _45624_/B _45624_/C VGND VGND VPWR VPWR _79119_/D sky130_fd_sc_hd__nor3_2
+XFILLER_252_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_640_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76444_ VGND VGND VPWR VPWR _76444_/HI io_oeb[4] sky130_fd_sc_hd__conb_1
+X_42836_ _46228_/B _42835_/Y VGND VGND VPWR VPWR _42837_/C sky130_fd_sc_hd__nor2_2
+XFILLER_111_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61670_ _61670_/A _61670_/B _61670_/C VGND VGND VPWR VPWR _61670_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_57_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49392_ _49392_/A _49391_/X VGND VGND VPWR VPWR _78351_/D sky130_fd_sc_hd__nand2_2
+X_73656_ _73656_/A _73656_/B _81128_/Q VGND VGND VPWR VPWR _73656_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_292_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70868_ _71325_/B _70451_/A _71325_/A VGND VGND VPWR VPWR _70869_/D sky130_fd_sc_hd__nor3_2
+XFILLER_149_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_695_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_17_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_144_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_379_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_621_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60621_ _60765_/A _60619_/Y _60621_/C VGND VGND VPWR VPWR _60621_/Y sky130_fd_sc_hd__nor3_2
+X_48343_ _48401_/A _48340_/B VGND VGND VPWR VPWR _48344_/B sky130_fd_sc_hd__or2_2
+XPHY_5482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72607_ _72603_/X _72607_/B VGND VGND VPWR VPWR _72607_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79163_ _79163_/CLK _45301_/Y VGND VGND VPWR VPWR _69677_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_248_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45555_ _45552_/X _45553_/Y _45554_/Y VGND VGND VPWR VPWR _45555_/Y sky130_fd_sc_hd__nor3_2
+XPHY_37230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76375_ _76389_/A _76382_/B _80455_/Q VGND VGND VPWR VPWR _76375_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_304_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_166_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42767_ _42449_/A VGND VGND VPWR VPWR _42777_/D sky130_fd_sc_hd__buf_1
+X_73587_ _73587_/A _73586_/Y VGND VGND VPWR VPWR _81146_/D sky130_fd_sc_hd__nand2_2
+XFILLER_18_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_206_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70799_ _70799_/A _70798_/X VGND VGND VPWR VPWR _70799_/X sky130_fd_sc_hd__and2_2
+XFILLER_92_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_703_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78114_ _78114_/CLK _78114_/D VGND VGND VPWR VPWR _78114_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_33_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_183_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44506_ _44506_/A _44538_/B VGND VGND VPWR VPWR _44511_/B sky130_fd_sc_hd__nor2_2
+X_75326_ _75326_/A VGND VGND VPWR VPWR _75363_/A sky130_fd_sc_hd__buf_1
+X_63340_ _78663_/Q _63180_/B VGND VGND VPWR VPWR _63340_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_339_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41718_ _41704_/Y _41718_/B _41718_/C VGND VGND VPWR VPWR _41719_/C sky130_fd_sc_hd__or3_2
+XFILLER_105_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48274_ _78632_/Q _48259_/X VGND VGND VPWR VPWR _48274_/Y sky130_fd_sc_hd__nand2_2
+X_72538_ _72659_/A _72549_/B VGND VGND VPWR VPWR _72538_/X sky130_fd_sc_hd__or2_2
+X_60552_ _77797_/Q _60388_/B VGND VGND VPWR VPWR _60553_/C sky130_fd_sc_hd__nor2_2
+XFILLER_324_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79094_ _76800_/CLK _79094_/D VGND VGND VPWR VPWR _45807_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_229_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_441_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45486_ _45476_/A _45274_/B _45476_/C VGND VGND VPWR VPWR _45486_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42698_ _42654_/X _42697_/X _70557_/Y _42480_/X VGND VGND VPWR VPWR _42698_/X sky130_fd_sc_hd__o22a_4
+XFILLER_422_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_591_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_695_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_53_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_20_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47225_ _46453_/B _47100_/B VGND VGND VPWR VPWR _47226_/B sky130_fd_sc_hd__nor2_2
+XFILLER_610_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78045_ _78046_/CLK _78045_/D VGND VGND VPWR VPWR _61724_/A sky130_fd_sc_hd__dfxtp_4
+X_44437_ _72862_/A _44437_/B VGND VGND VPWR VPWR _44437_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_538_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63271_ _59785_/A VGND VGND VPWR VPWR _63705_/B sky130_fd_sc_hd__buf_1
+X_75257_ _75247_/A _75247_/B _80731_/Q VGND VGND VPWR VPWR _75257_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_158_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41649_ _45974_/A _41643_/X _41645_/X _41649_/D VGND VGND VPWR VPWR _41649_/X sky130_fd_sc_hd__or4_2
+XFILLER_140_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60483_ _77597_/Q _60322_/B VGND VGND VPWR VPWR _60485_/B sky130_fd_sc_hd__nor2_2
+X_72469_ _72413_/X VGND VGND VPWR VPWR _72472_/A sky130_fd_sc_hd__buf_1
+XFILLER_302_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_179_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65010_ _38848_/C _64839_/B VGND VGND VPWR VPWR _65010_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_654_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62222_ _61911_/A _62222_/B _62221_/Y VGND VGND VPWR VPWR _62223_/C sky130_fd_sc_hd__nor3_2
+XFILLER_204_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74208_ _74208_/A _74207_/Y VGND VGND VPWR VPWR _80994_/D sky130_fd_sc_hd__nand2_2
+XFILLER_493_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47156_ _47156_/A _47165_/B _47156_/C VGND VGND VPWR VPWR _47156_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_70_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_524_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44368_ _44347_/Y _44532_/A VGND VGND VPWR VPWR _44368_/X sky130_fd_sc_hd__or2_2
+X_75188_ _75183_/X _75188_/B VGND VGND VPWR VPWR _75188_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_536_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_302_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_257_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_508_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_379_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46107_ _43064_/Y _43075_/B VGND VGND VPWR VPWR _46107_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_524_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_196_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43319_ _43333_/A _43319_/B _79381_/Q VGND VGND VPWR VPWR _43319_/Y sky130_fd_sc_hd__nand3_2
+X_62153_ _62153_/A _61997_/X VGND VGND VPWR VPWR _62153_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_122_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74139_ _72011_/A VGND VGND VPWR VPWR _74806_/A sky130_fd_sc_hd__buf_1
+XFILLER_710_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47087_ _70804_/X _47072_/B VGND VGND VPWR VPWR _47088_/C sky130_fd_sc_hd__and2_2
+XFILLER_220_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_337_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44299_ _72967_/A _44267_/B VGND VGND VPWR VPWR _44300_/C sky130_fd_sc_hd__nor2_2
+XFILLER_724_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79996_ _80123_/CLK _79996_/D VGND VGND VPWR VPWR _79996_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_65_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_337_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_86_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_337_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61104_ _53093_/A _60783_/B VGND VGND VPWR VPWR _61105_/C sky130_fd_sc_hd__nor2_2
+XPHY_24692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_533_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46038_ _72131_/A _46038_/B VGND VGND VPWR VPWR _46038_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_550_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62084_ _62084_/A _61458_/B VGND VGND VPWR VPWR _62084_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_12_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66961_ _66464_/X _66961_/B _66961_/C VGND VGND VPWR VPWR _66965_/B sky130_fd_sc_hd__nor3_2
+XPHY_14180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78947_ _78951_/CLK _78947_/D VGND VGND VPWR VPWR _43179_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_103_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_255_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_515_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68700_ _67877_/A VGND VGND VPWR VPWR _68717_/A sky130_fd_sc_hd__buf_1
+XFILLER_532_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65912_ _66412_/A _65908_/Y _65911_/Y VGND VGND VPWR VPWR _65912_/Y sky130_fd_sc_hd__nor3_2
+X_61035_ _77392_/Q _60566_/B VGND VGND VPWR VPWR _61036_/C sky130_fd_sc_hd__nor2_2
+XFILLER_315_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_273_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38860_ _38875_/A VGND VGND VPWR VPWR _38979_/B sky130_fd_sc_hd__buf_1
+XFILLER_370_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69680_ _70466_/B VGND VGND VPWR VPWR _70058_/B sky130_fd_sc_hd__inv_8
+XFILLER_585_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66892_ _67376_/A _66892_/B _66891_/Y VGND VGND VPWR VPWR _66892_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_503_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78878_ _78890_/CLK _78878_/D VGND VGND VPWR VPWR _46453_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_488_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_116_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68631_ _68801_/A _68630_/Y VGND VGND VPWR VPWR _68631_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_331_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65843_ _64370_/X VGND VGND VPWR VPWR _65843_/X sky130_fd_sc_hd__buf_1
+XFILLER_253_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77829_ _76893_/CLK _51365_/Y VGND VGND VPWR VPWR _77829_/Q sky130_fd_sc_hd__dfxtp_4
+X_38791_ _38805_/A _38798_/B _80290_/Q VGND VGND VPWR VPWR _38791_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_485_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_589_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47989_ _47976_/X VGND VGND VPWR VPWR _48006_/B sky130_fd_sc_hd__buf_1
+XFILLER_433_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49728_ _49728_/A _49728_/B VGND VGND VPWR VPWR _49728_/Y sky130_fd_sc_hd__nand2_2
+X_80840_ _80725_/CLK _80840_/D VGND VGND VPWR VPWR _68532_/A sky130_fd_sc_hd__dfxtp_4
+X_68562_ _81128_/Q _68562_/B VGND VGND VPWR VPWR _68564_/B sky130_fd_sc_hd__nor2_2
+XFILLER_563_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_5_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65774_ _81271_/Q _65449_/B VGND VGND VPWR VPWR _65774_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_25_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62986_ _62986_/A _62986_/B _62985_/Y VGND VGND VPWR VPWR _62994_/B sky130_fd_sc_hd__nor3_2
+XFILLER_665_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_288_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_267_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_267_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67513_ _67026_/A _67471_/Y _67513_/C VGND VGND VPWR VPWR _67514_/C sky130_fd_sc_hd__nor3_2
+XFILLER_95_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64725_ _65057_/A _64725_/B _64724_/Y VGND VGND VPWR VPWR _64726_/C sky130_fd_sc_hd__nor3_2
+XFILLER_267_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61937_ _61937_/A _61936_/Y VGND VGND VPWR VPWR _61938_/B sky130_fd_sc_hd__nor2_2
+X_49659_ _62419_/A _49644_/X VGND VGND VPWR VPWR _49659_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_110_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80771_ _80725_/CLK _75107_/Y VGND VGND VPWR VPWR _67713_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_563_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68493_ _66846_/A VGND VGND VPWR VPWR _68494_/B sky130_fd_sc_hd__buf_1
+XFILLER_110_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_151_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_481_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_55_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_580_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_650_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39412_ _39147_/A _39422_/B VGND VGND VPWR VPWR _39414_/A sky130_fd_sc_hd__or2_2
+XFILLER_446_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_721_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67444_ _68109_/A _67440_/Y _67443_/Y VGND VGND VPWR VPWR _67452_/B sky130_fd_sc_hd__nor3_2
+XFILLER_364_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_3071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52670_ _54581_/A _52670_/B VGND VGND VPWR VPWR _52696_/B sky130_fd_sc_hd__or2_2
+XFILLER_583_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64656_ _64656_/A _64235_/B VGND VGND VPWR VPWR _64656_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_346_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61868_ _61402_/A _61866_/Y _61868_/C VGND VGND VPWR VPWR _61868_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_271_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_227_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_460_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_633_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_75_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_224_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_425_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51621_ _51644_/B VGND VGND VPWR VPWR _51643_/B sky130_fd_sc_hd__buf_1
+XFILLER_184_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63607_ _78721_/Q _63468_/B VGND VGND VPWR VPWR _63609_/B sky130_fd_sc_hd__nor2_2
+X_39343_ _39343_/A _39343_/B VGND VGND VPWR VPWR _39343_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_407_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_558_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60819_ _61600_/A VGND VGND VPWR VPWR _60820_/B sky130_fd_sc_hd__buf_1
+XFILLER_286_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67375_ _67375_/A _67372_/Y _67374_/Y VGND VGND VPWR VPWR _67375_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_283_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64587_ _68364_/A VGND VGND VPWR VPWR _67837_/A sky130_fd_sc_hd__buf_1
+XFILLER_307_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61799_ _61484_/A _61799_/B _61798_/Y VGND VGND VPWR VPWR _61805_/B sky130_fd_sc_hd__nor3_2
+XFILLER_621_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_586_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69114_ _69254_/A _69112_/Y _69113_/Y VGND VGND VPWR VPWR _69114_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_307_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_3107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54340_ _54340_/A _54340_/B VGND VGND VPWR VPWR _54366_/B sky130_fd_sc_hd__or2_2
+X_66326_ _80250_/Q _66326_/B VGND VGND VPWR VPWR _66328_/B sky130_fd_sc_hd__nor2_2
+XPHY_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39274_ _39274_/A _39274_/B VGND VGND VPWR VPWR _39274_/Y sky130_fd_sc_hd__nand2_2
+X_51552_ _51522_/A _51526_/X VGND VGND VPWR VPWR _51552_/X sky130_fd_sc_hd__or2_2
+XPHY_2119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63538_ _63538_/A _63537_/Y VGND VGND VPWR VPWR _63539_/B sky130_fd_sc_hd__nor2_2
+XFILLER_604_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_298_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_342_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_479_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_459_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_659_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38225_ _38238_/A VGND VGND VPWR VPWR _38225_/X sky130_fd_sc_hd__buf_1
+X_50503_ _50414_/X _50499_/X VGND VGND VPWR VPWR _50503_/X sky130_fd_sc_hd__or2_2
+XFILLER_475_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_574_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69045_ _69323_/A _69043_/Y _69045_/C VGND VGND VPWR VPWR _69046_/C sky130_fd_sc_hd__nor3_2
+XFILLER_496_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81323_ _81326_/CLK _81323_/D VGND VGND VPWR VPWR _72849_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_52_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_225_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_676_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_637_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_448_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54271_ _77064_/Q _54271_/B VGND VGND VPWR VPWR _54271_/Y sky130_fd_sc_hd__nand2_2
+X_66257_ _66257_/A _65603_/B VGND VGND VPWR VPWR _66257_/Y sky130_fd_sc_hd__nor2_2
+X_51483_ _51483_/A _51482_/X VGND VGND VPWR VPWR _51483_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_91_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_676_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63469_ _63469_/A _63149_/B VGND VGND VPWR VPWR _63469_/Y sky130_fd_sc_hd__nor2_2
+XPHY_15809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_53_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_260_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_338_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56010_ _55974_/B VGND VGND VPWR VPWR _56010_/X sky130_fd_sc_hd__buf_1
+XFILLER_143_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_140_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53222_ _53247_/B VGND VGND VPWR VPWR _53237_/B sky130_fd_sc_hd__buf_1
+X_65208_ _43708_/Y _65381_/B VGND VGND VPWR VPWR _65211_/B sky130_fd_sc_hd__nor2_2
+XFILLER_394_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50434_ _50433_/X VGND VGND VPWR VPWR _50435_/B sky130_fd_sc_hd__buf_1
+XFILLER_492_3077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_81254_ _81255_/CLK _81254_/D VGND VGND VPWR VPWR _68242_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_457_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_260_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_715_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_34_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_535_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66188_ _57166_/A _65854_/B VGND VGND VPWR VPWR _66188_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_472_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_355_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_176_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_29_0_u_core.clock clkbuf_5_14_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_59_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_453_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_716_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80205_ _80239_/CLK _80205_/D VGND VGND VPWR VPWR _39110_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_299_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53153_ _53179_/B _53200_/A VGND VGND VPWR VPWR _53155_/A sky130_fd_sc_hd__or2_2
+XFILLER_320_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65139_ _65139_/A _65312_/B VGND VGND VPWR VPWR _65139_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_418_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50365_ _50281_/A _50340_/A VGND VGND VPWR VPWR _50366_/B sky130_fd_sc_hd__or2_2
+XFILLER_273_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81185_ _81190_/CLK _81185_/D VGND VGND VPWR VPWR _73437_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_703_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52104_ _52048_/A _52113_/B VGND VGND VPWR VPWR _52104_/X sky130_fd_sc_hd__or2_2
+XPHY_22019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_88_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80136_ _80178_/CLK _80136_/D VGND VGND VPWR VPWR _80136_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_353_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57961_ _57879_/A _57961_/B _57960_/Y VGND VGND VPWR VPWR _57961_/Y sky130_fd_sc_hd__nor3_2
+XPHY_31819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53084_ _53056_/A _53105_/B VGND VGND VPWR VPWR _53085_/B sky130_fd_sc_hd__or2_2
+X_69947_ _69624_/C _69752_/X _70667_/C VGND VGND VPWR VPWR _70150_/A sky130_fd_sc_hd__and3_2
+XFILLER_336_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_615_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50296_ _62349_/A _50284_/X VGND VGND VPWR VPWR _50298_/A sky130_fd_sc_hd__nand2_2
+XFILLER_552_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_238_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59700_ _53694_/A _59375_/B VGND VGND VPWR VPWR _59701_/C sky130_fd_sc_hd__nor2_2
+XPHY_21318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_145_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52035_ _52035_/A _52005_/A VGND VGND VPWR VPWR _52035_/X sky130_fd_sc_hd__or2_2
+X_56912_ _57150_/A _56910_/Y _56912_/C VGND VGND VPWR VPWR _56912_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_314_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_451_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80067_ _80099_/CLK _80067_/D VGND VGND VPWR VPWR _67830_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_314_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_533_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57892_ _57575_/A _57892_/B _57892_/C VGND VGND VPWR VPWR _57896_/B sky130_fd_sc_hd__nor3_2
+XFILLER_434_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_47_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69878_ _69862_/B VGND VGND VPWR VPWR _69879_/A sky130_fd_sc_hd__inv_8
+XFILLER_336_1479 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_349_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59631_ _63177_/A VGND VGND VPWR VPWR _59632_/B sky130_fd_sc_hd__buf_1
+XFILLER_232_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56843_ _56295_/A VGND VGND VPWR VPWR _57643_/A sky130_fd_sc_hd__buf_1
+XFILLER_8_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68829_ _68829_/A _68823_/Y _68829_/C VGND VGND VPWR VPWR _68838_/B sky130_fd_sc_hd__nor3_2
+XPHY_20639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38989_ _38986_/A _38998_/B _38989_/C VGND VGND VPWR VPWR _38990_/B sky130_fd_sc_hd__nand3_2
+XPHY_10127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_82_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59562_ _59405_/A _59560_/Y _59562_/C VGND VGND VPWR VPWR _59566_/B sky130_fd_sc_hd__nor3_2
+X_71840_ _71840_/A _71840_/B VGND VGND VPWR VPWR _71840_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_210_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56774_ _56774_/A _56774_/B _56774_/C VGND VGND VPWR VPWR _56775_/B sky130_fd_sc_hd__nor3_2
+XFILLER_486_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_150_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_643_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53986_ _53976_/X VGND VGND VPWR VPWR _53987_/B sky130_fd_sc_hd__buf_1
+XFILLER_267_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_726_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_609_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_274_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58513_ _58264_/X _58513_/B _58512_/Y VGND VGND VPWR VPWR _58523_/A sky130_fd_sc_hd__nor3_2
+XFILLER_5_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_349_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55725_ _55707_/A _55723_/Y _55725_/C VGND VGND VPWR VPWR _55725_/X sky130_fd_sc_hd__or3_2
+XFILLER_584_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_62_0_u_core.clock clkbuf_7_63_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_62_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_407_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40951_ _40895_/A VGND VGND VPWR VPWR _40963_/B sky130_fd_sc_hd__buf_1
+XPHY_29604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52937_ _51436_/A VGND VGND VPWR VPWR _52994_/A sky130_fd_sc_hd__buf_1
+XFILLER_1_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71771_ _71699_/A _42962_/A VGND VGND VPWR VPWR _71771_/X sky130_fd_sc_hd__or2_2
+X_59493_ _59493_/A _59492_/Y VGND VGND VPWR VPWR _59494_/B sky130_fd_sc_hd__nor2_2
+XFILLER_609_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_41_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80969_ _80969_/CLK _80969_/D VGND VGND VPWR VPWR _80969_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_189_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_726_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_707_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_18_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_98_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_703_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73510_ _73509_/X VGND VGND VPWR VPWR _73523_/B sky130_fd_sc_hd__buf_1
+XFILLER_464_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58444_ _58660_/A _58444_/B _58444_/C VGND VGND VPWR VPWR _58444_/Y sky130_fd_sc_hd__nor3_2
+X_70722_ _70045_/B _70721_/X VGND VGND VPWR VPWR _70726_/A sky130_fd_sc_hd__or2_2
+XFILLER_562_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43670_ _43943_/A VGND VGND VPWR VPWR _43670_/X sky130_fd_sc_hd__buf_1
+XFILLER_464_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55656_ _45358_/Y _55522_/X VGND VGND VPWR VPWR _55658_/B sky130_fd_sc_hd__nor2_2
+XFILLER_147_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74490_ _74399_/A VGND VGND VPWR VPWR _74504_/B sky130_fd_sc_hd__buf_1
+XPHY_19125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_527_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52868_ _52868_/A _52867_/X VGND VGND VPWR VPWR _52868_/Y sky130_fd_sc_hd__nand2_2
+X_40882_ _40882_/A VGND VGND VPWR VPWR _40888_/B sky130_fd_sc_hd__buf_1
+XFILLER_612_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_622_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54607_ _54664_/A _54607_/B VGND VGND VPWR VPWR _54608_/B sky130_fd_sc_hd__or2_2
+XFILLER_360_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42621_ _42586_/X _70395_/Y _70393_/X _42587_/X VGND VGND VPWR VPWR _42621_/X sky130_fd_sc_hd__o22a_4
+XFILLER_677_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73441_ _73441_/A _73432_/B _81184_/Q VGND VGND VPWR VPWR _73443_/A sky130_fd_sc_hd__nand3_2
+XPHY_28947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51819_ _51817_/Y _51818_/X VGND VGND VPWR VPWR _77710_/D sky130_fd_sc_hd__nand2_2
+XPHY_19169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70653_ _70676_/A _70625_/B VGND VGND VPWR VPWR _70654_/B sky130_fd_sc_hd__nor2_2
+X_58375_ _80296_/Q _58065_/B VGND VGND VPWR VPWR _58376_/C sky130_fd_sc_hd__nor2_2
+XFILLER_245_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_720_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55587_ _55587_/A _55587_/B VGND VGND VPWR VPWR _55714_/B sky130_fd_sc_hd__nand2_2
+XPHY_18435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52799_ _52797_/Y _52798_/X VGND VGND VPWR VPWR _77451_/D sky130_fd_sc_hd__nand2_2
+XFILLER_249_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_76_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_214_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45340_ _45366_/A _45340_/B VGND VGND VPWR VPWR _45340_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_243_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57326_ _57326_/A _57325_/Y VGND VGND VPWR VPWR _57326_/Y sky130_fd_sc_hd__nor2_2
+XPHY_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_128_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76160_ _76136_/A VGND VGND VPWR VPWR _76161_/B sky130_fd_sc_hd__buf_1
+XPHY_17723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42552_ _68341_/A _42552_/B VGND VGND VPWR VPWR _42560_/A sky130_fd_sc_hd__nor2_2
+X_54538_ _54538_/A VGND VGND VPWR VPWR _54655_/A sky130_fd_sc_hd__buf_1
+XFILLER_540_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73372_ _75049_/A _73382_/B VGND VGND VPWR VPWR _73372_/X sky130_fd_sc_hd__or2_2
+XFILLER_25_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_109_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70584_ _69725_/B _69660_/A VGND VGND VPWR VPWR _70585_/A sky130_fd_sc_hd__nor2_2
+XFILLER_576_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_73 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_325_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_321_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_639_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_677_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_509_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75111_ _75204_/A VGND VGND VPWR VPWR _75111_/X sky130_fd_sc_hd__buf_1
+X_41503_ _41503_/A _41503_/B _56556_/A VGND VGND VPWR VPWR _41503_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_690_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72323_ _72967_/A VGND VGND VPWR VPWR _72820_/A sky130_fd_sc_hd__buf_1
+XPHY_95 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_401_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_677_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57257_ _57257_/A VGND VGND VPWR VPWR _57569_/B sky130_fd_sc_hd__buf_1
+XFILLER_35_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45271_ _45323_/A _45270_/Y VGND VGND VPWR VPWR _45271_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76091_ _76097_/A _76091_/B _68874_/A VGND VGND VPWR VPWR _76091_/Y sky130_fd_sc_hd__nand3_2
+XPHY_2653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54469_ _59954_/A _54476_/B VGND VGND VPWR VPWR _54469_/Y sky130_fd_sc_hd__nand2_2
+XPHY_17778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42483_ _45546_/A VGND VGND VPWR VPWR _69281_/A sky130_fd_sc_hd__inv_8
+XFILLER_521_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_15_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_306_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47010_ _47001_/A _47010_/B _47010_/C VGND VGND VPWR VPWR _47011_/B sky130_fd_sc_hd__or3_2
+XFILLER_221_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56208_ _58470_/A VGND VGND VPWR VPWR _58821_/A sky130_fd_sc_hd__buf_1
+X_44222_ _44268_/A _44220_/Y _44222_/C VGND VGND VPWR VPWR _44223_/C sky130_fd_sc_hd__nor3_2
+XPHY_2686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75042_ _75051_/A _75038_/B _65233_/A VGND VGND VPWR VPWR _75044_/A sky130_fd_sc_hd__nand3_2
+X_41434_ _41619_/A VGND VGND VPWR VPWR _41506_/A sky130_fd_sc_hd__buf_1
+XPHY_34434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_180_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72254_ _74079_/A VGND VGND VPWR VPWR _72913_/A sky130_fd_sc_hd__buf_1
+XPHY_2697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57188_ _81465_/Q _57031_/B VGND VGND VPWR VPWR _57189_/C sky130_fd_sc_hd__nor2_2
+XFILLER_399_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_70_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71205_ _71205_/A VGND VGND VPWR VPWR _71205_/X sky130_fd_sc_hd__buf_1
+XFILLER_690_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44153_ _72885_/A _44095_/X VGND VGND VPWR VPWR _44153_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_259_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56139_ _56131_/A _56139_/B VGND VGND VPWR VPWR _56140_/C sky130_fd_sc_hd__nor2_2
+X_79850_ _80010_/CLK _40540_/Y VGND VGND VPWR VPWR _68976_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_372_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_154_0_u_core.clock clkbuf_8_77_0_u_core.clock/X VGND VGND VPWR VPWR _79298_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_41365_ _41365_/A _41364_/Y VGND VGND VPWR VPWR _79639_/D sky130_fd_sc_hd__nand2_2
+XPHY_33744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72185_ _72182_/X _72185_/B VGND VGND VPWR VPWR _72185_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_338_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_122_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_417_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43104_ _45382_/A _43103_/Y VGND VGND VPWR VPWR _43105_/B sky130_fd_sc_hd__or2_2
+XFILLER_174_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_436_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78801_ _78809_/CLK _78801_/D VGND VGND VPWR VPWR _63649_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_33777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40316_ _40298_/A VGND VGND VPWR VPWR _40331_/B sky130_fd_sc_hd__buf_1
+Xclkbuf_8_42_0_u_core.clock clkbuf_8_42_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_85_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_343_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71136_ _75439_/A VGND VGND VPWR VPWR _41016_/A sky130_fd_sc_hd__buf_1
+XFILLER_157_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_586_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48961_ _48961_/A _48960_/X VGND VGND VPWR VPWR _48961_/Y sky130_fd_sc_hd__nand2_2
+X_44084_ _41016_/A _44069_/X VGND VGND VPWR VPWR _44087_/A sky130_fd_sc_hd__nor2_2
+XFILLER_256_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79781_ _79778_/CLK _79781_/D VGND VGND VPWR VPWR _68148_/A sky130_fd_sc_hd__dfxtp_4
+X_41296_ _41295_/X VGND VGND VPWR VPWR _41297_/B sky130_fd_sc_hd__buf_1
+XFILLER_182_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76993_ _76993_/CLK _54536_/Y VGND VGND VPWR VPWR _76993_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_551_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47912_ _62999_/A _47909_/B VGND VGND VPWR VPWR _47912_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43035_ _43035_/A VGND VGND VPWR VPWR _43036_/B sky130_fd_sc_hd__inv_8
+XFILLER_87_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_434_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78732_ _78728_/CLK _78732_/D VGND VGND VPWR VPWR _64001_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_354_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40247_ _72122_/A _74398_/B VGND VGND VPWR VPWR _40260_/A sky130_fd_sc_hd__or2_2
+XFILLER_498_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75944_ _75944_/A _75937_/B VGND VGND VPWR VPWR _75946_/A sky130_fd_sc_hd__or2_2
+XFILLER_312_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_71067_ _46849_/A VGND VGND VPWR VPWR _71067_/Y sky130_fd_sc_hd__inv_8
+XFILLER_610_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48892_ _48890_/A VGND VGND VPWR VPWR _48893_/B sky130_fd_sc_hd__buf_1
+XPHY_21830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_501_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_649_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70018_ _70001_/D _70018_/B VGND VGND VPWR VPWR _70019_/B sky130_fd_sc_hd__or2_2
+XFILLER_307_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47843_ _47843_/A _47834_/X VGND VGND VPWR VPWR _47843_/X sky130_fd_sc_hd__or2_2
+XPHY_21863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59829_ _59828_/X VGND VGND VPWR VPWR _59975_/B sky130_fd_sc_hd__buf_1
+XPHY_11340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78663_ _78638_/CLK _48161_/Y VGND VGND VPWR VPWR _78663_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_12085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40178_ _40178_/A _40178_/B VGND VGND VPWR VPWR _40178_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_151_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75875_ _75873_/X _75875_/B VGND VGND VPWR VPWR _75875_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_500_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_312_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_725_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77614_ _77628_/CLK _52188_/Y VGND VGND VPWR VPWR _60677_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_111_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_296_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_42_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_278_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74826_ _74816_/X _74841_/B _69433_/A VGND VGND VPWR VPWR _74827_/B sky130_fd_sc_hd__nand3_2
+XPHY_11384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62840_ _63462_/A _62833_/Y _62839_/Y VGND VGND VPWR VPWR _62840_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_310_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47774_ _47774_/A _47773_/X VGND VGND VPWR VPWR _47774_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_296_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_567_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78594_ _78593_/CLK _78594_/D VGND VGND VPWR VPWR _63720_/A sky130_fd_sc_hd__dfxtp_4
+X_44986_ _42867_/A _44935_/B _79402_/Q _44932_/A VGND VGND VPWR VPWR _44987_/B sky130_fd_sc_hd__o22a_4
+XFILLER_646_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_284_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_113_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_3188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49513_ _49513_/A _49512_/X VGND VGND VPWR VPWR _49513_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_250_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46725_ _43053_/B _46724_/X VGND VGND VPWR VPWR _46725_/X sky130_fd_sc_hd__or2_2
+XFILLER_111_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77545_ _77571_/CLK _52444_/Y VGND VGND VPWR VPWR _52442_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_627_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43937_ _43929_/B _43937_/B _43937_/C VGND VGND VPWR VPWR _43937_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_369_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62771_ _63240_/A _62771_/B _62770_/Y VGND VGND VPWR VPWR _62771_/Y sky130_fd_sc_hd__nor3_2
+XPHY_10694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74757_ _75373_/A _74761_/B VGND VGND VPWR VPWR _74757_/X sky130_fd_sc_hd__or2_2
+XFILLER_545_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_523_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71969_ _71969_/A _71969_/B _71969_/C VGND VGND VPWR VPWR _71972_/B sky130_fd_sc_hd__nor3_2
+XFILLER_323_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_0_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_470_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_584_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_98_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64510_ _65251_/A VGND VGND VPWR VPWR _65064_/B sky130_fd_sc_hd__buf_1
+XFILLER_285_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49444_ _49444_/A _49444_/B VGND VGND VPWR VPWR _49444_/X sky130_fd_sc_hd__or2_2
+X_61722_ _61095_/A _61720_/Y _61721_/Y VGND VGND VPWR VPWR _61722_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_725_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73708_ _73708_/A _73708_/B VGND VGND VPWR VPWR _81114_/D sky130_fd_sc_hd__nand2_2
+XFILLER_292_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_225_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_463_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65490_ _65490_/A _65312_/B VGND VGND VPWR VPWR _65490_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_4_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46656_ _41933_/A _42405_/X _41685_/Y _46664_/B VGND VGND VPWR VPWR _46657_/B sky130_fd_sc_hd__o22a_4
+XFILLER_94_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77476_ _77474_/CLK _77476_/D VGND VGND VPWR VPWR _52700_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_406_3052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43868_ _43861_/B _43867_/X _43897_/C VGND VGND VPWR VPWR _43868_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_605_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74688_ _74688_/A _74687_/Y VGND VGND VPWR VPWR _80875_/D sky130_fd_sc_hd__nand2_2
+XFILLER_0_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_562_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79215_ _79216_/CLK _79215_/D VGND VGND VPWR VPWR _44573_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_181_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_587_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45607_ _45607_/A VGND VGND VPWR VPWR _45618_/A sky130_fd_sc_hd__buf_1
+X_76427_ _76427_/A _76427_/B VGND VGND VPWR VPWR _76427_/Y sky130_fd_sc_hd__nand2_2
+X_64441_ _65388_/A VGND VGND VPWR VPWR _65219_/B sky130_fd_sc_hd__buf_1
+XFILLER_699_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42819_ _42819_/A _42819_/B VGND VGND VPWR VPWR _42819_/X sky130_fd_sc_hd__and2_2
+XFILLER_185_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_482_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61653_ _61032_/A _61651_/Y _61652_/Y VGND VGND VPWR VPWR _61654_/C sky130_fd_sc_hd__nor3_2
+XFILLER_59_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49375_ _49431_/A _49396_/B VGND VGND VPWR VPWR _49375_/X sky130_fd_sc_hd__or2_2
+X_73639_ _73644_/A _73644_/B _81132_/Q VGND VGND VPWR VPWR _73639_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_379_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46587_ _78997_/Q _46587_/B VGND VGND VPWR VPWR _46588_/C sky130_fd_sc_hd__nor2_2
+XFILLER_248_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43799_ _43854_/A _43797_/Y _43798_/Y VGND VGND VPWR VPWR _43799_/Y sky130_fd_sc_hd__nor3_2
+XPHY_5290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_22_0_u_core.clock clkbuf_9_23_0_u_core.clock/A VGND VGND VPWR VPWR _78606_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_568_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_75_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_241_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_597_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48326_ _48417_/A _48316_/B VGND VGND VPWR VPWR _48327_/B sky130_fd_sc_hd__or2_2
+X_60604_ _51668_/A _61075_/B VGND VGND VPWR VPWR _60604_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_696_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67160_ _79967_/Q _66991_/B VGND VGND VPWR VPWR _67161_/C sky130_fd_sc_hd__nor2_2
+XPHY_19692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79146_ _79145_/CLK _45515_/Y VGND VGND VPWR VPWR _69687_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_578_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_402_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45538_ _45537_/X VGND VGND VPWR VPWR _45538_/Y sky130_fd_sc_hd__inv_8
+XFILLER_61_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64372_ _79855_/Q _69409_/B VGND VGND VPWR VPWR _64373_/C sky130_fd_sc_hd__nor2_2
+X_76358_ _76395_/A VGND VGND VPWR VPWR _76373_/B sky130_fd_sc_hd__buf_1
+XFILLER_343_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_209_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_244_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61584_ _59094_/A VGND VGND VPWR VPWR _62064_/B sky130_fd_sc_hd__buf_1
+XFILLER_18_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_480 _64108_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_109_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_491 _64438_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_66111_ _65445_/A _66109_/Y _66111_/C VGND VGND VPWR VPWR _66111_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_72_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63323_ _62697_/A _63323_/B _63322_/Y VGND VGND VPWR VPWR _63327_/B sky130_fd_sc_hd__nor3_2
+X_75309_ _75305_/X _75309_/B VGND VGND VPWR VPWR _75309_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_75_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_441_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60535_ _60535_/A _60535_/B VGND VGND VPWR VPWR _60536_/B sky130_fd_sc_hd__nor2_2
+XFILLER_367_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_716_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48257_ _48257_/A _48102_/A VGND VGND VPWR VPWR _48257_/X sky130_fd_sc_hd__or2_2
+XFILLER_343_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67091_ _67091_/A _67091_/B _67091_/C VGND VGND VPWR VPWR _67113_/A sky130_fd_sc_hd__nor3_2
+X_79077_ _79100_/CLK _79077_/D VGND VGND VPWR VPWR _41825_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_222_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_638_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_504_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45469_ _45476_/A _45184_/B _45476_/C VGND VGND VPWR VPWR _45469_/Y sky130_fd_sc_hd__nor3_2
+X_76289_ _76287_/X _76288_/Y VGND VGND VPWR VPWR _80478_/D sky130_fd_sc_hd__nand2_2
+XPHY_36370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_501_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_16 io_in[29] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_177_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_27 io_out[16] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_20_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47208_ _46436_/A _47208_/B VGND VGND VPWR VPWR _47208_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_33_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66042_ _66042_/A _66041_/X VGND VGND VPWR VPWR _66042_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_53_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_38 wbs_adr_i[1] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_144_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78028_ _78044_/CLK _78028_/D VGND VGND VPWR VPWR _62812_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_220_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63254_ _63254_/A _62631_/X VGND VGND VPWR VPWR _63254_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_638_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_49 wbs_adr_i[5] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_48188_ _48275_/A _48194_/B VGND VGND VPWR VPWR _48188_/X sky130_fd_sc_hd__or2_2
+X_60466_ _60466_/A _60147_/X VGND VGND VPWR VPWR _60467_/C sky130_fd_sc_hd__nor2_2
+XFILLER_88_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_158_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_372_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62205_ _61890_/A _62205_/B _62205_/C VGND VGND VPWR VPWR _62213_/B sky130_fd_sc_hd__nor3_2
+XFILLER_347_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_119_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47139_ _46323_/B _47143_/A VGND VGND VPWR VPWR _47140_/C sky130_fd_sc_hd__nor2_2
+XFILLER_634_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_376_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_616_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63185_ _62564_/A _63181_/Y _63184_/Y VGND VGND VPWR VPWR _63185_/Y sky130_fd_sc_hd__nor3_2
+XPHY_25190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_200_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60397_ _60396_/X VGND VGND VPWR VPWR _60398_/B sky130_fd_sc_hd__buf_1
+XFILLER_348_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_274_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_257_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_697_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_3061 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_591_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69801_ _69801_/A _69801_/B _69783_/Y _69800_/Y VGND VGND VPWR VPWR _69801_/X sky130_fd_sc_hd__or4_2
+XFILLER_474_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50150_ _50148_/Y _50149_/X VGND VGND VPWR VPWR _78150_/D sky130_fd_sc_hd__nand2_2
+X_62136_ _61669_/A _62135_/Y VGND VGND VPWR VPWR _62137_/C sky130_fd_sc_hd__nor2_2
+XFILLER_713_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_255_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_667_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39961_ _39827_/X _39957_/X VGND VGND VPWR VPWR _39965_/A sky130_fd_sc_hd__or2_2
+XFILLER_615_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_174_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_12_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_274_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_192_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67993_ _67823_/X _67993_/B _67992_/Y VGND VGND VPWR VPWR _67993_/Y sky130_fd_sc_hd__nor3_2
+X_79979_ _79947_/CLK _79979_/D VGND VGND VPWR VPWR _40024_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_290_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_127_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38912_ _38912_/A _38911_/Y VGND VGND VPWR VPWR _80258_/D sky130_fd_sc_hd__nand2_2
+XFILLER_511_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_487_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_255_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69732_ _69732_/A _69862_/B VGND VGND VPWR VPWR _69732_/X sky130_fd_sc_hd__and2_2
+XFILLER_192_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50081_ _50081_/A _50084_/B VGND VGND VPWR VPWR _50081_/Y sky130_fd_sc_hd__nand2_2
+X_62067_ _78231_/Q _61753_/X VGND VGND VPWR VPWR _62069_/B sky130_fd_sc_hd__nor2_2
+X_66944_ _66774_/A _66940_/Y _66944_/C VGND VGND VPWR VPWR _66945_/C sky130_fd_sc_hd__nor3_2
+XFILLER_173_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39892_ _39997_/B VGND VGND VPWR VPWR _39904_/B sky130_fd_sc_hd__buf_1
+XFILLER_44_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_589_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_511_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_666_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_413_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61018_ _60550_/A _61018_/B _61017_/Y VGND VGND VPWR VPWR _61024_/B sky130_fd_sc_hd__nor3_2
+XFILLER_47_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_477_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38843_ _38596_/A _38829_/X VGND VGND VPWR VPWR _38846_/A sky130_fd_sc_hd__or2_2
+XFILLER_9_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69663_ _69663_/A VGND VGND VPWR VPWR _69723_/A sky130_fd_sc_hd__buf_1
+XFILLER_637_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66875_ _80638_/Q _66875_/B VGND VGND VPWR VPWR _66877_/B sky130_fd_sc_hd__nor2_2
+XFILLER_331_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68614_ _68614_/A _68945_/B VGND VGND VPWR VPWR _68616_/B sky130_fd_sc_hd__nor2_2
+XFILLER_170_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_214_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53840_ _77177_/Q _53840_/B VGND VGND VPWR VPWR _53842_/A sky130_fd_sc_hd__nand2_2
+XFILLER_641_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65826_ _66649_/A VGND VGND VPWR VPWR _66495_/B sky130_fd_sc_hd__buf_1
+XFILLER_233_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_665_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38774_ _38770_/X _38773_/Y VGND VGND VPWR VPWR _38774_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_468_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69594_ _69594_/A _69593_/X VGND VGND VPWR VPWR _69595_/A sky130_fd_sc_hd__or2_2
+XFILLER_625_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_585_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_99_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_646_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80823_ _80826_/CLK _80823_/D VGND VGND VPWR VPWR _65721_/A sky130_fd_sc_hd__dfxtp_4
+X_68545_ _80488_/Q _68048_/B VGND VGND VPWR VPWR _68547_/B sky130_fd_sc_hd__nor2_2
+XFILLER_99_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53771_ _53622_/A VGND VGND VPWR VPWR _53834_/A sky130_fd_sc_hd__buf_1
+XFILLER_465_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65757_ _65430_/A _65753_/Y _65757_/C VGND VGND VPWR VPWR _65768_/B sky130_fd_sc_hd__nor3_2
+XFILLER_284_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_543_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50983_ _50983_/A _50983_/B VGND VGND VPWR VPWR _77928_/D sky130_fd_sc_hd__nand2_2
+XFILLER_285_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_186_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62969_ _59513_/A VGND VGND VPWR VPWR _62969_/X sky130_fd_sc_hd__buf_1
+XFILLER_233_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_268_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_460_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55510_ _71646_/A _55536_/B VGND VGND VPWR VPWR _55535_/A sky130_fd_sc_hd__or2_2
+XFILLER_424_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52722_ _52722_/A _52721_/X VGND VGND VPWR VPWR _52722_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_271_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64708_ _80721_/Q _65390_/B VGND VGND VPWR VPWR _64708_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_472_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80754_ _80844_/CLK _80754_/D VGND VGND VPWR VPWR _64874_/A sky130_fd_sc_hd__dfxtp_4
+X_56490_ _64623_/A _56406_/B VGND VGND VPWR VPWR _56490_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_524_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68476_ _68476_/A _68307_/B VGND VGND VPWR VPWR _68479_/B sky130_fd_sc_hd__nor2_2
+XFILLER_283_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_28_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65688_ _80086_/Q _66185_/B VGND VGND VPWR VPWR _65689_/C sky130_fd_sc_hd__nor2_2
+XFILLER_348_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55441_ _45385_/Y _55465_/B VGND VGND VPWR VPWR _55442_/B sky130_fd_sc_hd__nor2_2
+X_67427_ _67427_/A _67106_/X VGND VGND VPWR VPWR _67429_/B sky130_fd_sc_hd__nor2_2
+XFILLER_83_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52653_ _52738_/A _52665_/B VGND VGND VPWR VPWR _52654_/B sky130_fd_sc_hd__or2_2
+XPHY_27509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64639_ _69262_/A _64639_/B _64639_/C VGND VGND VPWR VPWR _64640_/C sky130_fd_sc_hd__nor3_2
+XFILLER_70_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80685_ _80685_/CLK _80685_/D VGND VGND VPWR VPWR _80685_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_271_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_0_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_93_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39326_ _39194_/A _39323_/B VGND VGND VPWR VPWR _39326_/X sky130_fd_sc_hd__or2_2
+X_51604_ _51571_/X _51613_/B VGND VGND VPWR VPWR _51605_/B sky130_fd_sc_hd__or2_2
+X_58160_ _58085_/A _58160_/B _58160_/C VGND VGND VPWR VPWR _58160_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_360_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_600_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55372_ _55347_/A _55372_/B VGND VGND VPWR VPWR _55372_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_693_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67358_ _67358_/A _67033_/B VGND VGND VPWR VPWR _67359_/C sky130_fd_sc_hd__nor2_2
+XFILLER_164_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52584_ _77507_/Q _52579_/X VGND VGND VPWR VPWR _52584_/Y sky130_fd_sc_hd__nand2_2
+XPHY_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_24_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_3062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_142_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_307_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57111_ _57111_/A VGND VGND VPWR VPWR _57194_/A sky130_fd_sc_hd__buf_1
+XFILLER_322_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_405_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54323_ _54323_/A _54322_/X VGND VGND VPWR VPWR _54323_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66309_ _57299_/A _65970_/B VGND VGND VPWR VPWR _66309_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_601_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_586_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_399_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39257_ _39248_/X _39273_/B _39257_/C VGND VGND VPWR VPWR _39258_/B sky130_fd_sc_hd__nand3_2
+XFILLER_401_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51535_ _61330_/A _51527_/X VGND VGND VPWR VPWR _51538_/A sky130_fd_sc_hd__nand2_2
+XFILLER_145_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58091_ _58322_/A _58091_/B _58090_/Y VGND VGND VPWR VPWR _58095_/B sky130_fd_sc_hd__nor3_2
+XFILLER_127_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_617_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67289_ _67286_/X _67289_/B _67289_/C VGND VGND VPWR VPWR _67293_/B sky130_fd_sc_hd__nor3_2
+XFILLER_107_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_244_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_1560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_420_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38208_ _76327_/A _76433_/B VGND VGND VPWR VPWR _38211_/A sky130_fd_sc_hd__or2_2
+XFILLER_535_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81306_ _81306_/CLK _72931_/Y VGND VGND VPWR VPWR _81306_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_459_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57042_ _56785_/X _57042_/B _57041_/Y VGND VGND VPWR VPWR _57042_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_260_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69028_ _68547_/A _69028_/B _69027_/Y VGND VGND VPWR VPWR _69029_/C sky130_fd_sc_hd__nor3_2
+XFILLER_377_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54254_ _54254_/A _54254_/B VGND VGND VPWR VPWR _77069_/D sky130_fd_sc_hd__nand2_2
+XFILLER_574_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39188_ _76159_/A VGND VGND VPWR VPWR _39190_/A sky130_fd_sc_hd__buf_1
+XFILLER_377_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51466_ _51522_/A _51466_/B VGND VGND VPWR VPWR _51466_/X sky130_fd_sc_hd__or2_2
+XFILLER_338_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_400_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_561_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_719_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53205_ _53205_/A _53204_/X VGND VGND VPWR VPWR _77346_/D sky130_fd_sc_hd__nand2_2
+XFILLER_303_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_140_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50417_ _62207_/A _50404_/B VGND VGND VPWR VPWR _50417_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_197_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_457_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81237_ _81201_/CLK _81237_/D VGND VGND VPWR VPWR _65447_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_359_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54185_ _54183_/Y _54185_/B VGND VGND VPWR VPWR _77086_/D sky130_fd_sc_hd__nand2_2
+XFILLER_542_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51397_ _51438_/B VGND VGND VPWR VPWR _51397_/X sky130_fd_sc_hd__buf_1
+XFILLER_258_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_175_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_431_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41150_ _41158_/A _41002_/B _64273_/A VGND VGND VPWR VPWR _41150_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_69_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_171_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53136_ _41789_/Y _47247_/X _42825_/Y _47259_/X VGND VGND VPWR VPWR _53136_/X sky130_fd_sc_hd__o22a_4
+XFILLER_611_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50348_ _78098_/Q _50341_/B VGND VGND VPWR VPWR _50348_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_101_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_570_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81168_ _81476_/CLK _73499_/Y VGND VGND VPWR VPWR _64572_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_313_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58993_ _59137_/A VGND VGND VPWR VPWR _59333_/A sky130_fd_sc_hd__buf_1
+XFILLER_253_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_273_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40101_ _40094_/A _40087_/B _65676_/A VGND VGND VPWR VPWR _40101_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_633_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80119_ _80121_/CLK _80119_/D VGND VGND VPWR VPWR _57004_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41081_ _41127_/A VGND VGND VPWR VPWR _41081_/X sky130_fd_sc_hd__buf_1
+XPHY_30904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53067_ _53067_/A _53066_/X VGND VGND VPWR VPWR _53067_/Y sky130_fd_sc_hd__nand2_2
+X_57944_ _57545_/A VGND VGND VPWR VPWR _57944_/X sky130_fd_sc_hd__buf_1
+XPHY_31649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50279_ _49525_/A VGND VGND VPWR VPWR _50877_/A sky130_fd_sc_hd__buf_1
+X_73990_ _76343_/A _74543_/B VGND VGND VPWR VPWR _73991_/A sky130_fd_sc_hd__or2_2
+XPHY_30915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81099_ _81133_/CLK _73766_/Y VGND VGND VPWR VPWR _73765_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_66_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_355_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_486_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_62_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52018_ _51720_/A VGND VGND VPWR VPWR _52051_/A sky130_fd_sc_hd__buf_1
+X_40032_ _40018_/X _40031_/X _79977_/Q VGND VGND VPWR VPWR _40032_/Y sky130_fd_sc_hd__nand3_2
+XPHY_9556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72941_ _72941_/A VGND VGND VPWR VPWR _72956_/B sky130_fd_sc_hd__buf_1
+XFILLER_371_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_3144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_689_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57875_ _57790_/X _57875_/B _57875_/C VGND VGND VPWR VPWR _57879_/B sky130_fd_sc_hd__nor3_2
+XFILLER_175_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_467_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_685_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59614_ _62365_/A VGND VGND VPWR VPWR _59615_/B sky130_fd_sc_hd__buf_1
+XFILLER_641_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44840_ _44514_/Y VGND VGND VPWR VPWR _44840_/X sky130_fd_sc_hd__buf_1
+XFILLER_8_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56826_ _56826_/A VGND VGND VPWR VPWR _57083_/A sky130_fd_sc_hd__buf_1
+XFILLER_726_2443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_709_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75660_ _75901_/A _75657_/B VGND VGND VPWR VPWR _75660_/X sky130_fd_sc_hd__or2_2
+XFILLER_466_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72872_ _73662_/A _72872_/B VGND VGND VPWR VPWR _72872_/X sky130_fd_sc_hd__or2_2
+XPHY_8877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_238_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_216_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_546_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_666_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_388_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_542_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_448_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74611_ _74598_/A _74605_/B _80893_/Q VGND VGND VPWR VPWR _74611_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_115_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59545_ _59545_/A _64003_/B VGND VGND VPWR VPWR _59545_/Y sky130_fd_sc_hd__nor2_2
+X_71823_ _42732_/B VGND VGND VPWR VPWR _43633_/A sky130_fd_sc_hd__inv_8
+XFILLER_210_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_251_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56757_ _56434_/A _56753_/Y _56756_/Y VGND VGND VPWR VPWR _56757_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_275_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44771_ _44796_/A _44766_/Y _44770_/X VGND VGND VPWR VPWR _79195_/D sky130_fd_sc_hd__nor3_2
+X_75591_ _75591_/A _75590_/Y VGND VGND VPWR VPWR _80654_/D sky130_fd_sc_hd__nand2_2
+XFILLER_409_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_19_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53969_ _53967_/Y _53969_/B VGND VGND VPWR VPWR _77143_/D sky130_fd_sc_hd__nand2_2
+X_41983_ _41971_/A VGND VGND VPWR VPWR _42009_/C sky130_fd_sc_hd__buf_1
+XFILLER_267_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_143_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_451_0_u_core.clock clkbuf_9_451_0_u_core.clock/A VGND VGND VPWR VPWR _80809_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_29401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_249_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_290_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_232_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_643_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_362_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_369_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46510_ _46497_/A _46509_/X VGND VGND VPWR VPWR _46511_/B sky130_fd_sc_hd__nor2_2
+XFILLER_74_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77330_ _77333_/CLK _77330_/D VGND VGND VPWR VPWR _77330_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43722_ _43722_/A VGND VGND VPWR VPWR _43881_/A sky130_fd_sc_hd__buf_6
+XFILLER_47_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55708_ _55409_/X _44987_/B VGND VGND VPWR VPWR _55709_/B sky130_fd_sc_hd__or2_2
+XFILLER_640_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74542_ _73384_/A VGND VGND VPWR VPWR _75585_/A sky130_fd_sc_hd__buf_1
+XFILLER_284_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40934_ _40837_/A VGND VGND VPWR VPWR _40988_/A sky130_fd_sc_hd__buf_1
+XFILLER_1_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47490_ _47490_/A _47456_/B _58962_/A VGND VGND VPWR VPWR _49196_/A sky130_fd_sc_hd__or3_2
+XFILLER_234_313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59476_ _77167_/Q _59476_/B VGND VGND VPWR VPWR _59477_/C sky130_fd_sc_hd__nor2_2
+XFILLER_47_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71754_ _71490_/A _70919_/Y VGND VGND VPWR VPWR _71754_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_327_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56688_ _56688_/A _56688_/B _56688_/C VGND VGND VPWR VPWR _56692_/B sky130_fd_sc_hd__nor3_2
+XFILLER_624_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_424_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_562_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_262_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46441_ _43180_/A _46441_/B VGND VGND VPWR VPWR _46444_/B sky130_fd_sc_hd__nor2_2
+XFILLER_210_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58427_ _56251_/X VGND VGND VPWR VPWR _58430_/A sky130_fd_sc_hd__buf_1
+X_70705_ _42084_/A _69752_/A _70667_/C VGND VGND VPWR VPWR _70705_/X sky130_fd_sc_hd__and3_2
+XFILLER_696_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77261_ _77254_/CLK _77261_/D VGND VGND VPWR VPWR _53526_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_29478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43653_ _71984_/A _43562_/A VGND VGND VPWR VPWR _43655_/B sky130_fd_sc_hd__nor2_2
+XFILLER_167_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55639_ _55730_/A VGND VGND VPWR VPWR _55676_/A sky130_fd_sc_hd__buf_1
+XFILLER_147_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74473_ _74469_/X _74472_/X VGND VGND VPWR VPWR _74473_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_366_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_308_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40865_ _40863_/X _40865_/B VGND VGND VPWR VPWR _79763_/D sky130_fd_sc_hd__nand2_2
+XPHY_28744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71685_ _71419_/A _71652_/B _71684_/Y VGND VGND VPWR VPWR _71685_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_579_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_249_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79000_ _79557_/CLK _46566_/X VGND VGND VPWR VPWR _79000_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_679_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76212_ _76210_/X _76212_/B VGND VGND VPWR VPWR _76212_/Y sky130_fd_sc_hd__nand2_2
+XPHY_18243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42604_ _42580_/A _42604_/B VGND VGND VPWR VPWR _42604_/X sky130_fd_sc_hd__and2_2
+XFILLER_451_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49160_ _49158_/Y _49160_/B VGND VGND VPWR VPWR _78407_/D sky130_fd_sc_hd__nand2_2
+X_73424_ _73424_/A _73424_/B VGND VGND VPWR VPWR _73424_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_227_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58358_ _68643_/A _58194_/B VGND VGND VPWR VPWR _58358_/Y sky130_fd_sc_hd__nor2_2
+X_46372_ _43084_/Y _46372_/B VGND VGND VPWR VPWR _46372_/X sky130_fd_sc_hd__or2_2
+X_70636_ _70477_/A _70627_/Y _70469_/A _70635_/Y VGND VGND VPWR VPWR _70636_/X sky130_fd_sc_hd__o22a_4
+X_77192_ _77190_/CLK _77192_/D VGND VGND VPWR VPWR _59707_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_501_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43584_ _71378_/A _43588_/B VGND VGND VPWR VPWR _43584_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_432_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40796_ _40414_/A _40807_/B VGND VGND VPWR VPWR _40796_/X sky130_fd_sc_hd__or2_2
+XFILLER_262_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_578_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48111_ _48021_/A _48107_/X VGND VGND VPWR VPWR _48112_/B sky130_fd_sc_hd__or2_2
+XPHY_17542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_501_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45323_ _45323_/A _45322_/Y VGND VGND VPWR VPWR _45323_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_208_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76143_ _76143_/A _76143_/B VGND VGND VPWR VPWR _76143_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_565_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57309_ _79963_/Q _57309_/B VGND VGND VPWR VPWR _57311_/B sky130_fd_sc_hd__nor2_2
+XPHY_3173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42535_ _42510_/X _42534_/X _70189_/Y _42514_/X VGND VGND VPWR VPWR _42535_/X sky130_fd_sc_hd__o22a_4
+X_49091_ _49211_/A _49099_/B VGND VGND VPWR VPWR _49092_/B sky130_fd_sc_hd__or2_2
+XFILLER_696_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73355_ _75032_/A _73366_/B VGND VGND VPWR VPWR _73355_/X sky130_fd_sc_hd__or2_2
+XPHY_3184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58289_ _80231_/Q _58137_/B VGND VGND VPWR VPWR _58289_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_497_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70567_ _69690_/A _70170_/A VGND VGND VPWR VPWR _70569_/B sky130_fd_sc_hd__nor2_2
+XFILLER_376_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48042_ _48042_/A _47819_/A VGND VGND VPWR VPWR _50005_/A sky130_fd_sc_hd__or2_2
+X_60320_ _54993_/A _59987_/B VGND VGND VPWR VPWR _60320_/Y sky130_fd_sc_hd__nor2_2
+XPHY_2472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72306_ _72306_/A _72305_/Y VGND VGND VPWR VPWR _72306_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45254_ _45253_/Y _45425_/B VGND VGND VPWR VPWR _45258_/B sky130_fd_sc_hd__nor2_2
+XFILLER_204_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_553_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76074_ _38472_/A VGND VGND VPWR VPWR _76075_/A sky130_fd_sc_hd__buf_1
+XFILLER_19_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42466_ _42466_/A _42463_/Y _42465_/X VGND VGND VPWR VPWR _42466_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_187_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_7_109_0_u_core.clock clkbuf_6_54_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_218_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_458_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73286_ _73239_/X VGND VGND VPWR VPWR _73295_/A sky130_fd_sc_hd__buf_1
+XFILLER_223_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_553_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70498_ _70497_/X VGND VGND VPWR VPWR _70498_/Y sky130_fd_sc_hd__inv_8
+XFILLER_564_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_169_0_u_core.clock clkbuf_7_84_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_339_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_1760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_436_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_395_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44205_ _72913_/A _44235_/B VGND VGND VPWR VPWR _44206_/C sky130_fd_sc_hd__nor2_2
+XPHY_1771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79902_ _79902_/CLK _40312_/Y VGND VGND VPWR VPWR _79902_/Q sky130_fd_sc_hd__dfxtp_4
+X_75025_ _75025_/A _75014_/B VGND VGND VPWR VPWR _75025_/X sky130_fd_sc_hd__or2_2
+X_41417_ _41490_/A VGND VGND VPWR VPWR _41418_/B sky130_fd_sc_hd__buf_1
+XFILLER_651_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60251_ _59923_/A _60249_/Y _60250_/Y VGND VGND VPWR VPWR _60251_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_35_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_201_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72237_ _75495_/A VGND VGND VPWR VPWR _74737_/A sky130_fd_sc_hd__buf_1
+XFILLER_278_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_538_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45185_ _45174_/Y _45184_/Y _45154_/X VGND VGND VPWR VPWR _45185_/Y sky130_fd_sc_hd__nor3_2
+XPHY_34275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42397_ _42397_/A _42305_/Y VGND VGND VPWR VPWR _42397_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_168_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_5_20_0_u_core.clock clkbuf_5_21_0_u_core.clock/A VGND VGND VPWR VPWR ANTENNA_558/DIODE
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_197_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_305_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44136_ _44220_/A _44128_/B _44136_/C VGND VGND VPWR VPWR _44138_/B sky130_fd_sc_hd__nor3_2
+XPHY_23040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79833_ _79837_/CLK _40603_/Y VGND VGND VPWR VPWR _66178_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_193_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41348_ _41093_/X _41358_/B VGND VGND VPWR VPWR _41348_/X sky130_fd_sc_hd__or2_2
+XPHY_33574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60182_ _59373_/A VGND VGND VPWR VPWR _60185_/A sky130_fd_sc_hd__buf_1
+XFILLER_573_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72168_ _74678_/A VGND VGND VPWR VPWR _74001_/A sky130_fd_sc_hd__buf_1
+XFILLER_256_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49993_ _62230_/A _49986_/B VGND VGND VPWR VPWR _49995_/A sky130_fd_sc_hd__nand2_2
+XFILLER_432_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_334_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_3081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_109_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_316_188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_710_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71119_ _71817_/A VGND VGND VPWR VPWR _71764_/A sky130_fd_sc_hd__buf_1
+XFILLER_154_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48944_ _48320_/A VGND VGND VPWR VPWR _49063_/A sky130_fd_sc_hd__buf_1
+X_44067_ _43995_/A _44067_/B _44067_/C VGND VGND VPWR VPWR _44067_/Y sky130_fd_sc_hd__nor3_4
+XPHY_22350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79764_ _79798_/CLK _79764_/D VGND VGND VPWR VPWR _56755_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_61_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_586_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64990_ _65339_/A _64990_/B _64989_/Y VGND VGND VPWR VPWR _65000_/B sky130_fd_sc_hd__nor3_2
+XFILLER_373_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41279_ _41008_/A _41274_/B VGND VGND VPWR VPWR _41281_/A sky130_fd_sc_hd__or2_2
+XPHY_32884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76976_ _76975_/CLK _54599_/Y VGND VGND VPWR VPWR _59622_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_291_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72099_ _72097_/X VGND VGND VPWR VPWR _72100_/B sky130_fd_sc_hd__inv_8
+XFILLER_100_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_721_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_272_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_458_321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_234_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_410_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43018_ _43018_/A VGND VGND VPWR VPWR _43019_/B sky130_fd_sc_hd__inv_8
+XFILLER_26_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78715_ _78734_/CLK _78715_/D VGND VGND VPWR VPWR _63877_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_459_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75927_ _75923_/X _75927_/B VGND VGND VPWR VPWR _75927_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63941_ _59205_/A _63937_/Y _63940_/Y VGND VGND VPWR VPWR _63941_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_619_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48875_ _48873_/Y _48874_/X VGND VGND VPWR VPWR _78481_/D sky130_fd_sc_hd__nand2_2
+XPHY_21660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79695_ _79694_/CLK _41151_/Y VGND VGND VPWR VPWR _64273_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_80_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_610_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_674_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47826_ _47826_/A _47826_/B VGND VGND VPWR VPWR _47826_/X sky130_fd_sc_hd__or2_2
+X_66660_ _66828_/A _66660_/B _66660_/C VGND VGND VPWR VPWR _66670_/B sky130_fd_sc_hd__nor3_2
+XPHY_11170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78646_ _78156_/CLK _48224_/Y VGND VGND VPWR VPWR _78646_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_414_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_501_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63872_ _78739_/Q _63872_/B VGND VGND VPWR VPWR _63874_/B sky130_fd_sc_hd__nor2_2
+XFILLER_449_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75858_ _75615_/A _75842_/X VGND VGND VPWR VPWR _75858_/X sky130_fd_sc_hd__or2_2
+XFILLER_627_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_627_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65611_ _64915_/A _65609_/Y _65611_/C VGND VGND VPWR VPWR _65612_/C sky130_fd_sc_hd__nor3_2
+XPHY_20992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62823_ _78092_/Q _62342_/X VGND VGND VPWR VPWR _62825_/B sky130_fd_sc_hd__nor2_2
+XFILLER_26_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74809_ _74807_/X _74809_/B VGND VGND VPWR VPWR _74809_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_564_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_662_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_705_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_230_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47757_ _47757_/A _47756_/X VGND VGND VPWR VPWR _78765_/D sky130_fd_sc_hd__nand2_2
+XFILLER_22_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66591_ _67091_/A _66591_/B _66591_/C VGND VGND VPWR VPWR _66609_/A sky130_fd_sc_hd__nor3_2
+X_78577_ _78580_/CLK _48505_/Y VGND VGND VPWR VPWR _63596_/A sky130_fd_sc_hd__dfxtp_4
+X_44969_ _44968_/X VGND VGND VPWR VPWR _44970_/A sky130_fd_sc_hd__buf_1
+XFILLER_662_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75789_ _75789_/A _75788_/Y VGND VGND VPWR VPWR _80601_/D sky130_fd_sc_hd__nand2_2
+XFILLER_415_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68330_ _80230_/Q _67843_/X VGND VGND VPWR VPWR _68330_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_253_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_111_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46708_ _46157_/B _46708_/B VGND VGND VPWR VPWR _46709_/B sky130_fd_sc_hd__or2_2
+XFILLER_96_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65542_ _65542_/A _66028_/B VGND VGND VPWR VPWR _65542_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_462_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77528_ _77528_/CLK _77528_/D VGND VGND VPWR VPWR _60940_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_454_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38490_ _38490_/A _38490_/B VGND VGND VPWR VPWR _38490_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_697_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62754_ _62446_/A _62752_/Y _62754_/C VGND VGND VPWR VPWR _62754_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_523_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47688_ _47685_/Y _47688_/B VGND VGND VPWR VPWR _78783_/D sky130_fd_sc_hd__nand2_2
+XFILLER_627_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_623_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_263_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_503_2913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_414_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61705_ _61541_/A _61705_/B _61704_/Y VGND VGND VPWR VPWR _61706_/B sky130_fd_sc_hd__nor3_2
+X_49427_ _53249_/A _55795_/A VGND VGND VPWR VPWR _49428_/A sky130_fd_sc_hd__or2_2
+X_68261_ _66386_/A VGND VGND VPWR VPWR _68261_/X sky130_fd_sc_hd__buf_1
+XFILLER_631_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46639_ _46637_/A _46637_/B VGND VGND VPWR VPWR _46641_/C sky130_fd_sc_hd__and2_2
+X_65473_ _65473_/A _65472_/X VGND VGND VPWR VPWR _65476_/B sky130_fd_sc_hd__nor2_2
+XFILLER_228_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77459_ _77474_/CLK _77459_/D VGND VGND VPWR VPWR _52762_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_326_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62685_ _62997_/A _62685_/B _62684_/Y VGND VGND VPWR VPWR _62685_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_53_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_97_0_u_core.clock clkbuf_9_97_0_u_core.clock/A VGND VGND VPWR VPWR _78092_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_213_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67212_ _80832_/Q _67212_/B VGND VGND VPWR VPWR _67212_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_209_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64424_ _44032_/Y _65027_/B VGND VGND VPWR VPWR _64424_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_640_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61636_ _61636_/A _61636_/B _61636_/C VGND VGND VPWR VPWR _61646_/B sky130_fd_sc_hd__nor3_2
+XFILLER_146_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80470_ _80473_/CLK _80470_/D VGND VGND VPWR VPWR _80470_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_587_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49358_ _51022_/A VGND VGND VPWR VPWR _51164_/A sky130_fd_sc_hd__buf_1
+X_68192_ _67871_/A _68192_/B _68192_/C VGND VGND VPWR VPWR _68199_/B sky130_fd_sc_hd__nor3_2
+XFILLER_234_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_523_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_94_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_379_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39111_ _39108_/X _39111_/B VGND VGND VPWR VPWR _80205_/D sky130_fd_sc_hd__nand2_2
+XFILLER_261_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_379_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48309_ _47512_/A VGND VGND VPWR VPWR _48936_/A sky130_fd_sc_hd__buf_1
+XFILLER_568_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67143_ _66473_/X _67139_/Y _67143_/C VGND VGND VPWR VPWR _67152_/B sky130_fd_sc_hd__nor3_2
+XFILLER_178_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79129_ _76708_/CLK _79129_/D VGND VGND VPWR VPWR _45585_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_621_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64355_ _64822_/A _64353_/Y _64355_/C VGND VGND VPWR VPWR _64355_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_343_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61567_ _52224_/A _61106_/B VGND VGND VPWR VPWR _61569_/B sky130_fd_sc_hd__nor2_2
+X_49289_ _49286_/Y _49288_/X VGND VGND VPWR VPWR _78372_/D sky130_fd_sc_hd__nand2_2
+XFILLER_656_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_21_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39042_ _39045_/A _39045_/B _80223_/Q VGND VGND VPWR VPWR _39042_/Y sky130_fd_sc_hd__nand3_2
+X_51320_ _51336_/B VGND VGND VPWR VPWR _51333_/B sky130_fd_sc_hd__buf_1
+XFILLER_634_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63306_ _63463_/A _63298_/Y _63305_/Y VGND VGND VPWR VPWR _63306_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_638_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60518_ _62080_/A VGND VGND VPWR VPWR _60534_/A sky130_fd_sc_hd__buf_1
+XFILLER_324_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67074_ _81119_/Q _66574_/B VGND VGND VPWR VPWR _67074_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_382_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_556_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64286_ _64286_/A _69109_/B VGND VGND VPWR VPWR _64286_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_320_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_119_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_543_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61498_ _61498_/A _60876_/B VGND VGND VPWR VPWR _61500_/B sky130_fd_sc_hd__nor2_2
+XFILLER_140_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_359_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_198_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_382_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66025_ _80312_/Q _65695_/X VGND VGND VPWR VPWR _66026_/C sky130_fd_sc_hd__nor2_2
+XFILLER_348_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_509_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_454_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51251_ _51416_/A VGND VGND VPWR VPWR _53066_/A sky130_fd_sc_hd__buf_1
+X_63237_ _63675_/A _63237_/B _63236_/Y VGND VGND VPWR VPWR _63237_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_726_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_673_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_509_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60449_ _60449_/A VGND VGND VPWR VPWR _61075_/B sky130_fd_sc_hd__buf_1
+XFILLER_374_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_634_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_102_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50202_ _50116_/A _50202_/B VGND VGND VPWR VPWR _50202_/X sky130_fd_sc_hd__or2_2
+XFILLER_337_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_335_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_307_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81022_ _81025_/CLK _81022_/D VGND VGND VPWR VPWR _81022_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_493_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_454_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_530_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_450_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51182_ _62345_/A _51170_/X VGND VGND VPWR VPWR _51184_/A sky130_fd_sc_hd__nand2_2
+X_63168_ _63168_/A _63168_/B VGND VGND VPWR VPWR _63168_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_137_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_712_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_415_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_390_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_592_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_270_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_471_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_419_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_106_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50133_ _50189_/A _50148_/B VGND VGND VPWR VPWR _50133_/X sky130_fd_sc_hd__or2_2
+XFILLER_350_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62119_ _62119_/A _62119_/B VGND VGND VPWR VPWR _62119_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_571_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39944_ _39944_/A VGND VGND VPWR VPWR _39949_/A sky130_fd_sc_hd__buf_1
+XFILLER_118_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67976_ _67453_/X _67976_/B _67976_/C VGND VGND VPWR VPWR _67977_/B sky130_fd_sc_hd__nor3_2
+XFILLER_413_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_322_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55990_ _55982_/X _55989_/X io_out[24] _55980_/X VGND VGND VPWR VPWR wbs_dat_o[1]
++ sky130_fd_sc_hd__o22a_4
+XFILLER_432_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63099_ _63099_/A _63263_/B VGND VGND VPWR VPWR _63099_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_337_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_192_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69715_ _69715_/A _69714_/Y VGND VGND VPWR VPWR _69715_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_310_309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50064_ _50064_/A _50071_/B VGND VGND VPWR VPWR _50064_/Y sky130_fd_sc_hd__nand2_2
+X_54941_ _60226_/A _54948_/B VGND VGND VPWR VPWR _54943_/A sky130_fd_sc_hd__nand2_2
+XFILLER_530_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66927_ _81022_/Q _67255_/B VGND VGND VPWR VPWR _66927_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_255_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_686_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39875_ _39997_/A _39871_/B VGND VGND VPWR VPWR _39877_/A sky130_fd_sc_hd__or2_2
+XFILLER_44_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_135_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38826_ _38790_/A VGND VGND VPWR VPWR _38827_/A sky130_fd_sc_hd__buf_1
+XFILLER_9_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57660_ _80223_/Q _57410_/B VGND VGND VPWR VPWR _57662_/B sky130_fd_sc_hd__nor2_2
+X_69646_ _69646_/A VGND VGND VPWR VPWR _69647_/A sky130_fd_sc_hd__inv_8
+XPHY_7428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54872_ _54870_/Y _54872_/B VGND VGND VPWR VPWR _76903_/D sky130_fd_sc_hd__nand2_2
+XFILLER_5_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66858_ _66528_/A _66820_/Y _66858_/C VGND VGND VPWR VPWR _66859_/C sky130_fd_sc_hd__nor3_2
+XFILLER_467_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_2840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_492_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_491_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_264_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_276_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56611_ _56611_/A _56611_/B VGND VGND VPWR VPWR _56612_/C sky130_fd_sc_hd__nor2_2
+XFILLER_61_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53823_ _53821_/Y _53822_/X VGND VGND VPWR VPWR _53823_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_492_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65809_ _81463_/Q _65311_/B VGND VGND VPWR VPWR _65811_/B sky130_fd_sc_hd__nor2_2
+XPHY_6727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_99_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38757_ _38768_/A _38760_/B _80299_/Q VGND VGND VPWR VPWR _38757_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_487_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57591_ _75770_/C _57512_/B VGND VGND VPWR VPWR _57593_/B sky130_fd_sc_hd__nor2_2
+XFILLER_628_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69577_ _69577_/A VGND VGND VPWR VPWR _55935_/A sky130_fd_sc_hd__inv_8
+XFILLER_483_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66789_ _65124_/X VGND VGND VPWR VPWR _66789_/X sky130_fd_sc_hd__buf_1
+XPHY_6749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_461_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59330_ _59330_/A _59329_/Y VGND VGND VPWR VPWR _59331_/B sky130_fd_sc_hd__nor2_2
+XFILLER_721_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80806_ _80751_/CLK _80806_/D VGND VGND VPWR VPWR _68197_/A sky130_fd_sc_hd__dfxtp_4
+X_56542_ _58740_/A _56540_/Y _56541_/Y VGND VGND VPWR VPWR _56546_/B sky130_fd_sc_hd__nor3_2
+XFILLER_151_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68528_ _68528_/A _68528_/B _68528_/C VGND VGND VPWR VPWR _68528_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_417_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53754_ _53754_/A _53757_/B VGND VGND VPWR VPWR _53754_/Y sky130_fd_sc_hd__nand2_2
+XPHY_38519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38688_ _38688_/A _38688_/B VGND VGND VPWR VPWR _38688_/X sky130_fd_sc_hd__or2_2
+XFILLER_445_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50966_ _50991_/B VGND VGND VPWR VPWR _50967_/B sky130_fd_sc_hd__buf_1
+XFILLER_77_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_216_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_285_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_3_2_0_u_core.clock clkbuf_2_1_3_u_core.clock/X VGND VGND VPWR VPWR clkbuf_3_2_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_426_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_281_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52705_ _52614_/X _52717_/B VGND VGND VPWR VPWR _52705_/X sky130_fd_sc_hd__or2_2
+XFILLER_528_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59261_ _59093_/A VGND VGND VPWR VPWR _60090_/A sky130_fd_sc_hd__buf_1
+XFILLER_268_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56473_ _64643_/A _56355_/B VGND VGND VPWR VPWR _56473_/Y sky130_fd_sc_hd__nor2_2
+X_80737_ _80734_/CLK _75237_/Y VGND VGND VPWR VPWR _80737_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_524_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68459_ _65989_/A VGND VGND VPWR VPWR _68627_/A sky130_fd_sc_hd__buf_1
+XFILLER_309_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_37829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53685_ _53657_/A _53700_/B VGND VGND VPWR VPWR _53686_/B sky130_fd_sc_hd__or2_2
+XFILLER_404_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50897_ _50925_/A _50900_/B VGND VGND VPWR VPWR _50897_/X sky130_fd_sc_hd__or2_2
+XFILLER_38_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_90_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58212_ _80326_/Q _58063_/B VGND VGND VPWR VPWR _58214_/B sky130_fd_sc_hd__nor2_2
+XFILLER_129_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_604_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_266_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55424_ _55432_/A _55424_/B VGND VGND VPWR VPWR _55424_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_721_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40650_ _40670_/A VGND VGND VPWR VPWR _40659_/A sky130_fd_sc_hd__buf_1
+X_52636_ _52750_/A _52632_/B VGND VGND VPWR VPWR _52637_/B sky130_fd_sc_hd__or2_2
+XPHY_27339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59192_ _53526_/A _63877_/B VGND VGND VPWR VPWR _59193_/C sky130_fd_sc_hd__nor2_2
+XFILLER_420_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71470_ _71661_/A _71470_/B _71469_/X VGND VGND VPWR VPWR _71470_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_246_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80668_ _80637_/CLK _75520_/Y VGND VGND VPWR VPWR _75519_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_26605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_537_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_189_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_231_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_442_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_227_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_587_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39309_ _39271_/X VGND VGND VPWR VPWR _39317_/A sky130_fd_sc_hd__buf_1
+XPHY_16104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58143_ _57815_/A _58141_/Y _58143_/C VGND VGND VPWR VPWR _58144_/C sky130_fd_sc_hd__nor3_2
+X_70421_ _70420_/Y VGND VGND VPWR VPWR _70421_/Y sky130_fd_sc_hd__inv_8
+XFILLER_40_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55355_ _55369_/A _55355_/B VGND VGND VPWR VPWR _55355_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_184_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40581_ _40577_/A _40581_/B _57641_/A VGND VGND VPWR VPWR _40581_/Y sky130_fd_sc_hd__nand3_2
+XPHY_25904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52567_ _52565_/Y _52566_/X VGND VGND VPWR VPWR _52567_/Y sky130_fd_sc_hd__nand2_2
+XPHY_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_145_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_185_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_494_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80599_ _80597_/CLK _80599_/D VGND VGND VPWR VPWR _80599_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_25915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_227_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_691_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_420_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_185_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_678_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_11_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42320_ _45255_/A VGND VGND VPWR VPWR _42320_/X sky130_fd_sc_hd__buf_1
+XFILLER_16_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54306_ _54248_/X _54300_/B VGND VGND VPWR VPWR _54306_/X sky130_fd_sc_hd__or2_2
+XFILLER_279_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73140_ _40393_/A VGND VGND VPWR VPWR _74966_/A sky130_fd_sc_hd__buf_1
+XPHY_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_715_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51518_ _77790_/Q _51518_/B VGND VGND VPWR VPWR _51518_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_357_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_297_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58074_ _57904_/A _58074_/B _58074_/C VGND VGND VPWR VPWR _58079_/B sky130_fd_sc_hd__nor3_2
+XPHY_16159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70352_ _70347_/X _70351_/X VGND VGND VPWR VPWR _70352_/X sky130_fd_sc_hd__or2_2
+XFILLER_142_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_275_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_8_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_184_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55286_ _43484_/A _55282_/Y _55285_/Y VGND VGND VPWR VPWR _55612_/B sky130_fd_sc_hd__or3_2
+XFILLER_557_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_156_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52498_ _52495_/Y _52498_/B VGND VGND VPWR VPWR _77532_/D sky130_fd_sc_hd__nand2_2
+XFILLER_546_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_394_3070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_652_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_49_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57025_ _81303_/Q _56778_/B VGND VGND VPWR VPWR _57027_/B sky130_fd_sc_hd__nor2_2
+XFILLER_723_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42251_ _42250_/Y _42226_/B VGND VGND VPWR VPWR _42252_/B sky130_fd_sc_hd__nor2_2
+X_54237_ _54236_/X _54241_/B VGND VGND VPWR VPWR _54237_/X sky130_fd_sc_hd__or2_2
+XFILLER_101_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73071_ _73074_/A _73079_/B _65939_/A VGND VGND VPWR VPWR _73073_/A sky130_fd_sc_hd__nand3_2
+Xclkbuf_9_241_0_u_core.clock clkbuf_9_241_0_u_core.clock/A VGND VGND VPWR VPWR _79342_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_419_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51449_ _61349_/A _51456_/B VGND VGND VPWR VPWR _51449_/Y sky130_fd_sc_hd__nand2_2
+XPHY_14724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70283_ _70303_/A _70283_/B VGND VGND VPWR VPWR _70283_/X sky130_fd_sc_hd__or2_2
+XFILLER_123_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_527_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_554_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_691_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_561_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_41202_ _41192_/A _41196_/B _67617_/A VGND VGND VPWR VPWR _41203_/B sky130_fd_sc_hd__nand3_2
+XFILLER_704_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72022_ _72022_/A _42430_/B VGND VGND VPWR VPWR _72022_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_570_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42182_ _42469_/A VGND VGND VPWR VPWR _42186_/A sky130_fd_sc_hd__buf_1
+XFILLER_570_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54168_ _54072_/A _54168_/B VGND VGND VPWR VPWR _54169_/B sky130_fd_sc_hd__or2_2
+XFILLER_84_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_292_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_256_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_299_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53119_ _53063_/A _53131_/B VGND VGND VPWR VPWR _53120_/B sky130_fd_sc_hd__or2_2
+X_41133_ _41131_/X _41132_/Y VGND VGND VPWR VPWR _41133_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_390_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76830_ _76841_/CLK _76830_/D VGND VGND VPWR VPWR _41671_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_341_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46990_ _70521_/X _46983_/B VGND VGND VPWR VPWR _46991_/C sky130_fd_sc_hd__and2_2
+XFILLER_687_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54099_ _54099_/A _54098_/X VGND VGND VPWR VPWR _77110_/D sky130_fd_sc_hd__nand2_2
+X_58976_ _59810_/A VGND VGND VPWR VPWR _59326_/B sky130_fd_sc_hd__buf_1
+XFILLER_273_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_140_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45941_ _42624_/B _45926_/X VGND VGND VPWR VPWR _45942_/C sky130_fd_sc_hd__nor2_2
+XFILLER_156_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41064_ _41064_/A _41064_/B VGND VGND VPWR VPWR _41064_/Y sky130_fd_sc_hd__nand2_2
+XPHY_30734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57927_ _67602_/A _58250_/B VGND VGND VPWR VPWR _57928_/C sky130_fd_sc_hd__nor2_2
+XFILLER_175_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76761_ _77028_/CLK _76761_/D VGND VGND VPWR VPWR _76761_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_9353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73973_ _73973_/A _73973_/B VGND VGND VPWR VPWR _73973_/X sky130_fd_sc_hd__or2_2
+XFILLER_84_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_357_0_u_core.clock clkbuf_9_357_0_u_core.clock/A VGND VGND VPWR VPWR _77660_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_336_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_20222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_79_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78500_ _78477_/CLK _48805_/Y VGND VGND VPWR VPWR _63970_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_62_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40015_ _39999_/A _40011_/X _79981_/Q VGND VGND VPWR VPWR _40015_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_322_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_656_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75712_ _75835_/A _75712_/B VGND VGND VPWR VPWR _75714_/A sky130_fd_sc_hd__or2_2
+XFILLER_456_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48660_ _48718_/A _48657_/B VGND VGND VPWR VPWR _48661_/B sky130_fd_sc_hd__or2_2
+XFILLER_629_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72924_ _73517_/A VGND VGND VPWR VPWR _72930_/A sky130_fd_sc_hd__buf_1
+XPHY_9397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79480_ _79474_/CLK _42530_/Y VGND VGND VPWR VPWR _55113_/A sky130_fd_sc_hd__dfxtp_4
+X_45872_ _45878_/A _45868_/Y _45872_/C VGND VGND VPWR VPWR _79084_/D sky130_fd_sc_hd__nor3_2
+X_57858_ _57858_/A _57935_/B VGND VGND VPWR VPWR _57858_/Y sky130_fd_sc_hd__nor2_2
+XPHY_30789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76692_ _79116_/CLK _76692_/D VGND VGND VPWR VPWR _70665_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_8663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_483_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_65_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_94_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47611_ _47627_/B VGND VGND VPWR VPWR _47611_/X sky130_fd_sc_hd__buf_1
+X_78431_ _76762_/CLK _78431_/D VGND VGND VPWR VPWR _63245_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_23_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56809_ _65307_/A _56809_/B VGND VGND VPWR VPWR _56809_/Y sky130_fd_sc_hd__nor2_2
+X_44823_ _79187_/Q _44768_/X _44823_/C VGND VGND VPWR VPWR _44823_/Y sky130_fd_sc_hd__nor3_2
+XPHY_8696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75643_ _75643_/A VGND VGND VPWR VPWR _75651_/A sky130_fd_sc_hd__buf_1
+XFILLER_644_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_311_2982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_294_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48591_ _48588_/Y _48590_/X VGND VGND VPWR VPWR _78554_/D sky130_fd_sc_hd__nand2_2
+X_72855_ _72852_/X _72854_/Y VGND VGND VPWR VPWR _81322_/D sky130_fd_sc_hd__nand2_2
+XFILLER_1_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57789_ _57715_/A _57789_/B _57788_/Y VGND VGND VPWR VPWR _57798_/A sky130_fd_sc_hd__nor3_2
+XFILLER_130_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_235_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47542_ _47605_/A _47545_/B VGND VGND VPWR VPWR _47543_/B sky130_fd_sc_hd__or2_2
+XFILLER_409_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59528_ _54302_/A _59080_/B VGND VGND VPWR VPWR _59529_/C sky130_fd_sc_hd__nor2_2
+X_71806_ _71806_/A VGND VGND VPWR VPWR _71807_/A sky130_fd_sc_hd__inv_8
+XFILLER_624_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78362_ _78405_/CLK _49338_/Y VGND VGND VPWR VPWR _62592_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_529_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44754_ _68021_/A _44700_/A _44742_/B VGND VGND VPWR VPWR _44754_/X sky130_fd_sc_hd__and3_2
+XPHY_29220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75574_ _75572_/X _75574_/B VGND VGND VPWR VPWR _80657_/D sky130_fd_sc_hd__nand2_2
+XFILLER_425_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41966_ _44935_/B VGND VGND VPWR VPWR _45205_/B sky130_fd_sc_hd__buf_1
+XFILLER_210_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72786_ _72666_/A _72790_/B VGND VGND VPWR VPWR _72786_/X sky130_fd_sc_hd__or2_2
+XPHY_29231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_447_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77313_ _76882_/CLK _53330_/Y VGND VGND VPWR VPWR _77313_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_95_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43705_ _43705_/A VGND VGND VPWR VPWR _43705_/Y sky130_fd_sc_hd__inv_8
+XFILLER_35_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_5_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74525_ _74522_/X _74524_/X VGND VGND VPWR VPWR _74525_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_451_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40917_ _40917_/A _40906_/B _58256_/A VGND VGND VPWR VPWR _40917_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_657_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59459_ _59459_/A _63885_/B VGND VGND VPWR VPWR _59459_/Y sky130_fd_sc_hd__nor2_2
+X_71737_ _71399_/A _70566_/X VGND VGND VPWR VPWR _71737_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_108_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_186_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47473_ _47471_/Y _47472_/X VGND VGND VPWR VPWR _78833_/D sky130_fd_sc_hd__nand2_2
+XFILLER_605_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78293_ _78301_/CLK _49612_/Y VGND VGND VPWR VPWR _61801_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_34_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44685_ _44737_/A _44737_/B VGND VGND VPWR VPWR _44733_/C sky130_fd_sc_hd__nand2_2
+XFILLER_1_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_234_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41897_ _41897_/A VGND VGND VPWR VPWR _41897_/X sky130_fd_sc_hd__buf_1
+XFILLER_622_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_21_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_366_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49212_ _49212_/A _49211_/X VGND VGND VPWR VPWR _49212_/Y sky130_fd_sc_hd__nand2_2
+XPHY_29297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_622_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_477_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46424_ _46424_/A VGND VGND VPWR VPWR _46425_/B sky130_fd_sc_hd__inv_8
+XFILLER_602_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77244_ _77241_/CLK _77244_/D VGND VGND VPWR VPWR _77244_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_56_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43636_ _71832_/A _43650_/B VGND VGND VPWR VPWR _43638_/B sky130_fd_sc_hd__nor2_2
+XFILLER_147_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62470_ _78098_/Q _62470_/B VGND VGND VPWR VPWR _62470_/Y sky130_fd_sc_hd__nor2_2
+XPHY_18040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74456_ _74205_/A _74452_/B VGND VGND VPWR VPWR _74456_/X sky130_fd_sc_hd__or2_2
+XFILLER_280_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40848_ _40772_/X VGND VGND VPWR VPWR _40855_/B sky130_fd_sc_hd__buf_1
+XFILLER_676_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71668_ _75515_/A VGND VGND VPWR VPWR _73199_/A sky130_fd_sc_hd__buf_1
+XFILLER_147_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_692_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_575_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61421_ _52228_/A _61106_/B VGND VGND VPWR VPWR _61421_/Y sky130_fd_sc_hd__nor2_2
+X_49143_ _49114_/A _49164_/B VGND VGND VPWR VPWR _49144_/B sky130_fd_sc_hd__or2_2
+XFILLER_245_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73407_ _73425_/A VGND VGND VPWR VPWR _73408_/B sky130_fd_sc_hd__buf_1
+XFILLER_37_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46355_ _46355_/A _46339_/Y _46355_/C VGND VGND VPWR VPWR _46465_/C sky130_fd_sc_hd__or3_2
+XFILLER_584_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_438_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_70619_ _69893_/A _70618_/Y _69638_/A _70586_/X VGND VGND VPWR VPWR _70619_/X sky130_fd_sc_hd__o22a_4
+XFILLER_657_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77175_ _77183_/CLK _77175_/D VGND VGND VPWR VPWR _77175_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43567_ _43549_/A VGND VGND VPWR VPWR _43575_/B sky130_fd_sc_hd__buf_1
+XFILLER_188_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74387_ _74141_/A _74394_/B VGND VGND VPWR VPWR _74389_/A sky130_fd_sc_hd__or2_2
+XPHY_18095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_395_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40779_ _40777_/X _40779_/B VGND VGND VPWR VPWR _40779_/Y sky130_fd_sc_hd__nand2_2
+XPHY_27884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71599_ _71631_/A _71598_/X VGND VGND VPWR VPWR _71600_/B sky130_fd_sc_hd__and2_2
+XFILLER_106_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_349_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45306_ _45089_/A _55621_/B VGND VGND VPWR VPWR _45306_/Y sky130_fd_sc_hd__nor2_2
+X_64140_ _68364_/A VGND VGND VPWR VPWR _69307_/A sky130_fd_sc_hd__buf_1
+X_76126_ _74723_/A VGND VGND VPWR VPWR _38271_/A sky130_fd_sc_hd__buf_1
+XFILLER_420_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61352_ _61040_/A _61352_/B _61351_/Y VGND VGND VPWR VPWR _61353_/C sky130_fd_sc_hd__nor3_2
+X_42518_ _42547_/A _42518_/B VGND VGND VPWR VPWR _42518_/X sky130_fd_sc_hd__and2_2
+XFILLER_638_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73338_ _73323_/X _73324_/X _66439_/A VGND VGND VPWR VPWR _73340_/A sky130_fd_sc_hd__nand3_2
+X_49074_ _49074_/A VGND VGND VPWR VPWR _49075_/B sky130_fd_sc_hd__buf_1
+XFILLER_223_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_592_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46286_ _79024_/Q _46286_/B VGND VGND VPWR VPWR _46289_/B sky130_fd_sc_hd__nor2_2
+XPHY_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_54_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43498_ _43498_/A _43497_/X VGND VGND VPWR VPWR _44917_/A sky130_fd_sc_hd__or2_2
+XFILLER_163_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_713_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_477_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48025_ _48025_/A _48037_/B VGND VGND VPWR VPWR _48025_/X sky130_fd_sc_hd__or2_2
+X_60303_ _59822_/A _60301_/Y _60303_/C VGND VGND VPWR VPWR _60307_/B sky130_fd_sc_hd__nor3_2
+XFILLER_329_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45237_ _45237_/A _45412_/B VGND VGND VPWR VPWR _45237_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_546_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64071_ _64070_/X VGND VGND VPWR VPWR _65015_/A sky130_fd_sc_hd__buf_1
+XFILLER_102_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76057_ _76057_/A _76057_/B VGND VGND VPWR VPWR _76057_/X sky130_fd_sc_hd__or2_2
+XFILLER_329_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42449_ _42449_/A VGND VGND VPWR VPWR _42593_/A sky130_fd_sc_hd__buf_1
+XFILLER_506_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61283_ _51804_/A _60804_/B VGND VGND VPWR VPWR _61285_/B sky130_fd_sc_hd__nor2_2
+XFILLER_455_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73269_ _73269_/A VGND VGND VPWR VPWR _73381_/B sky130_fd_sc_hd__buf_1
+XPHY_34061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_436_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_184_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_635_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63022_ _78629_/Q _63022_/B VGND VGND VPWR VPWR _63022_/Y sky130_fd_sc_hd__nor2_2
+X_75008_ _75008_/A _75007_/X VGND VGND VPWR VPWR _75008_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_154_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60234_ _59426_/A VGND VGND VPWR VPWR _60235_/B sky130_fd_sc_hd__buf_1
+XFILLER_141_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_631_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45168_ _45035_/X _45168_/B VGND VGND VPWR VPWR _45168_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_613_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_679_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_616_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_67_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67830_ _67830_/A _67495_/B VGND VGND VPWR VPWR _67830_/Y sky130_fd_sc_hd__nor2_2
+X_44119_ _44220_/A _44110_/Y _44119_/C VGND VGND VPWR VPWR _44121_/B sky130_fd_sc_hd__nor3_2
+XFILLER_451_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79816_ _79809_/CLK _79816_/D VGND VGND VPWR VPWR _58357_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_193_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60165_ _58974_/X VGND VGND VPWR VPWR _60166_/A sky130_fd_sc_hd__buf_1
+XFILLER_588_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49976_ _49973_/Y _49976_/B VGND VGND VPWR VPWR _78197_/D sky130_fd_sc_hd__nand2_2
+X_45099_ _42133_/A _45069_/B VGND VGND VPWR VPWR _45099_/Y sky130_fd_sc_hd__nor2_2
+XPHY_32670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_512_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_143_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_451_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_332_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_67_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_291_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_350_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_312_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48927_ _48927_/A VGND VGND VPWR VPWR _49050_/A sky130_fd_sc_hd__buf_1
+XPHY_22180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67761_ _67580_/X _67757_/Y _67760_/Y VGND VGND VPWR VPWR _67761_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_63_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_710_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79747_ _79798_/CLK _79747_/D VGND VGND VPWR VPWR _58017_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_410_3059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64973_ _75814_/C _64340_/B VGND VGND VPWR VPWR _64974_/C sky130_fd_sc_hd__nor2_2
+X_60096_ _60096_/A _60092_/Y _60096_/C VGND VGND VPWR VPWR _60096_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_332_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76959_ _76939_/CLK _54659_/Y VGND VGND VPWR VPWR _59455_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_22191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_256_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_449_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69500_ _69500_/A _69500_/B _69499_/Y VGND VGND VPWR VPWR _69504_/B sky130_fd_sc_hd__nor3_2
+XFILLER_69_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66712_ _80765_/Q _67215_/B VGND VGND VPWR VPWR _66714_/B sky130_fd_sc_hd__nor2_2
+XFILLER_281_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39660_ _39663_/A _39668_/B _67830_/A VGND VGND VPWR VPWR _39660_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_217_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63924_ _78403_/Q _59054_/X VGND VGND VPWR VPWR _63925_/C sky130_fd_sc_hd__nor2_2
+XFILLER_174_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48858_ _48858_/A _48857_/X VGND VGND VPWR VPWR _48858_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_230_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67692_ _43696_/Y _68024_/B VGND VGND VPWR VPWR _67695_/B sky130_fd_sc_hd__nor2_2
+XFILLER_300_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79678_ _79711_/CLK _79678_/D VGND VGND VPWR VPWR _57612_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_80_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_332_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38611_ _38609_/X _38611_/B VGND VGND VPWR VPWR _38611_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_525_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69431_ _64475_/X _69429_/Y _69431_/C VGND VGND VPWR VPWR _69432_/C sky130_fd_sc_hd__nor3_2
+XFILLER_484_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47809_ _47686_/X _47799_/X VGND VGND VPWR VPWR _47810_/B sky130_fd_sc_hd__or2_2
+XFILLER_269_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66643_ _80604_/Q _66157_/B VGND VGND VPWR VPWR _66644_/C sky130_fd_sc_hd__nor2_2
+X_78629_ _78129_/CLK _48285_/Y VGND VGND VPWR VPWR _78629_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_285_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39591_ _39518_/A VGND VGND VPWR VPWR _39592_/B sky130_fd_sc_hd__buf_1
+XFILLER_148_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63855_ _48342_/A _63295_/X VGND VGND VPWR VPWR _63856_/C sky130_fd_sc_hd__nor2_2
+XFILLER_386_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_529_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48789_ _48880_/A _48777_/B VGND VGND VPWR VPWR _48789_/X sky130_fd_sc_hd__or2_2
+XFILLER_662_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_300_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38542_ _38539_/X _38542_/B VGND VGND VPWR VPWR _38542_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_361_82 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50820_ _50763_/A _50823_/B VGND VGND VPWR VPWR _50820_/X sky130_fd_sc_hd__or2_2
+XFILLER_455_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62806_ _62806_/A _62963_/B VGND VGND VPWR VPWR _62807_/C sky130_fd_sc_hd__nor2_2
+XFILLER_662_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69362_ _58771_/A _69362_/B VGND VGND VPWR VPWR _69363_/C sky130_fd_sc_hd__nor2_2
+XFILLER_272_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_187_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_705_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66574_ _66574_/A _66574_/B VGND VGND VPWR VPWR _66574_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_66_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63786_ _63786_/A _63521_/X VGND VGND VPWR VPWR _63786_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_22_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60998_ _60838_/A _60998_/B _60998_/C VGND VGND VPWR VPWR _61002_/B sky130_fd_sc_hd__nor3_2
+XFILLER_269_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68313_ _68313_/A _68312_/X VGND VGND VPWR VPWR _68313_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_254_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_267_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65525_ _66013_/A _65521_/Y _65525_/C VGND VGND VPWR VPWR _65525_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_59_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38473_ _38473_/A _38466_/X VGND VGND VPWR VPWR _38473_/X sky130_fd_sc_hd__or2_2
+X_50751_ _50836_/A _50754_/B VGND VGND VPWR VPWR _50752_/B sky130_fd_sc_hd__or2_2
+X_62737_ _60833_/A VGND VGND VPWR VPWR _63054_/A sky130_fd_sc_hd__buf_1
+XFILLER_697_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69293_ _69293_/A _64477_/X VGND VGND VPWR VPWR _69293_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_525_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_427_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_588_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_207_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80522_ _80465_/CLK _80522_/D VGND VGND VPWR VPWR _68874_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_365_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68244_ _68747_/A _68242_/Y _68243_/Y VGND VGND VPWR VPWR _68244_/Y sky130_fd_sc_hd__nor3_2
+X_53470_ _53505_/A _53493_/B VGND VGND VPWR VPWR _53470_/X sky130_fd_sc_hd__or2_2
+XFILLER_74_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_228_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65456_ _68958_/A VGND VGND VPWR VPWR _65626_/B sky130_fd_sc_hd__buf_1
+XFILLER_402_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_326_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50682_ _50682_/A _50681_/X VGND VGND VPWR VPWR _78009_/D sky130_fd_sc_hd__nand2_2
+XFILLER_631_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62668_ _62668_/A _62983_/B VGND VGND VPWR VPWR _62670_/B sky130_fd_sc_hd__nor2_2
+XFILLER_224_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_703_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_595_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52421_ _52421_/A _52421_/B VGND VGND VPWR VPWR _77551_/D sky130_fd_sc_hd__nand2_2
+X_64407_ _64407_/A _64403_/Y _64407_/C VGND VGND VPWR VPWR _64407_/Y sky130_fd_sc_hd__nor3_2
+X_61619_ _61931_/A _61619_/B _61618_/Y VGND VGND VPWR VPWR _61623_/B sky130_fd_sc_hd__nor3_2
+X_80453_ _80421_/CLK _76383_/Y VGND VGND VPWR VPWR _68056_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_421_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68175_ _68337_/A _68175_/B _68174_/Y VGND VGND VPWR VPWR _68175_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_143_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65387_ _65881_/A _65387_/B _65387_/C VGND VGND VPWR VPWR _65392_/B sky130_fd_sc_hd__nor3_2
+XFILLER_397_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_298_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_716_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_263_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62599_ _49538_/A _62443_/B VGND VGND VPWR VPWR _62601_/B sky130_fd_sc_hd__nor2_2
+XFILLER_397_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_421_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_675_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_691_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55140_ _69978_/A _55139_/Y VGND VGND VPWR VPWR _55141_/C sky130_fd_sc_hd__nor2_2
+X_67126_ _66612_/X _67126_/B _67125_/Y VGND VGND VPWR VPWR _67126_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_33_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52352_ _52350_/Y _52351_/X VGND VGND VPWR VPWR _77571_/D sky130_fd_sc_hd__nand2_2
+X_64338_ _65367_/A VGND VGND VPWR VPWR _68003_/A sky130_fd_sc_hd__buf_1
+XFILLER_396_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80384_ _80384_/CLK _80384_/D VGND VGND VPWR VPWR _38403_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_104_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_656_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_383_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39025_ _39022_/X _39024_/Y VGND VGND VPWR VPWR _80228_/D sky130_fd_sc_hd__nand2_2
+X_51303_ _51301_/Y _51302_/X VGND VGND VPWR VPWR _77847_/D sky130_fd_sc_hd__nand2_2
+XFILLER_159_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_382_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55071_ _55073_/A _69566_/B VGND VGND VPWR VPWR _55081_/A sky130_fd_sc_hd__or2_2
+X_67057_ _80479_/Q _66724_/B VGND VGND VPWR VPWR _67057_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_438_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_374_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52283_ _52306_/B VGND VGND VPWR VPWR _52305_/B sky130_fd_sc_hd__buf_1
+XFILLER_193_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64269_ _64208_/A VGND VGND VPWR VPWR _64270_/A sky130_fd_sc_hd__buf_1
+XFILLER_378_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54022_ _54020_/Y _54022_/B VGND VGND VPWR VPWR _54022_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_336_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66008_ _57078_/A _66007_/X VGND VGND VPWR VPWR _66008_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_85_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51234_ _51229_/A VGND VGND VPWR VPWR _51262_/B sky130_fd_sc_hd__buf_1
+XPHY_13319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_2_2_2_u_core.clock clkbuf_2_2_2_u_core.clock/A VGND VGND VPWR VPWR clkbuf_2_2_2_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_159_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_11_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_552_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_3027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81005_ _81005_/CLK _74166_/Y VGND VGND VPWR VPWR _81005_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_66_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58830_ _56265_/A _58830_/B VGND VGND VPWR VPWR _58847_/B sky130_fd_sc_hd__nor2_2
+XFILLER_686_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51165_ _51165_/A _51164_/X VGND VGND VPWR VPWR _51165_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_157_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_536_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_669_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50116_ _50116_/A _50116_/B VGND VGND VPWR VPWR _50116_/X sky130_fd_sc_hd__or2_2
+XFILLER_489_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39927_ _39941_/A _39912_/X _68141_/A VGND VGND VPWR VPWR _39928_/B sky130_fd_sc_hd__nand3_2
+Xclkbuf_7_55_0_u_core.clock clkbuf_7_55_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_55_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_27_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58761_ _56366_/X _58761_/B _58761_/C VGND VGND VPWR VPWR _58761_/Y sky130_fd_sc_hd__nor3_2
+XPHY_11928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55973_ _55985_/A VGND VGND VPWR VPWR _55974_/B sky130_fd_sc_hd__buf_1
+X_51096_ _51007_/A _51108_/B VGND VGND VPWR VPWR _51097_/B sky130_fd_sc_hd__or2_2
+X_67959_ _68274_/A _67959_/B _67959_/C VGND VGND VPWR VPWR _67959_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_88_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_172_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_685_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57712_ _67318_/A _57633_/B VGND VGND VPWR VPWR _57712_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_191_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_66_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50047_ _49991_/A _50044_/B VGND VGND VPWR VPWR _50048_/B sky130_fd_sc_hd__or2_2
+XFILLER_467_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54924_ _54952_/A _54930_/B VGND VGND VPWR VPWR _54925_/B sky130_fd_sc_hd__or2_2
+XFILLER_330_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_686_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39858_ _39858_/A _39858_/B VGND VGND VPWR VPWR _39858_/Y sky130_fd_sc_hd__nand2_2
+X_58692_ _58692_/A _56312_/B VGND VGND VPWR VPWR _58692_/Y sky130_fd_sc_hd__nor2_2
+X_70970_ _70948_/A VGND VGND VPWR VPWR _71899_/A sky130_fd_sc_hd__buf_1
+XFILLER_270_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_44_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38809_ _38809_/A _38805_/B _80285_/Q VGND VGND VPWR VPWR _38809_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_448_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57643_ _57643_/A VGND VGND VPWR VPWR _57796_/A sky130_fd_sc_hd__buf_1
+XFILLER_653_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69629_ _69629_/A VGND VGND VPWR VPWR _69631_/A sky130_fd_sc_hd__inv_8
+XFILLER_5_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54855_ _54939_/A _54873_/B VGND VGND VPWR VPWR _54856_/B sky130_fd_sc_hd__or2_2
+XFILLER_350_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_3071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39789_ _39786_/X _39789_/B VGND VGND VPWR VPWR _80036_/D sky130_fd_sc_hd__nand2_2
+XPHY_6524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_437_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_385_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_217_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41820_ _41820_/A VGND VGND VPWR VPWR _41820_/X sky130_fd_sc_hd__buf_1
+X_53806_ _53834_/A _53818_/B VGND VGND VPWR VPWR _53806_/X sky130_fd_sc_hd__or2_2
+XPHY_39039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72640_ _72676_/A VGND VGND VPWR VPWR _72652_/B sky130_fd_sc_hd__buf_1
+XFILLER_328_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57574_ _57574_/A _57411_/B VGND VGND VPWR VPWR _57575_/C sky130_fd_sc_hd__nor2_2
+XFILLER_492_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_75_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_268_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54786_ _54488_/A VGND VGND VPWR VPWR _54815_/B sky130_fd_sc_hd__buf_1
+XFILLER_96_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51998_ _60530_/A _51977_/B VGND VGND VPWR VPWR _51998_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_723_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59313_ _77006_/Q _59313_/B VGND VGND VPWR VPWR _59313_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_244_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56525_ _56291_/A _56520_/Y _56525_/C VGND VGND VPWR VPWR _56525_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_328_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_229_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_508_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41751_ _41758_/A _41750_/X VGND VGND VPWR VPWR _41751_/X sky130_fd_sc_hd__or2_2
+XFILLER_183_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53737_ _53737_/A _53751_/B VGND VGND VPWR VPWR _53740_/A sky130_fd_sc_hd__nand2_2
+XFILLER_344_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72571_ _72571_/A _72571_/B _81395_/Q VGND VGND VPWR VPWR _72571_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_405_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_140_0_u_core.clock clkbuf_7_70_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_281_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_50949_ _77937_/Q _50949_/B VGND VGND VPWR VPWR _50949_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_598_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_57_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74310_ _74291_/A VGND VGND VPWR VPWR _74311_/B sky130_fd_sc_hd__buf_1
+XFILLER_148_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_309_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40702_ _40445_/X _40695_/B VGND VGND VPWR VPWR _40702_/X sky130_fd_sc_hd__or2_2
+XFILLER_17_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59244_ _60687_/A VGND VGND VPWR VPWR _64026_/B sky130_fd_sc_hd__buf_1
+X_71522_ _71464_/A _71452_/B VGND VGND VPWR VPWR _71522_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_461_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44470_ _44470_/A _44470_/B VGND VGND VPWR VPWR _44473_/A sky130_fd_sc_hd__nor2_2
+X_56456_ _56535_/A _56452_/Y _56456_/C VGND VGND VPWR VPWR _56457_/C sky130_fd_sc_hd__nor3_2
+XFILLER_363_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75290_ _75288_/X _75290_/B VGND VGND VPWR VPWR _75290_/Y sky130_fd_sc_hd__nand2_2
+XPHY_27125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_521_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_51_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41682_ _41682_/A VGND VGND VPWR VPWR _41713_/C sky130_fd_sc_hd__buf_1
+XPHY_36914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53668_ _53666_/Y _53667_/X VGND VGND VPWR VPWR _77224_/D sky130_fd_sc_hd__nand2_2
+XPHY_37659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_38_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_142_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_2991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_363_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43421_ _43421_/A _43421_/B VGND VGND VPWR VPWR _79354_/D sky130_fd_sc_hd__nand2_2
+XFILLER_548_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55407_ _55402_/X _55406_/X VGND VGND VPWR VPWR _76813_/D sky130_fd_sc_hd__nand2_2
+XFILLER_224_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74241_ _74500_/A _74227_/X VGND VGND VPWR VPWR _74245_/A sky130_fd_sc_hd__or2_2
+XFILLER_16_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52619_ _52640_/B VGND VGND VPWR VPWR _52632_/B sky130_fd_sc_hd__buf_1
+Xclkbuf_9_147_0_u_core.clock clkbuf_8_73_0_u_core.clock/X VGND VGND VPWR VPWR _77769_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_40633_ _40636_/A _40526_/B _40633_/C VGND VGND VPWR VPWR _40634_/B sky130_fd_sc_hd__nand3_2
+XFILLER_566_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71453_ _70446_/B _71452_/Y VGND VGND VPWR VPWR _71453_/X sky130_fd_sc_hd__or2_2
+XFILLER_502_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59175_ _59408_/A _59175_/B _59174_/Y VGND VGND VPWR VPWR _59175_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_396_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56387_ _58788_/A VGND VGND VPWR VPWR _56492_/A sky130_fd_sc_hd__buf_1
+XFILLER_12_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_709_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53599_ _53599_/A _53595_/B VGND VGND VPWR VPWR _53601_/A sky130_fd_sc_hd__nand2_2
+XFILLER_129_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_477_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_639_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_35_0_u_core.clock clkbuf_8_35_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_71_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_46140_ _46135_/X VGND VGND VPWR VPWR _46140_/Y sky130_fd_sc_hd__inv_8
+X_70404_ _70404_/A _69764_/X _70260_/C VGND VGND VPWR VPWR _70404_/Y sky130_fd_sc_hd__nor3_2
+X_58126_ _58525_/A VGND VGND VPWR VPWR _58127_/B sky130_fd_sc_hd__buf_1
+XFILLER_474_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43352_ _72013_/B _43359_/B VGND VGND VPWR VPWR _43355_/A sky130_fd_sc_hd__or2_2
+XPHY_15200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55338_ _55594_/A VGND VGND VPWR VPWR _55401_/A sky130_fd_sc_hd__buf_1
+XFILLER_164_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_205_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74172_ _74172_/A VGND VGND VPWR VPWR _74173_/B sky130_fd_sc_hd__buf_1
+XFILLER_404_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40564_ _40561_/X _40563_/Y VGND VGND VPWR VPWR _40564_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_693_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71384_ _71384_/A VGND VGND VPWR VPWR _71385_/B sky130_fd_sc_hd__inv_8
+XFILLER_201_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_33_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_724_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_220_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_51_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_199_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_717_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42303_ _42303_/A _42395_/A VGND VGND VPWR VPWR _42303_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_200_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_73123_ _73123_/A _73112_/B _69198_/A VGND VGND VPWR VPWR _73123_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_40_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46071_ _69886_/B _46071_/B VGND VGND VPWR VPWR _46072_/B sky130_fd_sc_hd__and2_2
+X_58057_ _57735_/A _58057_/B _58057_/C VGND VGND VPWR VPWR _58057_/Y sky130_fd_sc_hd__nor3_2
+X_70335_ _70174_/X _70329_/X _70234_/X _70334_/Y VGND VGND VPWR VPWR _70335_/X sky130_fd_sc_hd__o22a_4
+XFILLER_149_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43283_ _43283_/A _43282_/Y VGND VGND VPWR VPWR _79391_/D sky130_fd_sc_hd__nand2_2
+X_55269_ _55599_/A _55269_/B VGND VGND VPWR VPWR _55270_/C sky130_fd_sc_hd__nor2_2
+XFILLER_205_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78980_ _79100_/CLK _58867_/Y _46687_/X VGND VGND VPWR VPWR _78980_/Q sky130_fd_sc_hd__dfrtp_4
+XFILLER_554_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_550_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_292_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40495_ _40503_/A _40503_/B _65348_/A VGND VGND VPWR VPWR _40495_/Y sky130_fd_sc_hd__nand3_2
+XPHY_25789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_220_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_142_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_516_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_590_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57008_ _57008_/A _57008_/B _57008_/C VGND VGND VPWR VPWR _57009_/C sky130_fd_sc_hd__nor3_2
+XFILLER_300_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45022_ _45086_/A VGND VGND VPWR VPWR _45022_/X sky130_fd_sc_hd__buf_1
+XFILLER_123_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42234_ _42234_/A VGND VGND VPWR VPWR _42235_/A sky130_fd_sc_hd__inv_8
+XFILLER_494_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77931_ _77950_/CLK _50973_/Y VGND VGND VPWR VPWR _62619_/A sky130_fd_sc_hd__dfxtp_4
+X_73054_ _42948_/A _73051_/B VGND VGND VPWR VPWR _73055_/B sky130_fd_sc_hd__or2_2
+XFILLER_723_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70266_ _70285_/A _70266_/B _70266_/C VGND VGND VPWR VPWR _70266_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_550_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_354_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_253_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_335_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72005_ _71428_/A _72004_/Y VGND VGND VPWR VPWR _72005_/X sky130_fd_sc_hd__or2_2
+XFILLER_355_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49830_ _78236_/Q _49844_/B VGND VGND VPWR VPWR _49833_/A sky130_fd_sc_hd__nand2_2
+XFILLER_515_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_704_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_351_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_338_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42165_ _42178_/A _42165_/B VGND VGND VPWR VPWR _79509_/D sky130_fd_sc_hd__nor2_2
+XPHY_14598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1613 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77862_ _77998_/CLK _77862_/D VGND VGND VPWR VPWR _51219_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_4_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_446_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70197_ _70195_/Y _70196_/X _70182_/A _70360_/A VGND VGND VPWR VPWR _70197_/X sky130_fd_sc_hd__o22a_4
+XFILLER_414_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_298_124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_453_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_64_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_31232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_1411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_316_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79601_ _79599_/CLK _41504_/Y VGND VGND VPWR VPWR _56556_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_513_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41116_ _73227_/A VGND VGND VPWR VPWR _41116_/X sky130_fd_sc_hd__buf_1
+XFILLER_193_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76813_ _79174_/CLK _76813_/D VGND VGND VPWR VPWR _76813_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_13897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49761_ _49676_/X _49738_/A VGND VGND VPWR VPWR _49761_/X sky130_fd_sc_hd__or2_2
+XFILLER_257_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_370_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58959_ _59112_/A VGND VGND VPWR VPWR _59645_/A sky130_fd_sc_hd__buf_1
+XFILLER_273_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46973_ _71574_/A _46982_/B VGND VGND VPWR VPWR _46976_/B sky130_fd_sc_hd__nor2_2
+XFILLER_141_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42096_ _42096_/A _42095_/Y _42096_/C VGND VGND VPWR VPWR _42096_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_687_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77793_ _77787_/CLK _77793_/D VGND VGND VPWR VPWR _61170_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_685_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48712_ _48712_/A _48711_/X VGND VGND VPWR VPWR _48712_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79532_ _79533_/CLK _79532_/D VGND VGND VPWR VPWR _70136_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_468_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41047_ _39146_/A VGND VGND VPWR VPWR _41047_/X sky130_fd_sc_hd__buf_1
+X_45924_ _45911_/X _45924_/B _45924_/C VGND VGND VPWR VPWR _45924_/Y sky130_fd_sc_hd__nor3_2
+XPHY_30564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76744_ _78586_/CLK _62138_/Y VGND VGND VPWR VPWR _45415_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_611_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_485_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_668_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49692_ _78273_/Q _49680_/X VGND VGND VPWR VPWR _49694_/A sky130_fd_sc_hd__nand2_2
+X_61970_ _61500_/A _61968_/Y _61970_/C VGND VGND VPWR VPWR _61974_/B sky130_fd_sc_hd__nor3_2
+XFILLER_665_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73956_ _73963_/A _73963_/B _73956_/C VGND VGND VPWR VPWR _73957_/B sky130_fd_sc_hd__nand3_2
+XFILLER_685_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_234_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_267_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_485_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_428_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_230_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_212_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60921_ _77416_/Q _60611_/B VGND VGND VPWR VPWR _60921_/Y sky130_fd_sc_hd__nor2_2
+XPHY_8482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48643_ _48730_/A _48610_/A VGND VGND VPWR VPWR _48644_/B sky130_fd_sc_hd__or2_2
+X_72907_ _72901_/X _72907_/B _81311_/Q VGND VGND VPWR VPWR _72907_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_483_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79463_ _79533_/CLK _42713_/Y VGND VGND VPWR VPWR _69987_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_484_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45855_ _79087_/Q _45850_/B VGND VGND VPWR VPWR _45855_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_682_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76675_ _79353_/CLK _58848_/Y VGND VGND VPWR VPWR _72063_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_282_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_485_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73887_ _73885_/X _73886_/Y VGND VGND VPWR VPWR _73887_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_628_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_583_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_660_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_97_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_15_0_u_core.clock clkbuf_8_7_0_u_core.clock/X VGND VGND VPWR VPWR _78525_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_462_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_282_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_208_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78414_ _77915_/CLK _49134_/Y VGND VGND VPWR VPWR _63099_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_43_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44806_ _44676_/A _44802_/Y _44745_/X _44805_/X VGND VGND VPWR VPWR _44807_/B sky130_fd_sc_hd__o22a_4
+X_63640_ _63640_/A _64033_/B VGND VGND VPWR VPWR _63641_/C sky130_fd_sc_hd__nor2_2
+XFILLER_702_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75626_ _75640_/A _75626_/B _80645_/Q VGND VGND VPWR VPWR _75626_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_444_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60852_ _77743_/Q _60542_/B VGND VGND VPWR VPWR _60854_/B sky130_fd_sc_hd__nor2_2
+XFILLER_23_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72838_ _73635_/A _72842_/B VGND VGND VPWR VPWR _72840_/A sky130_fd_sc_hd__or2_2
+XFILLER_264_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48574_ _48486_/X _48551_/A VGND VGND VPWR VPWR _48575_/B sky130_fd_sc_hd__or2_2
+XFILLER_437_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79394_ _79395_/CLK _79394_/D VGND VGND VPWR VPWR _58405_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_709_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45786_ _45891_/A VGND VGND VPWR VPWR _45815_/A sky130_fd_sc_hd__buf_1
+XPHY_39540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_286_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_546_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42998_ _42964_/A VGND VGND VPWR VPWR _42999_/A sky130_fd_sc_hd__buf_1
+XFILLER_409_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47525_ _47521_/Y _47524_/X VGND VGND VPWR VPWR _78823_/D sky130_fd_sc_hd__nand2_2
+XFILLER_327_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78345_ _78849_/CLK _78345_/D VGND VGND VPWR VPWR _62429_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_520_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44737_ _44737_/A _44737_/B VGND VGND VPWR VPWR _44737_/X sky130_fd_sc_hd__or2_2
+XFILLER_264_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63571_ _63571_/A _63563_/Y _63571_/C VGND VGND VPWR VPWR _63572_/B sky130_fd_sc_hd__nor3_2
+X_75557_ _76196_/A VGND VGND VPWR VPWR _75928_/A sky130_fd_sc_hd__buf_1
+XFILLER_452_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41949_ _41947_/X _41948_/X VGND VGND VPWR VPWR _41949_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_208_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60783_ _77367_/Q _60783_/B VGND VGND VPWR VPWR _60783_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_260_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_223_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_251_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72769_ _72787_/A VGND VGND VPWR VPWR _72784_/B sky130_fd_sc_hd__buf_1
+XPHY_29061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_380_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_90_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_39_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65310_ _64633_/A _65302_/Y _65309_/Y VGND VGND VPWR VPWR _65310_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_263_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_424_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_74_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62522_ _62522_/A _62056_/X VGND VGND VPWR VPWR _62522_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74508_ _74636_/A _74504_/B VGND VGND VPWR VPWR _74508_/X sky130_fd_sc_hd__or2_2
+XFILLER_260_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_229_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_640_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47456_ _58990_/A _47456_/B _47456_/C VGND VGND VPWR VPWR _47457_/B sky130_fd_sc_hd__or3_2
+XFILLER_75_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66290_ _65952_/A _66279_/Y _66289_/Y VGND VGND VPWR VPWR _66290_/Y sky130_fd_sc_hd__nor3_2
+X_78276_ _78276_/CLK _49684_/Y VGND VGND VPWR VPWR _78276_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_38883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44668_ _65552_/A _65378_/A _44667_/X VGND VGND VPWR VPWR _44850_/C sky130_fd_sc_hd__or3_2
+XFILLER_598_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75488_ _75503_/A _75498_/B _67526_/A VGND VGND VPWR VPWR _75489_/B sky130_fd_sc_hd__nand3_2
+XFILLER_397_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_262_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_327_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_264_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46407_ _43133_/A _46407_/B VGND VGND VPWR VPWR _46407_/X sky130_fd_sc_hd__or2_2
+X_65241_ _76325_/C _65406_/B VGND VGND VPWR VPWR _65243_/B sky130_fd_sc_hd__nor2_2
+XFILLER_245_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_542_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77227_ _77232_/CLK _53658_/Y VGND VGND VPWR VPWR _53656_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_396_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_421_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43619_ _42691_/B _43607_/B VGND VGND VPWR VPWR _43620_/C sky130_fd_sc_hd__nor2_2
+X_74439_ _68228_/A VGND VGND VPWR VPWR _74440_/A sky130_fd_sc_hd__inv_8
+XFILLER_362_1475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62453_ _59155_/X VGND VGND VPWR VPWR _62453_/X sky130_fd_sc_hd__buf_1
+XFILLER_520_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47387_ _47387_/A _47455_/A _47456_/C VGND VGND VPWR VPWR _47388_/B sky130_fd_sc_hd__or3_2
+XFILLER_147_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_499_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44599_ _44591_/B _44598_/Y _44576_/C VGND VGND VPWR VPWR _44599_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_618_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_95_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_225_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61404_ _61404_/A _60936_/B VGND VGND VPWR VPWR _61404_/Y sky130_fd_sc_hd__nor2_2
+X_49126_ _63421_/A _49112_/B VGND VGND VPWR VPWR _49128_/A sky130_fd_sc_hd__nand2_2
+XPHY_27692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46338_ _43045_/Y _78901_/Q VGND VGND VPWR VPWR _46339_/B sky130_fd_sc_hd__or2_2
+XFILLER_457_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65172_ _64365_/A _65172_/B _65172_/C VGND VGND VPWR VPWR _65172_/Y sky130_fd_sc_hd__nor3_2
+X_77158_ _77158_/CLK _53908_/Y VGND VGND VPWR VPWR _53906_/A sky130_fd_sc_hd__dfxtp_4
+X_62384_ _62078_/A _62384_/B _62384_/C VGND VGND VPWR VPWR _62385_/C sky130_fd_sc_hd__nor3_2
+XPHY_17180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_340_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_496_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_26980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_473_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64123_ _64130_/A VGND VGND VPWR VPWR _64124_/A sky130_fd_sc_hd__buf_1
+X_76109_ _76107_/X _76109_/B VGND VGND VPWR VPWR _76109_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_594_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61335_ _60864_/A _61331_/Y _61335_/C VGND VGND VPWR VPWR _61336_/C sky130_fd_sc_hd__nor3_2
+X_49057_ _48999_/A _49053_/X VGND VGND VPWR VPWR _49057_/X sky130_fd_sc_hd__or2_2
+XFILLER_276_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_670_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_3104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46269_ _46259_/A _46269_/B _46269_/C VGND VGND VPWR VPWR _79027_/D sky130_fd_sc_hd__nor3_2
+X_69980_ _55170_/A VGND VGND VPWR VPWR _70403_/A sky130_fd_sc_hd__inv_8
+X_77089_ _77171_/CLK _54176_/Y VGND VGND VPWR VPWR _54174_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_631_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_473_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48008_ _78701_/Q _48000_/B VGND VGND VPWR VPWR _48011_/A sky130_fd_sc_hd__nand2_2
+XFILLER_117_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_157_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_670_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68931_ _41533_/C _69354_/B VGND VGND VPWR VPWR _68931_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_12_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64054_ _64054_/A _59347_/B VGND VGND VPWR VPWR _64056_/B sky130_fd_sc_hd__nor2_2
+XFILLER_507_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_198_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_438_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61266_ _60788_/A _61264_/Y _61266_/C VGND VGND VPWR VPWR _61267_/C sky130_fd_sc_hd__nor3_2
+XFILLER_571_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_67_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_723_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_121_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63005_ _63005_/A VGND VGND VPWR VPWR _63321_/B sky130_fd_sc_hd__buf_1
+XFILLER_490_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_588_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60217_ _60371_/A _60217_/B _60216_/Y VGND VGND VPWR VPWR _60217_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_305_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_154_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68862_ _68862_/A _68534_/B VGND VGND VPWR VPWR _68863_/C sky130_fd_sc_hd__nor2_2
+XFILLER_171_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_356_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61197_ _60889_/A _61197_/B _61197_/C VGND VGND VPWR VPWR _61198_/B sky130_fd_sc_hd__nor3_2
+XFILLER_156_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_416_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_314_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_209_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_104_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_521_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67813_ _67644_/X _67811_/Y _67813_/C VGND VGND VPWR VPWR _67813_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_711_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60148_ _76995_/Q _60147_/X VGND VGND VPWR VPWR _60149_/C sky130_fd_sc_hd__nor2_2
+XFILLER_239_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_683_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49959_ _49991_/A _49967_/B VGND VGND VPWR VPWR _49960_/B sky130_fd_sc_hd__or2_2
+X_68793_ _58482_/A _68131_/B VGND VGND VPWR VPWR _68793_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_256_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_410_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_154_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_694_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_316_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39712_ _39712_/A _39712_/B VGND VGND VPWR VPWR _39712_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_369_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_63_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_193_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67744_ _67744_/A _67742_/Y _67744_/C VGND VGND VPWR VPWR _67745_/C sky130_fd_sc_hd__nor3_2
+XFILLER_298_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_320_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_484_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52970_ _52970_/A _52984_/B VGND VGND VPWR VPWR _52970_/Y sky130_fd_sc_hd__nand2_2
+X_60079_ _59759_/A _60079_/B _60079_/C VGND VGND VPWR VPWR _60083_/B sky130_fd_sc_hd__nor3_2
+X_64956_ _69529_/A _64952_/Y _64955_/Y VGND VGND VPWR VPWR _64957_/C sky130_fd_sc_hd__nor3_2
+XFILLER_683_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_410_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_86_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_668_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_81 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51921_ _51921_/A _51920_/X VGND VGND VPWR VPWR _51921_/Y sky130_fd_sc_hd__nand2_2
+X_39643_ _39138_/A _39643_/B VGND VGND VPWR VPWR _39645_/A sky130_fd_sc_hd__or2_2
+XFILLER_389_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63907_ _59551_/A _63907_/B _63906_/Y VGND VGND VPWR VPWR _63907_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_300_150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67675_ _80226_/Q _67344_/B VGND VGND VPWR VPWR _67675_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_386_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_642_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_286_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_100_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64887_ _64887_/A _64882_/Y _64887_/C VGND VGND VPWR VPWR _64896_/B sky130_fd_sc_hd__nor3_2
+XFILLER_447_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_446_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69414_ _64776_/A _69414_/B VGND VGND VPWR VPWR _69414_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_312_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54640_ _60262_/A _54654_/B VGND VGND VPWR VPWR _54643_/A sky130_fd_sc_hd__nand2_2
+XFILLER_265_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66626_ _57455_/A _66469_/B VGND VGND VPWR VPWR _66627_/C sky130_fd_sc_hd__nor2_2
+XFILLER_650_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_484_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51852_ _49941_/A _51916_/B VGND VGND VPWR VPWR _51853_/A sky130_fd_sc_hd__or2_2
+X_39574_ _39572_/X _39573_/X _80090_/Q VGND VGND VPWR VPWR _39574_/Y sky130_fd_sc_hd__nand3_2
+XPHY_5119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63838_ _59295_/A _63836_/Y _63838_/C VGND VGND VPWR VPWR _63842_/B sky130_fd_sc_hd__nor3_2
+XFILLER_566_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_230_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_543_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_382_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_41_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38525_ _76131_/A VGND VGND VPWR VPWR _38669_/A sky130_fd_sc_hd__buf_1
+XFILLER_345_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50803_ _62143_/A _50789_/B VGND VGND VPWR VPWR _50803_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_38_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69345_ _64240_/A _69345_/B _69344_/Y VGND VGND VPWR VPWR _69346_/C sky130_fd_sc_hd__nor3_2
+XPHY_4418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54571_ _54569_/Y _54571_/B VGND VGND VPWR VPWR _76984_/D sky130_fd_sc_hd__nand2_2
+XFILLER_345_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_72_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66557_ _66557_/A _66557_/B _66556_/Y VGND VGND VPWR VPWR _66562_/B sky130_fd_sc_hd__nor3_2
+XFILLER_113_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_309 _47820_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_74_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51783_ _60970_/A _51780_/B VGND VGND VPWR VPWR _51783_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_408_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63769_ _63348_/A _63769_/B VGND VGND VPWR VPWR _63802_/B sky130_fd_sc_hd__nor2_2
+XPHY_18809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_241_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_662_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_403_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56310_ _56453_/A VGND VGND VPWR VPWR _57039_/A sky130_fd_sc_hd__buf_1
+XFILLER_254_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53522_ _53520_/Y _53521_/X VGND VGND VPWR VPWR _53522_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_443_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_408_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65508_ _64448_/A VGND VGND VPWR VPWR _66333_/A sky130_fd_sc_hd__buf_1
+XPHY_3717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50734_ _50731_/X VGND VGND VPWR VPWR _50756_/B sky130_fd_sc_hd__buf_1
+XFILLER_246_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38456_ _76333_/A _38451_/B VGND VGND VPWR VPWR _38458_/A sky130_fd_sc_hd__or2_2
+XFILLER_26_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57290_ _57122_/A _57290_/B VGND VGND VPWR VPWR _57307_/B sky130_fd_sc_hd__nor2_2
+X_69276_ _68632_/X _69276_/B VGND VGND VPWR VPWR _69277_/C sky130_fd_sc_hd__nor2_2
+XFILLER_53_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66488_ _80251_/Q _67148_/B VGND VGND VPWR VPWR _66488_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_285_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_677_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_408_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_213_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_198_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_78_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_126_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_345_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80505_ _80508_/CLK _76177_/Y VGND VGND VPWR VPWR _80505_/Q sky130_fd_sc_hd__dfxtp_4
+X_68227_ _80902_/Q _68395_/B VGND VGND VPWR VPWR _68229_/B sky130_fd_sc_hd__nor2_2
+X_56241_ _57637_/A VGND VGND VPWR VPWR _58837_/A sky130_fd_sc_hd__buf_1
+XFILLER_242_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53453_ _59749_/A _53439_/B VGND VGND VPWR VPWR _53453_/Y sky130_fd_sc_hd__nand2_2
+XPHY_35509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65439_ _65439_/A _65439_/B _65439_/C VGND VGND VPWR VPWR _65440_/C sky130_fd_sc_hd__nor3_2
+XFILLER_440_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_601_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_341_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38387_ _38265_/A _38391_/B VGND VGND VPWR VPWR _38387_/X sky130_fd_sc_hd__or2_2
+XFILLER_224_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50665_ _50665_/A _50665_/B VGND VGND VPWR VPWR _50665_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_718_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_81485_ _81470_/CLK _72167_/Y VGND VGND VPWR VPWR _81485_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_298_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_577_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_110_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_5_5_0_u_core.clock clkbuf_5_5_0_u_core.clock/A VGND VGND VPWR VPWR ANTENNA_556/DIODE
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_126_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52404_ _52311_/X _52419_/B VGND VGND VPWR VPWR _52405_/B sky130_fd_sc_hd__or2_2
+XPHY_25019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56172_ _56172_/A _56172_/B VGND VGND VPWR VPWR _56173_/B sky130_fd_sc_hd__nor2_2
+XFILLER_222_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80436_ _80436_/CLK _38207_/Y VGND VGND VPWR VPWR _80436_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_577_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_68158_ _67664_/A _68158_/B _68157_/Y VGND VGND VPWR VPWR _68158_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_139_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53384_ _53384_/A _53383_/X VGND VGND VPWR VPWR _53384_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_577_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_143_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50596_ _50652_/A _50602_/B VGND VGND VPWR VPWR _50597_/B sky130_fd_sc_hd__or2_2
+XFILLER_706_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_178_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_393_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_577_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55123_ _55123_/A _55112_/Y _55123_/C VGND VGND VPWR VPWR _55124_/B sky130_fd_sc_hd__nor3_2
+X_67109_ _67109_/A _67108_/X VGND VGND VPWR VPWR _67110_/C sky130_fd_sc_hd__nor2_2
+XFILLER_396_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_186_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52335_ _52335_/A _52334_/X VGND VGND VPWR VPWR _52335_/Y sky130_fd_sc_hd__nand2_2
+XPHY_24329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_618_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80367_ _80367_/CLK _80367_/D VGND VGND VPWR VPWR _80367_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68089_ _68089_/A _67922_/X VGND VGND VPWR VPWR _68090_/C sky130_fd_sc_hd__nor2_2
+XFILLER_356_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_614_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_536_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39008_ _38498_/A _38995_/X VGND VGND VPWR VPWR _39010_/A sky130_fd_sc_hd__or2_2
+XFILLER_339_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70120_ _70119_/X VGND VGND VPWR VPWR _70120_/Y sky130_fd_sc_hd__inv_8
+XFILLER_343_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_491_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59931_ _59611_/A _59931_/B _59931_/C VGND VGND VPWR VPWR _59932_/B sky130_fd_sc_hd__nor3_2
+X_55054_ _55056_/A _55054_/B VGND VGND VPWR VPWR _55055_/C sky130_fd_sc_hd__or2_2
+XFILLER_614_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40280_ _40298_/A VGND VGND VPWR VPWR _40295_/B sky130_fd_sc_hd__buf_1
+XFILLER_705_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52266_ _52266_/A _52266_/B VGND VGND VPWR VPWR _52268_/A sky130_fd_sc_hd__nand2_2
+XFILLER_276_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_237_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_437_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80298_ _80346_/CLK _80298_/D VGND VGND VPWR VPWR _80298_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_336_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54005_ _54030_/B VGND VGND VPWR VPWR _54017_/B sky130_fd_sc_hd__buf_1
+XFILLER_313_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51217_ _51161_/A _51220_/B VGND VGND VPWR VPWR _51217_/X sky130_fd_sc_hd__or2_2
+XPHY_12404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70051_ _70632_/C VGND VGND VPWR VPWR _70591_/A sky130_fd_sc_hd__buf_1
+XPHY_13149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59862_ _77233_/Q _59541_/B VGND VGND VPWR VPWR _59863_/C sky130_fd_sc_hd__nor2_2
+XPHY_22938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_85_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_371_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52197_ _52220_/B VGND VGND VPWR VPWR _52197_/X sky130_fd_sc_hd__buf_1
+XFILLER_172_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_119_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_159_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58813_ _58679_/A _58813_/B VGND VGND VPWR VPWR _58814_/C sky130_fd_sc_hd__nor2_2
+XFILLER_1_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51148_ _51148_/A _51160_/B VGND VGND VPWR VPWR _51148_/X sky130_fd_sc_hd__or2_2
+XPHY_11714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_257_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59793_ _59790_/X _59793_/B _59792_/Y VGND VGND VPWR VPWR _59794_/C sky130_fd_sc_hd__nor3_2
+XFILLER_137_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_444_0_u_core.clock clkbuf_9_445_0_u_core.clock/A VGND VGND VPWR VPWR _80163_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_337_1190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73810_ _73807_/X _73809_/Y VGND VGND VPWR VPWR _73810_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_387_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_27_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58744_ _58610_/A _58744_/B _58744_/C VGND VGND VPWR VPWR _58745_/B sky130_fd_sc_hd__nor3_2
+XFILLER_484_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43970_ _75049_/A _43957_/B VGND VGND VPWR VPWR _43971_/C sky130_fd_sc_hd__nor2_2
+XPHY_7000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51079_ _51079_/A _51082_/B VGND VGND VPWR VPWR _51081_/A sky130_fd_sc_hd__nand2_2
+X_55956_ la_oen[127] VGND VGND VPWR VPWR _55956_/Y sky130_fd_sc_hd__inv_8
+X_74790_ _74776_/A _74790_/B _74790_/C VGND VGND VPWR VPWR _74790_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_295_138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_627_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_88_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_213_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42921_ _42919_/X _42921_/B VGND VGND VPWR VPWR _42921_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_237_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54907_ _54907_/A _54815_/B VGND VGND VPWR VPWR _54908_/A sky130_fd_sc_hd__or2_2
+XFILLER_330_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_73741_ _73741_/A VGND VGND VPWR VPWR _73756_/A sky130_fd_sc_hd__buf_1
+XFILLER_330_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_526_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70953_ _70754_/X _70765_/X VGND VGND VPWR VPWR _70967_/A sky130_fd_sc_hd__nor2_2
+XFILLER_150_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58675_ _80300_/Q _58464_/B VGND VGND VPWR VPWR _58675_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_311_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_62_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55887_ _55897_/B _55908_/B _69808_/Y VGND VGND VPWR VPWR _55888_/B sky130_fd_sc_hd__or3_2
+XFILLER_584_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_264_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_365_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_448_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_626_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45640_ _42774_/A _45637_/B VGND VGND VPWR VPWR _45642_/B sky130_fd_sc_hd__nor2_2
+XFILLER_236_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57626_ _56412_/A VGND VGND VPWR VPWR _57626_/X sky130_fd_sc_hd__buf_1
+XFILLER_276_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76460_ VGND VGND VPWR VPWR _76460_/HI io_oeb[20] sky130_fd_sc_hd__conb_1
+XFILLER_291_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42852_ _79449_/Q VGND VGND VPWR VPWR _42853_/A sky130_fd_sc_hd__buf_1
+XFILLER_480_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54838_ _54838_/A _54837_/X VGND VGND VPWR VPWR _54838_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_59_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_79_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73672_ _73668_/X _73671_/Y VGND VGND VPWR VPWR _73672_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70884_ _69937_/X VGND VGND VPWR VPWR _72034_/A sky130_fd_sc_hd__inv_8
+XFILLER_311_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75411_ _75410_/X _75401_/B _75411_/C VGND VGND VPWR VPWR _75412_/B sky130_fd_sc_hd__nand3_2
+XFILLER_578_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41803_ _41780_/A VGND VGND VPWR VPWR _41803_/X sky130_fd_sc_hd__buf_1
+XPHY_38124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72623_ _72206_/A _72622_/X VGND VGND VPWR VPWR _72626_/A sky130_fd_sc_hd__or2_2
+XFILLER_283_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45571_ _42567_/A _45559_/X VGND VGND VPWR VPWR _45571_/Y sky130_fd_sc_hd__nor2_2
+X_57557_ _67000_/A _57557_/B VGND VGND VPWR VPWR _57558_/C sky130_fd_sc_hd__nor2_2
+XPHY_38135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76391_ _76272_/A _76388_/B VGND VGND VPWR VPWR _76391_/X sky130_fd_sc_hd__or2_2
+XFILLER_363_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42783_ _42528_/A _42782_/X VGND VGND VPWR VPWR _42783_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_543_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54769_ _54798_/A _54775_/B VGND VGND VPWR VPWR _54770_/B sky130_fd_sc_hd__or2_2
+XFILLER_151_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47310_ _64050_/A _47329_/B VGND VGND VPWR VPWR _47310_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_233_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78130_ _78170_/CLK _50223_/Y VGND VGND VPWR VPWR _78130_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_260_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_406_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44522_ _44522_/A _44538_/B VGND VGND VPWR VPWR _44530_/B sky130_fd_sc_hd__nor2_2
+XPHY_4941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56508_ _56255_/X VGND VGND VPWR VPWR _56508_/X sky130_fd_sc_hd__buf_1
+XPHY_5686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75342_ _75352_/A _75339_/B _68031_/A VGND VGND VPWR VPWR _75342_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_622_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41734_ _46250_/A _41731_/X _46251_/A _41733_/X VGND VGND VPWR VPWR _41734_/X sky130_fd_sc_hd__o22a_4
+XPHY_38179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72554_ _72554_/A _72554_/B VGND VGND VPWR VPWR _72554_/Y sky130_fd_sc_hd__nand2_2
+X_48290_ _48294_/A VGND VGND VPWR VPWR _48297_/B sky130_fd_sc_hd__buf_1
+XFILLER_421_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_631_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57488_ _80061_/Q _57569_/B VGND VGND VPWR VPWR _57488_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_105_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_57_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_2_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_281_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47241_ _42288_/B _47241_/B VGND VGND VPWR VPWR _78869_/D sky130_fd_sc_hd__nor2_2
+XFILLER_233_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71505_ _74058_/A VGND VGND VPWR VPWR _39165_/A sky130_fd_sc_hd__buf_1
+XFILLER_619_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59227_ _59155_/X VGND VGND VPWR VPWR _59756_/A sky130_fd_sc_hd__buf_1
+XFILLER_144_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78061_ _78070_/CLK _50488_/Y VGND VGND VPWR VPWR _61741_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44453_ _44459_/A _44459_/B VGND VGND VPWR VPWR _44453_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56439_ _56439_/A _58816_/B VGND VGND VPWR VPWR _56439_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_44_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75273_ _75271_/X _75273_/B VGND VGND VPWR VPWR _75273_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_610_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_71_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41665_ _41665_/A VGND VGND VPWR VPWR _41666_/B sky130_fd_sc_hd__inv_8
+XFILLER_144_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72485_ _72728_/A _72482_/B VGND VGND VPWR VPWR _72485_/X sky130_fd_sc_hd__or2_2
+XFILLER_324_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_306_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_673_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_148_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_220_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_5_13_0_u_core.clock clkbuf_4_6_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_6_27_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_717_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77012_ _76998_/CLK _77012_/D VGND VGND VPWR VPWR _54462_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_676_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43404_ _42922_/A _43398_/B VGND VGND VPWR VPWR _43407_/A sky130_fd_sc_hd__or2_2
+XFILLER_213_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_53_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74224_ _74168_/A VGND VGND VPWR VPWR _74224_/X sky130_fd_sc_hd__buf_1
+XFILLER_400_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40616_ _40543_/A VGND VGND VPWR VPWR _40630_/B sky130_fd_sc_hd__buf_1
+XPHY_26254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47172_ _47172_/A VGND VGND VPWR VPWR _47173_/B sky130_fd_sc_hd__inv_8
+XFILLER_201_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59158_ _59878_/A VGND VGND VPWR VPWR _60833_/A sky130_fd_sc_hd__buf_1
+X_71436_ _71436_/A VGND VGND VPWR VPWR _71537_/B sky130_fd_sc_hd__buf_1
+XFILLER_559_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44384_ _44489_/A _44384_/B _44384_/C VGND VGND VPWR VPWR _44384_/Y sky130_fd_sc_hd__nor3_2
+XPHY_26265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41596_ _41596_/A _41596_/B VGND VGND VPWR VPWR _41596_/Y sky130_fd_sc_hd__nand2_2
+XPHY_36799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_359_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_654_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_160_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_639_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58109_ _58184_/A _58107_/Y _58109_/C VGND VGND VPWR VPWR _58114_/B sky130_fd_sc_hd__nor3_2
+XFILLER_9_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46123_ _46119_/X _46123_/B VGND VGND VPWR VPWR _46124_/B sky130_fd_sc_hd__nand2_2
+XFILLER_144_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43335_ _42974_/A _43346_/B VGND VGND VPWR VPWR _43335_/X sky130_fd_sc_hd__or2_2
+XFILLER_619_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74155_ _73503_/A VGND VGND VPWR VPWR _74667_/A sky130_fd_sc_hd__buf_1
+XPHY_15030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40547_ _40554_/A _40559_/B _68647_/A VGND VGND VPWR VPWR _40547_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_196_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59089_ _60007_/A VGND VGND VPWR VPWR _59090_/A sky130_fd_sc_hd__buf_1
+XPHY_15041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71367_ _70903_/Y _71330_/X VGND VGND VPWR VPWR _71367_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_121_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_224_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_279_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61120_ _60498_/A _61120_/B _61119_/Y VGND VGND VPWR VPWR _61121_/B sky130_fd_sc_hd__nor3_2
+XFILLER_717_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73106_ _73105_/X VGND VGND VPWR VPWR _73106_/X sky130_fd_sc_hd__buf_1
+XFILLER_392_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46054_ _46053_/X VGND VGND VPWR VPWR _46063_/A sky130_fd_sc_hd__buf_1
+XFILLER_173_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70318_ _70318_/A _70381_/B VGND VGND VPWR VPWR _70320_/B sky130_fd_sc_hd__nor2_2
+XPHY_24863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43266_ _43244_/A VGND VGND VPWR VPWR _43266_/X sky130_fd_sc_hd__buf_1
+XFILLER_392_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74086_ _74086_/A _74085_/X VGND VGND VPWR VPWR _74086_/X sky130_fd_sc_hd__or2_2
+XFILLER_373_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78963_ _79540_/CLK _78963_/D VGND VGND VPWR VPWR _43078_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_535_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40478_ _39211_/A VGND VGND VPWR VPWR _40608_/A sky130_fd_sc_hd__buf_1
+XFILLER_674_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71298_ _71346_/A _42912_/A VGND VGND VPWR VPWR _71298_/X sky130_fd_sc_hd__or2_2
+XFILLER_8_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_516_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45005_ _46628_/A _45166_/B VGND VGND VPWR VPWR _45005_/X sky130_fd_sc_hd__or2_2
+XFILLER_491_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_154_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42217_ _42260_/A VGND VGND VPWR VPWR _42226_/B sky130_fd_sc_hd__buf_1
+XPHY_14373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61051_ _61051_/A _60426_/X VGND VGND VPWR VPWR _61051_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_416_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73037_ _73035_/Y _73037_/B VGND VGND VPWR VPWR _81282_/D sky130_fd_sc_hd__nand2_2
+X_77914_ _77915_/CLK _51041_/Y VGND VGND VPWR VPWR _62482_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_677_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70249_ _70219_/X _70220_/Y VGND VGND VPWR VPWR _70250_/A sky130_fd_sc_hd__or2_2
+XFILLER_153_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43197_ _42867_/A _43195_/Y _79445_/Q _46822_/B VGND VGND VPWR VPWR _43197_/X sky130_fd_sc_hd__o22a_4
+XFILLER_176_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78894_ _78896_/CLK _78894_/D VGND VGND VPWR VPWR _46380_/B sky130_fd_sc_hd__dfxtp_4
+XPHY_13650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_667_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_114_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_272_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_720_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60002_ _59695_/A _59994_/Y _60001_/Y VGND VGND VPWR VPWR _60003_/B sky130_fd_sc_hd__nor3_2
+XFILLER_314_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49813_ _49813_/A VGND VGND VPWR VPWR _49845_/A sky130_fd_sc_hd__buf_1
+XFILLER_119_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42148_ _42088_/A VGND VGND VPWR VPWR _42149_/C sky130_fd_sc_hd__buf_1
+XFILLER_272_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77845_ _76893_/CLK _77845_/D VGND VGND VPWR VPWR _60558_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_302_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_253_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64810_ _38972_/C _65501_/B VGND VGND VPWR VPWR _64812_/B sky130_fd_sc_hd__nor2_2
+XFILLER_429_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49744_ _62479_/A _49736_/X VGND VGND VPWR VPWR _49744_/Y sky130_fd_sc_hd__nand2_2
+XPHY_30350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46956_ _46867_/A VGND VGND VPWR VPWR _46967_/A sky130_fd_sc_hd__buf_1
+XPHY_12993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42079_ _79530_/Q _42090_/B VGND VGND VPWR VPWR _42082_/A sky130_fd_sc_hd__nor2_2
+XFILLER_708_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65790_ _64174_/A VGND VGND VPWR VPWR _65791_/B sky130_fd_sc_hd__buf_1
+X_77776_ _77799_/CLK _77776_/D VGND VGND VPWR VPWR _77776_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_190_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74988_ _74988_/A _74988_/B _67714_/A VGND VGND VPWR VPWR _74990_/A sky130_fd_sc_hd__nand3_2
+XFILLER_531_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_351_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_7_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79515_ _79145_/CLK _42142_/Y VGND VGND VPWR VPWR _69719_/A sky130_fd_sc_hd__dfxtp_4
+X_45907_ _42270_/A _45912_/B VGND VGND VPWR VPWR _45910_/B sky130_fd_sc_hd__nor2_2
+XFILLER_231_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64741_ _81137_/Q _64741_/B VGND VGND VPWR VPWR _64742_/C sky130_fd_sc_hd__nor2_2
+XFILLER_329_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76727_ _78860_/CLK _59453_/Y VGND VGND VPWR VPWR _76727_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_95_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73939_ _73939_/A _73938_/Y VGND VGND VPWR VPWR _81053_/D sky130_fd_sc_hd__nand2_2
+X_49675_ _78277_/Q _49675_/B VGND VGND VPWR VPWR _49678_/A sky130_fd_sc_hd__nand2_2
+X_61953_ _61641_/X _61951_/Y _61953_/C VGND VGND VPWR VPWR _61953_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_544_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_136_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46887_ _78935_/Q _46887_/B VGND VGND VPWR VPWR _46889_/B sky130_fd_sc_hd__nor2_2
+XFILLER_484_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_428_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_608_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60904_ _60593_/A _60904_/B _60904_/C VGND VGND VPWR VPWR _60905_/C sky130_fd_sc_hd__nor3_2
+X_48626_ _48936_/A VGND VGND VPWR VPWR _48718_/A sky130_fd_sc_hd__buf_1
+XFILLER_466_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67460_ _67630_/A _67460_/B _67460_/C VGND VGND VPWR VPWR _67461_/C sky130_fd_sc_hd__nor3_2
+XFILLER_10_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79446_ _79500_/CLK _79446_/D VGND VGND VPWR VPWR _79446_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_39_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_624_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45838_ _45835_/Y _45838_/B _45813_/C VGND VGND VPWR VPWR _45839_/C sky130_fd_sc_hd__nor3_2
+X_64672_ _80080_/Q _64671_/X VGND VGND VPWR VPWR _64673_/C sky130_fd_sc_hd__nor2_2
+X_76658_ _76664_/CLK _57542_/Y VGND VGND VPWR VPWR _70491_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_444_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61884_ _78086_/Q _61562_/B VGND VGND VPWR VPWR _61884_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_583_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66411_ _66734_/A _66411_/B _66411_/C VGND VGND VPWR VPWR _66412_/C sky130_fd_sc_hd__nor3_2
+XFILLER_208_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_3_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63623_ _63623_/A _63169_/X VGND VGND VPWR VPWR _63624_/C sky130_fd_sc_hd__nor2_2
+X_75609_ _75647_/A VGND VGND VPWR VPWR _75626_/B sky130_fd_sc_hd__buf_1
+XFILLER_54_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60835_ _77647_/Q _60835_/B VGND VGND VPWR VPWR _60838_/B sky130_fd_sc_hd__nor2_2
+X_48557_ _63730_/A _48554_/B VGND VGND VPWR VPWR _48560_/A sky130_fd_sc_hd__nand2_2
+X_67391_ _80449_/Q _67391_/B VGND VGND VPWR VPWR _67392_/C sky130_fd_sc_hd__nor2_2
+XFILLER_481_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79377_ _79589_/CLK _43334_/Y VGND VGND VPWR VPWR _57053_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_184_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_681_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45769_ _45785_/A _45769_/B VGND VGND VPWR VPWR _45769_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_425_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76589_ VGND VGND VPWR VPWR _76589_/HI la_data_out[85] sky130_fd_sc_hd__conb_1
+XFILLER_78_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_1_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_110_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_440_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38310_ _38310_/A _38296_/B VGND VGND VPWR VPWR _38312_/A sky130_fd_sc_hd__or2_2
+XFILLER_327_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_522_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69130_ _69130_/A _68665_/B VGND VGND VPWR VPWR _69130_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_498_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66342_ _57246_/A _66996_/B VGND VGND VPWR VPWR _66344_/B sky130_fd_sc_hd__nor2_2
+X_47508_ _47492_/X VGND VGND VPWR VPWR _47508_/X sky130_fd_sc_hd__buf_1
+XFILLER_601_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78328_ _78332_/CLK _78328_/D VGND VGND VPWR VPWR _62279_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_694_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39290_ _39147_/A _39301_/B VGND VGND VPWR VPWR _39290_/X sky130_fd_sc_hd__or2_2
+XFILLER_165_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63554_ _63554_/A _63552_/Y _63554_/C VGND VGND VPWR VPWR _63555_/C sky130_fd_sc_hd__nor3_2
+XFILLER_35_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60766_ _59002_/A VGND VGND VPWR VPWR _60766_/X sky130_fd_sc_hd__buf_1
+X_48488_ _48485_/Y _48487_/X VGND VGND VPWR VPWR _78581_/D sky130_fd_sc_hd__nand2_2
+XFILLER_235_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_282_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38241_ _38227_/X _38241_/B _80427_/Q VGND VGND VPWR VPWR _38241_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_362_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62505_ _62505_/A _62337_/X VGND VGND VPWR VPWR _62506_/C sky130_fd_sc_hd__nor2_2
+X_69061_ _68916_/A _69061_/B _69060_/Y VGND VGND VPWR VPWR _69061_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_223_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47439_ _63565_/A _47435_/B VGND VGND VPWR VPWR _47441_/A sky130_fd_sc_hd__nand2_2
+XFILLER_51_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66273_ _66273_/A _66271_/Y _66273_/C VGND VGND VPWR VPWR _66273_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_323_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78259_ _78756_/CLK _78259_/D VGND VGND VPWR VPWR _49741_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_397_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_637_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63485_ _78648_/Q _63169_/X VGND VGND VPWR VPWR _63485_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_225_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60697_ _60697_/A _60542_/B VGND VGND VPWR VPWR _60699_/B sky130_fd_sc_hd__nor2_2
+XFILLER_264_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_245_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_182_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68012_ _39024_/C _67843_/X VGND VGND VPWR VPWR _68013_/C sky130_fd_sc_hd__nor2_2
+XFILLER_401_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_162_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_585_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65224_ _66883_/A VGND VGND VPWR VPWR _65892_/A sky130_fd_sc_hd__buf_1
+XFILLER_719_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_160_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50450_ _50418_/X _50443_/X VGND VGND VPWR VPWR _50450_/X sky130_fd_sc_hd__or2_2
+XFILLER_559_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62436_ _59684_/A VGND VGND VPWR VPWR _62447_/A sky130_fd_sc_hd__buf_1
+X_81270_ _81263_/CLK _81270_/D VGND VGND VPWR VPWR _65620_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_307_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_3024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_197_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_34_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_323_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_195_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_672_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1475 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80221_ _80315_/CLK _39049_/Y VGND VGND VPWR VPWR _39048_/C sky130_fd_sc_hd__dfxtp_4
+X_49109_ _47268_/A _47758_/B VGND VGND VPWR VPWR _54851_/A sky130_fd_sc_hd__or2_2
+XFILLER_338_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_526_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65155_ _80595_/Q _65820_/B VGND VGND VPWR VPWR _65156_/C sky130_fd_sc_hd__nor2_2
+XFILLER_375_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50381_ _50381_/A _50381_/B VGND VGND VPWR VPWR _78090_/D sky130_fd_sc_hd__nand2_2
+XFILLER_277_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62367_ _62367_/A VGND VGND VPWR VPWR _62532_/B sky130_fd_sc_hd__buf_1
+XFILLER_457_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_637_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_393_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_337_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_375_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52120_ _52120_/A _52119_/X VGND VGND VPWR VPWR _52120_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_394_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_581_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64106_ _65048_/A VGND VGND VPWR VPWR _64141_/A sky130_fd_sc_hd__buf_1
+XFILLER_325_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61318_ _63151_/A VGND VGND VPWR VPWR _61318_/X sky130_fd_sc_hd__buf_1
+XFILLER_670_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80152_ _80121_/CLK _80152_/D VGND VGND VPWR VPWR _57085_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_121_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_160_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_615_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65086_ _64757_/A _65077_/Y _65086_/C VGND VGND VPWR VPWR _65110_/A sky130_fd_sc_hd__nor3_2
+X_69963_ _69639_/A _69963_/B VGND VGND VPWR VPWR _69964_/B sky130_fd_sc_hd__nor2_2
+XFILLER_699_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62298_ _61830_/X _62296_/Y _62297_/Y VGND VGND VPWR VPWR _62298_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_492_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_301_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_650_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52051_ _52051_/A _52047_/X VGND VGND VPWR VPWR _52051_/X sky130_fd_sc_hd__or2_2
+X_68914_ _68914_/A _69199_/B VGND VGND VPWR VPWR _68914_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_318_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64037_ _59722_/A _64035_/Y _64036_/Y VGND VGND VPWR VPWR _64037_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_293_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61249_ _60625_/A _61245_/Y _61249_/C VGND VGND VPWR VPWR _61258_/B sky130_fd_sc_hd__nor3_2
+XFILLER_670_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80083_ _80073_/CLK _80083_/D VGND VGND VPWR VPWR _39598_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_356_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_145_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69894_ _69893_/X VGND VGND VPWR VPWR _69895_/A sky130_fd_sc_hd__buf_1
+XPHY_9908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_373_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51002_ _51036_/A _51017_/B VGND VGND VPWR VPWR _51002_/X sky130_fd_sc_hd__or2_2
+XFILLER_550_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_416_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_317_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_353_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_490_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68845_ _68845_/A _69002_/B VGND VGND VPWR VPWR _69001_/A sky130_fd_sc_hd__nor2_2
+XFILLER_668_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_511_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_160_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_176_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55810_ _55810_/A _55810_/B VGND VGND VPWR VPWR _55810_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_49_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_119_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_2_0_u_core.clock clkbuf_8_3_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_5_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_568_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56790_ _65321_/A _56886_/B VGND VGND VPWR VPWR _56790_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_334_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68776_ _68776_/A _68776_/B _68776_/C VGND VGND VPWR VPWR _68776_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_353_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65988_ _65322_/A _65986_/Y _65987_/Y VGND VGND VPWR VPWR _65988_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_60_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_581_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_302_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67727_ _80387_/Q _67064_/B VGND VGND VPWR VPWR _67728_/C sky130_fd_sc_hd__nor2_2
+X_55741_ _55741_/A _55741_/B VGND VGND VPWR VPWR _55744_/A sky130_fd_sc_hd__nand2_2
+XFILLER_63_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_86_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52953_ _61078_/A _52941_/X VGND VGND VPWR VPWR _52955_/A sky130_fd_sc_hd__nand2_2
+XFILLER_330_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_664_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64939_ _64121_/A VGND VGND VPWR VPWR _64939_/X sky130_fd_sc_hd__buf_1
+XFILLER_683_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_566_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80985_ _81106_/CLK _74240_/Y VGND VGND VPWR VPWR _66104_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_720_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_1437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_58_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_447_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_608_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51904_ _60982_/A _51890_/B VGND VGND VPWR VPWR _51904_/Y sky130_fd_sc_hd__nand2_2
+X_39626_ _39636_/A VGND VGND VPWR VPWR _39665_/A sky130_fd_sc_hd__buf_1
+XFILLER_218_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58460_ _58373_/A _58460_/B _58460_/C VGND VGND VPWR VPWR _58466_/B sky130_fd_sc_hd__nor3_2
+XFILLER_100_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_4_8_1_u_core.clock clkbuf_4_8_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_4_8_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_445_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55672_ _55409_/X _55672_/B VGND VGND VPWR VPWR _55673_/B sky130_fd_sc_hd__or2_2
+XFILLER_664_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67658_ _67658_/A _67656_/Y _67658_/C VGND VGND VPWR VPWR _67659_/C sky130_fd_sc_hd__nor3_2
+XFILLER_74_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52884_ _52884_/A _52884_/B VGND VGND VPWR VPWR _77427_/D sky130_fd_sc_hd__nand2_2
+XPHY_29819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_65_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57411_ _66683_/A _57411_/B VGND VGND VPWR VPWR _57411_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_249_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54623_ _54652_/A _54623_/B VGND VGND VPWR VPWR _54623_/X sky130_fd_sc_hd__or2_2
+XFILLER_402_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66609_ _66609_/A _66608_/Y VGND VGND VPWR VPWR _66609_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_265_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_484_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_167_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51835_ _51835_/A _51847_/B VGND VGND VPWR VPWR _51836_/B sky130_fd_sc_hd__or2_2
+XPHY_4204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39557_ _39557_/A _39557_/B VGND VGND VPWR VPWR _39557_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58391_ _58391_/A _58391_/B _58391_/C VGND VGND VPWR VPWR _58396_/B sky130_fd_sc_hd__nor3_2
+XFILLER_233_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_423_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67589_ _65943_/A VGND VGND VPWR VPWR _68092_/B sky130_fd_sc_hd__buf_1
+XFILLER_386_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_106 _64470_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_93_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_544_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_540_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_117 _41007_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_227_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38508_ _38508_/A _38512_/B VGND VGND VPWR VPWR _38508_/X sky130_fd_sc_hd__or2_2
+X_57342_ _57412_/A _57342_/B _57342_/C VGND VGND VPWR VPWR _57346_/B sky130_fd_sc_hd__nor3_2
+XFILLER_505_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_128 _39146_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_76_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69328_ _81005_/Q _69328_/B VGND VGND VPWR VPWR _69330_/B sky130_fd_sc_hd__nor2_2
+XFILLER_403_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54554_ _54554_/A VGND VGND VPWR VPWR _54569_/B sky130_fd_sc_hd__buf_1
+XANTENNA_139 _39174_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_3514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_705_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51766_ _51764_/Y _51765_/X VGND VGND VPWR VPWR _51766_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_427_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39488_ _39488_/A _39384_/B _56439_/A VGND VGND VPWR VPWR _39489_/B sky130_fd_sc_hd__nand3_2
+XFILLER_58_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_415_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_74_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_443_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53505_ _53505_/A _53523_/B VGND VGND VPWR VPWR _53506_/B sky130_fd_sc_hd__or2_2
+XFILLER_52_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_341_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38439_ _38439_/A _38425_/B _65584_/A VGND VGND VPWR VPWR _38440_/B sky130_fd_sc_hd__nand3_2
+XFILLER_282_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_234_0_u_core.clock clkbuf_9_235_0_u_core.clock/A VGND VGND VPWR VPWR _79741_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_50717_ _50716_/X _50725_/B VGND VGND VPWR VPWR _50718_/B sky130_fd_sc_hd__or2_2
+XFILLER_690_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57273_ _56319_/A VGND VGND VPWR VPWR _57281_/A sky130_fd_sc_hd__buf_1
+XFILLER_26_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69259_ _68651_/A _69259_/B _69258_/Y VGND VGND VPWR VPWR _69259_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_53_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54485_ _58977_/A _54479_/B VGND VGND VPWR VPWR _54485_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_360_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51697_ _60697_/A _51700_/B VGND VGND VPWR VPWR _51699_/A sky130_fd_sc_hd__nand2_2
+XPHY_2824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_577_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_224_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59012_ _59012_/A VGND VGND VPWR VPWR _64028_/A sky130_fd_sc_hd__buf_1
+XFILLER_675_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_198_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56224_ _64353_/A _56223_/X VGND VGND VPWR VPWR _56225_/C sky130_fd_sc_hd__nor2_2
+XPHY_2846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53436_ _53883_/A VGND VGND VPWR VPWR _53437_/B sky130_fd_sc_hd__buf_1
+XFILLER_260_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_204_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41450_ _41440_/A _41440_/B _41450_/C VGND VGND VPWR VPWR _41451_/B sky130_fd_sc_hd__nand3_2
+XPHY_35339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72270_ _72267_/X _72270_/B VGND VGND VPWR VPWR _72270_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_430_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_13_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50648_ _50638_/X VGND VGND VPWR VPWR _50648_/X sky130_fd_sc_hd__buf_1
+X_81468_ _81468_/CLK _81468_/D VGND VGND VPWR VPWR _66632_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_393_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_718_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_404_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_636_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40401_ _40338_/A VGND VGND VPWR VPWR _40415_/A sky130_fd_sc_hd__buf_1
+XPHY_24104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71221_ _71346_/A _42903_/A VGND VGND VPWR VPWR _71223_/A sky130_fd_sc_hd__or2_2
+XFILLER_384_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_52_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80419_ _80414_/CLK _80419_/D VGND VGND VPWR VPWR _80419_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_618_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56155_ _56083_/A VGND VGND VPWR VPWR _56172_/A sky130_fd_sc_hd__buf_1
+XFILLER_439_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_41381_ _41390_/A _41384_/B _64953_/A VGND VGND VPWR VPWR _41382_/B sky130_fd_sc_hd__nand3_2
+XFILLER_22_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53367_ _77304_/Q _53367_/B VGND VGND VPWR VPWR _53367_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_672_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_126_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50579_ _50877_/A VGND VGND VPWR VPWR _50664_/A sky130_fd_sc_hd__buf_1
+XFILLER_718_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81399_ _81366_/CLK _72558_/Y VGND VGND VPWR VPWR _81399_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_573_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_344_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_706_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43120_ _45026_/A _46712_/A VGND VGND VPWR VPWR _43120_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_316_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55106_ _55106_/A _55106_/B VGND VGND VPWR VPWR _55107_/B sky130_fd_sc_hd__or2_2
+XFILLER_328_378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40332_ _40326_/A _40326_/B _57117_/A VGND VGND VPWR VPWR _40332_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_558_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52318_ _52315_/Y _52318_/B VGND VGND VPWR VPWR _52318_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_555_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71152_ _71309_/A VGND VGND VPWR VPWR _71240_/A sky130_fd_sc_hd__buf_1
+XFILLER_167_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56086_ _44953_/A _56086_/B VGND VGND VPWR VPWR _56087_/B sky130_fd_sc_hd__nor2_2
+XFILLER_237_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_517_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53298_ _77319_/Q _53298_/B VGND VGND VPWR VPWR _53298_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_120_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70103_ _76673_/Q VGND VGND VPWR VPWR _70103_/Y sky130_fd_sc_hd__inv_8
+XFILLER_721_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59914_ _77345_/Q _59590_/X VGND VGND VPWR VPWR _59915_/C sky130_fd_sc_hd__nor2_2
+XPHY_23458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43051_ _43041_/X _43050_/Y VGND VGND VPWR VPWR _43055_/A sky130_fd_sc_hd__or2_2
+XFILLER_659_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55037_ _55035_/Y _55037_/B VGND VGND VPWR VPWR _76856_/D sky130_fd_sc_hd__nand2_2
+XFILLER_139_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_427_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40263_ _40300_/A VGND VGND VPWR VPWR _40278_/A sky130_fd_sc_hd__buf_1
+XFILLER_100_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_532_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52249_ _52249_/A _52248_/X VGND VGND VPWR VPWR _52249_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_135_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75960_ _75970_/A VGND VGND VPWR VPWR _75998_/A sky130_fd_sc_hd__buf_1
+XFILLER_532_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71083_ _71083_/A VGND VGND VPWR VPWR _71083_/X sky130_fd_sc_hd__buf_1
+XFILLER_178_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_137_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_317_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_5_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42002_ _41999_/Y _42002_/B _42009_/C VGND VGND VPWR VPWR _42002_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_332_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74911_ _74901_/A _74901_/B _65721_/A VGND VGND VPWR VPWR _74911_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_335_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70034_ _70034_/A VGND VGND VPWR VPWR _70477_/A sky130_fd_sc_hd__buf_1
+XFILLER_631_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_512_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59845_ _59682_/A _59845_/B _59845_/C VGND VGND VPWR VPWR _59846_/C sky130_fd_sc_hd__nor3_2
+XFILLER_85_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40194_ _40313_/A _40186_/B VGND VGND VPWR VPWR _40196_/A sky130_fd_sc_hd__or2_2
+XFILLER_330_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75891_ _75891_/A _75891_/B VGND VGND VPWR VPWR _75891_/X sky130_fd_sc_hd__or2_2
+XFILLER_649_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46810_ _46806_/B _46809_/Y _46803_/X VGND VGND VPWR VPWR _78949_/D sky130_fd_sc_hd__nor3_2
+XPHY_11533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77630_ _77639_/CLK _52117_/Y VGND VGND VPWR VPWR _77630_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_688_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_254_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_82 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74842_ _74839_/X _74841_/Y VGND VGND VPWR VPWR _80842_/D sky130_fd_sc_hd__nand2_2
+XPHY_11544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_684_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47790_ _47789_/X VGND VGND VPWR VPWR _47791_/B sky130_fd_sc_hd__buf_1
+XFILLER_485_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_415_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59776_ _59698_/Y _59776_/B VGND VGND VPWR VPWR _76729_/D sky130_fd_sc_hd__nor2_2
+XPHY_10810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_724_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_270_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56988_ _80023_/Q _56745_/X VGND VGND VPWR VPWR _56990_/B sky130_fd_sc_hd__nor2_2
+XFILLER_250_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_666_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_646_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_679_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_250_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_20_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46741_ _46735_/Y _46753_/B _46741_/C VGND VGND VPWR VPWR _46741_/Y sky130_fd_sc_hd__nor3_2
+XPHY_11588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58727_ _58660_/A _58727_/B _58726_/Y VGND VGND VPWR VPWR _58727_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_1_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_77_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77561_ _77558_/CLK _77561_/D VGND VGND VPWR VPWR _61117_/A sky130_fd_sc_hd__dfxtp_4
+X_43953_ _79276_/Q _43944_/B VGND VGND VPWR VPWR _43953_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_89_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_283_108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55939_ _71436_/A _42093_/A _56412_/A VGND VGND VPWR VPWR _55940_/B sky130_fd_sc_hd__and3_2
+X_74773_ _74770_/X _74772_/Y VGND VGND VPWR VPWR _80857_/D sky130_fd_sc_hd__nand2_2
+XFILLER_549_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_486_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_387_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71985_ _69655_/A VGND VGND VPWR VPWR _42475_/A sky130_fd_sc_hd__buf_1
+XPHY_10865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_81_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79300_ _79302_/CLK _79300_/D VGND VGND VPWR VPWR _43754_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_625_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76512_ VGND VGND VPWR VPWR _76512_/HI la_data_out[4] sky130_fd_sc_hd__conb_1
+XFILLER_584_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42904_ _42904_/A VGND VGND VPWR VPWR _42959_/A sky130_fd_sc_hd__buf_1
+XPHY_10887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49460_ _49457_/X VGND VGND VPWR VPWR _49482_/B sky130_fd_sc_hd__buf_1
+X_73724_ _73741_/A VGND VGND VPWR VPWR _73724_/X sky130_fd_sc_hd__buf_1
+XFILLER_454_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46672_ _46682_/A _46668_/Y _46672_/C VGND VGND VPWR VPWR _46672_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_150_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58658_ _58658_/A _58658_/B VGND VGND VPWR VPWR _58660_/B sky130_fd_sc_hd__nor2_2
+XFILLER_267_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70936_ _71631_/A _70936_/B VGND VGND VPWR VPWR _70937_/B sky130_fd_sc_hd__nor2_2
+XFILLER_188_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77492_ _77515_/CLK _52647_/Y VGND VGND VPWR VPWR _77492_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_37_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_3061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43884_ _72913_/A _43891_/B VGND VGND VPWR VPWR _43885_/C sky130_fd_sc_hd__nor2_2
+XPHY_6140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_237_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48411_ _48411_/A _48411_/B VGND VGND VPWR VPWR _48411_/X sky130_fd_sc_hd__or2_2
+XFILLER_40_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79231_ _79238_/CLK _79231_/D VGND VGND VPWR VPWR _44441_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_58_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57609_ _57444_/X _57609_/B _57608_/Y VGND VGND VPWR VPWR _57609_/Y sky130_fd_sc_hd__nor3_2
+X_45623_ _71798_/A _45617_/B VGND VGND VPWR VPWR _45624_/C sky130_fd_sc_hd__nor2_2
+XFILLER_441_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_20_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76443_ VGND VGND VPWR VPWR _76443_/HI io_oeb[3] sky130_fd_sc_hd__conb_1
+XFILLER_565_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42835_ _42833_/X _42835_/B VGND VGND VPWR VPWR _42835_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_79_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73655_ _73655_/A _73655_/B VGND VGND VPWR VPWR _73655_/X sky130_fd_sc_hd__or2_2
+XFILLER_441_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49391_ _49353_/X _49391_/B VGND VGND VPWR VPWR _49391_/X sky130_fd_sc_hd__or2_2
+XFILLER_385_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58589_ _69115_/A _58439_/B VGND VGND VPWR VPWR _58589_/Y sky130_fd_sc_hd__nor2_2
+X_70867_ _71552_/A VGND VGND VPWR VPWR _71325_/A sky130_fd_sc_hd__inv_8
+XFILLER_248_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_57_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_630_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_2_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_91_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_280_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60620_ _60620_/A _60143_/B VGND VGND VPWR VPWR _60621_/C sky130_fd_sc_hd__nor2_2
+X_48342_ _48342_/A _48352_/B VGND VGND VPWR VPWR _48344_/A sky130_fd_sc_hd__nand2_2
+XPHY_5472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72606_ _72609_/A _72592_/X _69066_/A VGND VGND VPWR VPWR _72607_/B sky130_fd_sc_hd__nand3_2
+XPHY_19852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79162_ _79160_/CLK _45328_/Y VGND VGND VPWR VPWR _69589_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45554_ _71135_/A _45554_/B VGND VGND VPWR VPWR _45554_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_720_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76374_ _76392_/A VGND VGND VPWR VPWR _76389_/A sky130_fd_sc_hd__buf_1
+XPHY_19863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42766_ _42756_/A _42766_/B VGND VGND VPWR VPWR _42766_/X sky130_fd_sc_hd__and2_2
+XFILLER_265_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_33_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73586_ _73589_/A _73597_/B _73586_/C VGND VGND VPWR VPWR _73586_/Y sky130_fd_sc_hd__nand3_2
+XPHY_37231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_504_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70798_ _70793_/A _70059_/A VGND VGND VPWR VPWR _70798_/X sky130_fd_sc_hd__or2_2
+XFILLER_248_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_480_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_381_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_72_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78113_ _78589_/CLK _78113_/D VGND VGND VPWR VPWR _62349_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_18_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44505_ _44505_/A _44505_/B _44504_/Y VGND VGND VPWR VPWR _44505_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75325_ _75203_/A _75317_/X VGND VGND VPWR VPWR _75329_/A sky130_fd_sc_hd__or2_2
+XFILLER_461_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41717_ _41698_/B _55629_/A _41733_/A VGND VGND VPWR VPWR _41718_/C sky130_fd_sc_hd__nor3_2
+XPHY_37264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48273_ _48273_/A _48273_/B VGND VGND VPWR VPWR _48273_/Y sky130_fd_sc_hd__nand2_2
+X_60551_ _60551_/A _60235_/B VGND VGND VPWR VPWR _60551_/Y sky130_fd_sc_hd__nor2_2
+XPHY_4782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72537_ _72555_/A VGND VGND VPWR VPWR _72549_/B sky130_fd_sc_hd__buf_1
+XFILLER_302_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_32_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79093_ _76798_/CLK _45821_/Y VGND VGND VPWR VPWR _79093_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_359_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45485_ _45475_/A _45263_/B VGND VGND VPWR VPWR _45487_/B sky130_fd_sc_hd__nor2_2
+XFILLER_232_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42697_ _42477_/X _70557_/Y _70565_/Y _42478_/X VGND VGND VPWR VPWR _42697_/X sky130_fd_sc_hd__o22a_4
+XFILLER_226_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47224_ _47101_/B _47224_/B _47216_/C VGND VGND VPWR VPWR _78879_/D sky130_fd_sc_hd__nor3_2
+XFILLER_441_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78044_ _78044_/CLK _78044_/D VGND VGND VPWR VPWR _62816_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44436_ _44525_/A _44430_/B _44436_/C VGND VGND VPWR VPWR _44438_/B sky130_fd_sc_hd__nor3_2
+X_75256_ _75256_/A _75256_/B VGND VGND VPWR VPWR _75256_/X sky130_fd_sc_hd__or2_2
+XPHY_26040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63270_ _59796_/A VGND VGND VPWR VPWR _63850_/A sky130_fd_sc_hd__buf_1
+XFILLER_261_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_226_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41648_ _45943_/A _79061_/Q _41646_/X _41647_/X VGND VGND VPWR VPWR _41649_/D sky130_fd_sc_hd__or4_2
+XPHY_36574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60482_ _60482_/A _60482_/B _60481_/Y VGND VGND VPWR VPWR _60482_/Y sky130_fd_sc_hd__nor3_2
+XPHY_26051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72468_ _72832_/A _72584_/B VGND VGND VPWR VPWR _72473_/A sky130_fd_sc_hd__or2_2
+XFILLER_693_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_26062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_548_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_422_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_41_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_122_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62221_ _62221_/A _61756_/B VGND VGND VPWR VPWR _62221_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_400_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74207_ _74207_/A _74203_/B _67574_/A VGND VGND VPWR VPWR _74207_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_179_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47155_ _78901_/Q _47159_/A VGND VGND VPWR VPWR _47156_/C sky130_fd_sc_hd__nor2_2
+X_71419_ _71419_/A _71388_/B _71418_/Y VGND VGND VPWR VPWR _71419_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_125_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44367_ _44367_/A _44540_/A VGND VGND VPWR VPWR _44532_/A sky130_fd_sc_hd__or2_4
+XPHY_25350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75187_ _75184_/X _75201_/B _75187_/C VGND VGND VPWR VPWR _75188_/B sky130_fd_sc_hd__nand3_2
+XPHY_26095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41579_ _41084_/X _41564_/X VGND VGND VPWR VPWR _41579_/X sky130_fd_sc_hd__or2_2
+XFILLER_204_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72399_ _72234_/A _72412_/B VGND VGND VPWR VPWR _72399_/X sky130_fd_sc_hd__or2_2
+XPHY_25361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_146_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46106_ _45290_/A _43074_/B VGND VGND VPWR VPWR _46108_/B sky130_fd_sc_hd__nor2_2
+XFILLER_173_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43318_ _43353_/A VGND VGND VPWR VPWR _43333_/A sky130_fd_sc_hd__buf_1
+X_62152_ _60590_/A VGND VGND VPWR VPWR _62152_/X sky130_fd_sc_hd__buf_1
+XFILLER_318_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_636_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_591_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74138_ _74136_/X _74137_/Y VGND VGND VPWR VPWR _81010_/D sky130_fd_sc_hd__nand2_2
+XFILLER_274_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_573_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47086_ _47086_/A _47064_/B VGND VGND VPWR VPWR _47088_/B sky130_fd_sc_hd__nor2_2
+XFILLER_523_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44298_ _44293_/B _44298_/B VGND VGND VPWR VPWR _44300_/B sky130_fd_sc_hd__nor2_2
+XPHY_24660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79995_ _80121_/CLK _39965_/Y VGND VGND VPWR VPWR _79995_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_196_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_51_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_122_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61103_ _52293_/A _60781_/B VGND VGND VPWR VPWR _61103_/Y sky130_fd_sc_hd__nor2_2
+XPHY_24682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46037_ _47072_/B VGND VGND VPWR VPWR _46038_/B sky130_fd_sc_hd__buf_1
+XFILLER_554_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_86_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43249_ _43245_/X _43248_/Y VGND VGND VPWR VPWR _43249_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_696_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62083_ _62083_/A _62083_/B _62082_/Y VGND VGND VPWR VPWR _62087_/B sky130_fd_sc_hd__nor3_2
+XFILLER_337_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66960_ _57618_/A _66789_/X VGND VGND VPWR VPWR _66961_/C sky130_fd_sc_hd__nor2_2
+XPHY_14170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74069_ _74069_/A VGND VGND VPWR VPWR _74741_/A sky130_fd_sc_hd__buf_1
+XFILLER_255_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78946_ _78951_/CLK _46819_/Y VGND VGND VPWR VPWR _43185_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_100_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_177_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65911_ _65911_/A _65911_/B _65911_/C VGND VGND VPWR VPWR _65911_/Y sky130_fd_sc_hd__nor3_2
+X_61034_ _61034_/A _60876_/B VGND VGND VPWR VPWR _61036_/B sky130_fd_sc_hd__nor2_2
+XFILLER_173_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_417_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_303_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_5_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_29_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66891_ _67217_/A _66889_/Y _66890_/Y VGND VGND VPWR VPWR _66891_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_318_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_126_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78877_ _78951_/CLK _78877_/D VGND VGND VPWR VPWR _47100_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_315_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_113_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_488_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68630_ _68613_/Y _68630_/B VGND VGND VPWR VPWR _68630_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_114_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65842_ _65842_/A _66345_/B VGND VGND VPWR VPWR _65842_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_236_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77828_ _77387_/CLK _77828_/D VGND VGND VPWR VPWR _77828_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_190_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38790_ _38790_/A VGND VGND VPWR VPWR _38805_/A sky130_fd_sc_hd__buf_1
+XFILLER_142_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_692_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47988_ _47988_/A VGND VGND VPWR VPWR _48025_/A sky130_fd_sc_hd__buf_1
+XFILLER_142_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_72 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49727_ _49699_/A _49730_/B VGND VGND VPWR VPWR _49728_/B sky130_fd_sc_hd__or2_2
+XFILLER_42_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68561_ _68719_/A _68561_/B VGND VGND VPWR VPWR _68595_/B sky130_fd_sc_hd__nor2_2
+XFILLER_155_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46939_ _46913_/A _46938_/X VGND VGND VPWR VPWR _46943_/A sky130_fd_sc_hd__or2_2
+XFILLER_680_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65773_ _65773_/A _65447_/B VGND VGND VPWR VPWR _65773_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_256_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77759_ _77741_/CLK _77759_/D VGND VGND VPWR VPWR _77759_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_30191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62985_ _62350_/A _62985_/B _62985_/C VGND VGND VPWR VPWR _62985_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_9_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_99_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_67_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_429_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67512_ _67025_/A _67512_/B VGND VGND VPWR VPWR _67513_/C sky130_fd_sc_hd__nor2_2
+XFILLER_313_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_446_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64724_ _80497_/Q _64724_/B VGND VGND VPWR VPWR _64724_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_563_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61936_ _61472_/A _61936_/B _61936_/C VGND VGND VPWR VPWR _61936_/Y sky130_fd_sc_hd__nor3_2
+X_49658_ _49654_/Y _49658_/B VGND VGND VPWR VPWR _78282_/D sky130_fd_sc_hd__nand2_2
+XFILLER_228_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80770_ _80725_/CLK _75114_/Y VGND VGND VPWR VPWR _67535_/A sky130_fd_sc_hd__dfxtp_4
+X_68492_ _68829_/A _68488_/Y _68492_/C VGND VGND VPWR VPWR _68492_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_132_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_114_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_672_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39411_ _39411_/A _39410_/Y VGND VGND VPWR VPWR _80134_/D sky130_fd_sc_hd__nand2_2
+XFILLER_472_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_626_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48609_ _48704_/A _54368_/A VGND VGND VPWR VPWR _48610_/A sky130_fd_sc_hd__or2_2
+X_79429_ _79425_/CLK _42939_/Y VGND VGND VPWR VPWR _57760_/A sky130_fd_sc_hd__dfxtp_4
+X_67443_ _67607_/A _67441_/Y _67443_/C VGND VGND VPWR VPWR _67443_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_605_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_42_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64655_ _64191_/A _64653_/Y _64655_/C VGND VGND VPWR VPWR _64659_/B sky130_fd_sc_hd__nor3_2
+XFILLER_266_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61867_ _51219_/A _61711_/X VGND VGND VPWR VPWR _61868_/C sky130_fd_sc_hd__nor2_2
+X_49589_ _49619_/A _49610_/B VGND VGND VPWR VPWR _49589_/X sky130_fd_sc_hd__or2_2
+XFILLER_604_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_3083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39342_ _39327_/X _39331_/X _65854_/A VGND VGND VPWR VPWR _39343_/B sky130_fd_sc_hd__nand3_2
+X_51620_ _51620_/A _51619_/X VGND VGND VPWR VPWR _51620_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_660_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63606_ _63606_/A _63606_/B _63606_/C VGND VGND VPWR VPWR _63672_/A sky130_fd_sc_hd__nor3_2
+XFILLER_383_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_168_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60818_ _77679_/Q _60818_/B VGND VGND VPWR VPWR _60821_/B sky130_fd_sc_hd__nor2_2
+XFILLER_283_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_244_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67374_ _67374_/A _67873_/B VGND VGND VPWR VPWR _67374_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_541_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64586_ _69331_/A _64586_/B _64585_/Y VGND VGND VPWR VPWR _64586_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_180_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61798_ _61798_/A _62111_/B VGND VGND VPWR VPWR _61798_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_559_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_526_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_58_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69113_ _69113_/A _68478_/B VGND VGND VPWR VPWR _69113_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_93_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_678_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66325_ _66155_/A _66323_/Y _66325_/C VGND VGND VPWR VPWR _66325_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_422_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_307_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51551_ _60549_/A _51551_/B VGND VGND VPWR VPWR _51553_/A sky130_fd_sc_hd__nand2_2
+XFILLER_266_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39273_ _39288_/A _39273_/B _80170_/Q VGND VGND VPWR VPWR _39274_/B sky130_fd_sc_hd__nand3_2
+XFILLER_412_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_36_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63537_ _63229_/A _63529_/Y _63536_/Y VGND VGND VPWR VPWR _63537_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_35_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60749_ _60749_/A _60286_/B VGND VGND VPWR VPWR _60749_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_307_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_676_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38224_ _75303_/A _38224_/B VGND VGND VPWR VPWR _38238_/A sky130_fd_sc_hd__or2_2
+XFILLER_539_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50502_ _50502_/A _50491_/B VGND VGND VPWR VPWR _50504_/A sky130_fd_sc_hd__nand2_2
+XFILLER_492_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81322_ _81301_/CLK _81322_/D VGND VGND VPWR VPWR _81322_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69044_ _73765_/C _68897_/B VGND VGND VPWR VPWR _69045_/C sky130_fd_sc_hd__nor2_2
+XFILLER_17_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54270_ _54270_/A _54269_/X VGND VGND VPWR VPWR _54270_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_127_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66256_ _81050_/Q _65601_/B VGND VGND VPWR VPWR _66258_/B sky130_fd_sc_hd__nor2_2
+XFILLER_397_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51482_ _51482_/A _51491_/B VGND VGND VPWR VPWR _51482_/X sky130_fd_sc_hd__or2_2
+XFILLER_225_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63468_ _78720_/Q _63468_/B VGND VGND VPWR VPWR _63470_/B sky130_fd_sc_hd__nor2_2
+XFILLER_23_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_700_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_340_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53221_ _53221_/A _53221_/B VGND VGND VPWR VPWR _53247_/B sky130_fd_sc_hd__or2_2
+XFILLER_719_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65207_ _65207_/A VGND VGND VPWR VPWR _65381_/B sky130_fd_sc_hd__buf_1
+XFILLER_691_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50433_ _48489_/X _50369_/B VGND VGND VPWR VPWR _50433_/X sky130_fd_sc_hd__or2_2
+XFILLER_238_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62419_ _62419_/A _61795_/X VGND VGND VPWR VPWR _62421_/B sky130_fd_sc_hd__nor2_2
+XFILLER_88_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81253_ _81255_/CLK _81253_/D VGND VGND VPWR VPWR _68088_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_520_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66187_ _57167_/A _66187_/B VGND VGND VPWR VPWR _66189_/B sky130_fd_sc_hd__nor2_2
+XFILLER_365_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_177_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63399_ _62774_/X _63399_/B _63398_/Y VGND VGND VPWR VPWR _63399_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_381_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80204_ _80238_/CLK _39115_/Y VGND VGND VPWR VPWR _69270_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_30_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_48_0_u_core.clock clkbuf_7_49_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_48_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_178_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65138_ _65137_/X VGND VGND VPWR VPWR _65312_/B sky130_fd_sc_hd__buf_1
+X_53152_ _54970_/A VGND VGND VPWR VPWR _53200_/A sky130_fd_sc_hd__buf_1
+XFILLER_136_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50364_ _61689_/A _50343_/B VGND VGND VPWR VPWR _50364_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_277_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81184_ _81201_/CLK _73443_/Y VGND VGND VPWR VPWR _81184_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_69_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_533_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52103_ _52094_/A VGND VGND VPWR VPWR _52113_/B sky130_fd_sc_hd__buf_1
+XFILLER_247_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_178_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_435_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_464_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_375_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80135_ _80163_/CLK _80135_/D VGND VGND VPWR VPWR _80135_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_191_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_117_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53083_ _53106_/B VGND VGND VPWR VPWR _53105_/B sky130_fd_sc_hd__buf_1
+XFILLER_352_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57960_ _57796_/A _57958_/Y _57959_/Y VGND VGND VPWR VPWR _57960_/Y sky130_fd_sc_hd__nor3_2
+Xclkbuf_7_8_0_u_core.clock clkbuf_7_9_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_8_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_31809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65069_ _65029_/X _65069_/B VGND VGND VPWR VPWR _65112_/B sky130_fd_sc_hd__nor2_2
+XFILLER_514_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69946_ _69946_/A _70123_/B VGND VGND VPWR VPWR _69956_/A sky130_fd_sc_hd__nor2_2
+XFILLER_541_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50295_ _50292_/Y _50294_/X VGND VGND VPWR VPWR _78114_/D sky130_fd_sc_hd__nand2_2
+XFILLER_470_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_390_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_713_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52034_ _51736_/A VGND VGND VPWR VPWR _52035_/A sky130_fd_sc_hd__buf_1
+X_56911_ _40220_/C _56742_/X VGND VGND VPWR VPWR _56912_/C sky130_fd_sc_hd__nor2_2
+XPHY_21319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80066_ _80094_/CLK _80066_/D VGND VGND VPWR VPWR _67662_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_258_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57891_ _67674_/A _57810_/B VGND VGND VPWR VPWR _57892_/C sky130_fd_sc_hd__nor2_2
+XFILLER_470_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69877_ _69877_/A _71305_/B VGND VGND VPWR VPWR _69877_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_173_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_412_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_293_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_685_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59630_ _59630_/A _59630_/B _59629_/Y VGND VGND VPWR VPWR _59630_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_271_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68828_ _68321_/X _68828_/B _68828_/C VGND VGND VPWR VPWR _68829_/C sky130_fd_sc_hd__nor3_2
+X_56842_ _56582_/X _56838_/Y _56842_/C VGND VGND VPWR VPWR _56842_/Y sky130_fd_sc_hd__nor3_2
+XPHY_20629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38988_ _38473_/A _38982_/X VGND VGND VPWR VPWR _38990_/A sky130_fd_sc_hd__or2_2
+XFILLER_59_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_683_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59561_ _77151_/Q _59404_/B VGND VGND VPWR VPWR _59562_/C sky130_fd_sc_hd__nor2_2
+XFILLER_59_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56773_ _56946_/A _56771_/Y _56773_/C VGND VGND VPWR VPWR _56774_/C sky130_fd_sc_hd__nor3_2
+Xclkbuf_8_133_0_u_core.clock clkbuf_7_66_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_267_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_68759_ _68924_/A _68748_/Y _68759_/C VGND VGND VPWR VPWR _68759_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_259_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53985_ _77138_/Q _53978_/B VGND VGND VPWR VPWR _53985_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_366_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_193_0_u_core.clock clkbuf_8_96_0_u_core.clock/X VGND VGND VPWR VPWR _78931_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_60_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_435_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58512_ _58653_/A _58512_/B _58512_/C VGND VGND VPWR VPWR _58512_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_86_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_151_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55724_ _55688_/A _45008_/B VGND VGND VPWR VPWR _55725_/C sky130_fd_sc_hd__nor2_2
+XFILLER_388_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_644_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52936_ _60455_/A _52936_/B VGND VGND VPWR VPWR _52939_/A sky130_fd_sc_hd__nand2_2
+X_40950_ _40950_/A _40949_/Y VGND VGND VPWR VPWR _79741_/D sky130_fd_sc_hd__nand2_2
+XFILLER_186_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71770_ _39202_/A VGND VGND VPWR VPWR _42962_/A sky130_fd_sc_hd__buf_1
+XFILLER_704_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59492_ _59492_/A _59492_/B _59492_/C VGND VGND VPWR VPWR _59492_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_274_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_81_0_u_core.clock clkbuf_7_40_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_81_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_80968_ _80969_/CLK _80968_/D VGND VGND VPWR VPWR _74305_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_288_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_41_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_413_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39609_ _39606_/X _39608_/Y VGND VGND VPWR VPWR _39609_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_325_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58443_ _58443_/A _58194_/B VGND VGND VPWR VPWR _58444_/C sky130_fd_sc_hd__nor2_2
+XFILLER_18_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70721_ _70721_/A _70720_/X VGND VGND VPWR VPWR _70721_/X sky130_fd_sc_hd__and2_2
+XFILLER_562_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_76_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55655_ _55517_/X _55655_/B VGND VGND VPWR VPWR _55655_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_661_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40881_ _38621_/A _40881_/B VGND VGND VPWR VPWR _40882_/A sky130_fd_sc_hd__or2_2
+XPHY_28904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52867_ _52839_/A _52870_/B VGND VGND VPWR VPWR _52867_/X sky130_fd_sc_hd__or2_2
+XFILLER_288_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80899_ _80902_/CLK _74591_/Y VGND VGND VPWR VPWR _80899_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_28915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_28_0_u_core.clock clkbuf_8_29_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_57_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_579_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42620_ _67355_/A _42575_/B VGND VGND VPWR VPWR _42625_/A sky130_fd_sc_hd__nor2_2
+XFILLER_699_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54606_ _58933_/A _54600_/B VGND VGND VPWR VPWR _54608_/A sky130_fd_sc_hd__nand2_2
+XFILLER_273_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_265_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_679_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73440_ _73440_/A _73439_/X VGND VGND VPWR VPWR _81185_/D sky130_fd_sc_hd__nand2_2
+XPHY_28937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51818_ _51733_/A _51818_/B VGND VGND VPWR VPWR _51818_/X sky130_fd_sc_hd__or2_2
+XFILLER_360_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58374_ _38649_/C _58063_/B VGND VGND VPWR VPWR _58376_/B sky130_fd_sc_hd__nor2_2
+XFILLER_364_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70652_ _70680_/A VGND VGND VPWR VPWR _70676_/A sky130_fd_sc_hd__buf_1
+XFILLER_505_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_406_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55586_ io_in[28] _55555_/A VGND VGND VPWR VPWR _55587_/B sky130_fd_sc_hd__or2_2
+XFILLER_579_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_162_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52798_ _52883_/A _52810_/B VGND VGND VPWR VPWR _52798_/X sky130_fd_sc_hd__or2_2
+Xclkbuf_8_249_0_u_core.clock clkbuf_7_124_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_499_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_4056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_15_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57325_ _57238_/X _57319_/Y _57324_/Y VGND VGND VPWR VPWR _57325_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_445_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_41 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_230_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42551_ _45567_/A VGND VGND VPWR VPWR _68341_/A sky130_fd_sc_hd__inv_8
+XFILLER_639_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54537_ _76992_/Q _54533_/B VGND VGND VPWR VPWR _54537_/Y sky130_fd_sc_hd__nand2_2
+X_73371_ _73371_/A _73371_/B _81202_/Q VGND VGND VPWR VPWR _73371_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_265_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_41_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_52 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_214_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51749_ _51749_/A VGND VGND VPWR VPWR _51753_/B sky130_fd_sc_hd__buf_1
+XFILLER_440_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70583_ _70583_/A _70580_/X _70583_/C VGND VGND VPWR VPWR _70583_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_560_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_677_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_63 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75110_ _75110_/A VGND VGND VPWR VPWR _75204_/A sky130_fd_sc_hd__buf_1
+XFILLER_549_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41502_ _41383_/A _41509_/B VGND VGND VPWR VPWR _41502_/X sky130_fd_sc_hd__or2_2
+XFILLER_25_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72322_ _72011_/A VGND VGND VPWR VPWR _72967_/A sky130_fd_sc_hd__buf_1
+XFILLER_321_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45270_ _45322_/A _45269_/Y VGND VGND VPWR VPWR _45270_/Y sky130_fd_sc_hd__nor2_2
+X_57256_ _80090_/Q _57568_/B VGND VGND VPWR VPWR _57259_/B sky130_fd_sc_hd__nor2_2
+XPHY_35125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76090_ _76362_/A _76085_/X VGND VGND VPWR VPWR _76092_/A sky130_fd_sc_hd__or2_2
+XFILLER_401_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_96 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_341_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42482_ _42474_/X _42481_/X VGND VGND VPWR VPWR _42490_/A sky130_fd_sc_hd__nor2_2
+XFILLER_458_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54468_ _54468_/A _54468_/B VGND VGND VPWR VPWR _54468_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_718_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_2939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44221_ _72922_/A _44235_/B VGND VGND VPWR VPWR _44222_/C sky130_fd_sc_hd__nor2_2
+XPHY_2676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56207_ _56600_/A VGND VGND VPWR VPWR _58470_/A sky130_fd_sc_hd__buf_1
+XFILLER_23_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75041_ _75005_/A VGND VGND VPWR VPWR _75051_/A sky130_fd_sc_hd__buf_1
+X_41433_ _41051_/X _41430_/B VGND VGND VPWR VPWR _41438_/A sky130_fd_sc_hd__or2_2
+XPHY_34424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53419_ _53416_/Y _53418_/X VGND VGND VPWR VPWR _53419_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_13_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72253_ _72251_/X _72252_/Y VGND VGND VPWR VPWR _72253_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_391_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57187_ _66146_/A _57029_/B VGND VGND VPWR VPWR _57187_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_655_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54399_ _54396_/Y _54399_/B VGND VGND VPWR VPWR _54399_/Y sky130_fd_sc_hd__nand2_2
+XPHY_33701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_592_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71204_ _71394_/A VGND VGND VPWR VPWR _71461_/A sky130_fd_sc_hd__buf_1
+XFILLER_196_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_139_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44152_ _44146_/X _44152_/B VGND VGND VPWR VPWR _44154_/B sky130_fd_sc_hd__nor2_2
+XPHY_1986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56138_ _56144_/A _56138_/B VGND VGND VPWR VPWR _56139_/B sky130_fd_sc_hd__nor2_2
+XFILLER_436_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_41_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41364_ _41374_/A _41367_/B _57057_/A VGND VGND VPWR VPWR _41364_/Y sky130_fd_sc_hd__nand3_2
+XPHY_33734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72184_ _72183_/X _72160_/X _68945_/A VGND VGND VPWR VPWR _72185_/B sky130_fd_sc_hd__nand3_2
+XPHY_23211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_183_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_316_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_393_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_196_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43103_ _43085_/X VGND VGND VPWR VPWR _43103_/Y sky130_fd_sc_hd__inv_8
+XFILLER_418_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_372_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_157_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78800_ _78800_/CLK _78800_/D VGND VGND VPWR VPWR _63513_/A sky130_fd_sc_hd__dfxtp_4
+X_40315_ _40313_/X _40314_/Y VGND VGND VPWR VPWR _79901_/D sky130_fd_sc_hd__nand2_2
+XFILLER_291_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_6_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71135_ _71135_/A VGND VGND VPWR VPWR _75439_/A sky130_fd_sc_hd__inv_8
+XFILLER_157_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48960_ _49047_/A _48960_/B VGND VGND VPWR VPWR _48960_/X sky130_fd_sc_hd__or2_2
+X_44083_ _43995_/A _44078_/Y _44083_/C VGND VGND VPWR VPWR _79268_/D sky130_fd_sc_hd__nor3_2
+XFILLER_678_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56069_ _56096_/A _56065_/Y _56069_/C VGND VGND VPWR VPWR wbs_dat_o[13] sky130_fd_sc_hd__nor3_2
+Xclkbuf_9_61_0_u_core.clock clkbuf_9_61_0_u_core.clock/A VGND VGND VPWR VPWR _78561_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_551_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79780_ _79778_/CLK _40803_/Y VGND VGND VPWR VPWR _67988_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_87_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41295_ _41275_/A VGND VGND VPWR VPWR _41295_/X sky130_fd_sc_hd__buf_1
+XPHY_33789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76992_ _76993_/CLK _76992_/D VGND VGND VPWR VPWR _76992_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_319_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_586_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_48_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47911_ _47911_/A _47911_/B VGND VGND VPWR VPWR _47911_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43034_ _79500_/Q VGND VGND VPWR VPWR _43034_/Y sky130_fd_sc_hd__inv_8
+XFILLER_417_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78731_ _78721_/CLK _78731_/D VGND VGND VPWR VPWR _47893_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_354_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40246_ _40246_/A _40246_/B VGND VGND VPWR VPWR _79919_/D sky130_fd_sc_hd__nand2_2
+X_75943_ _75940_/X _75943_/B VGND VGND VPWR VPWR _75943_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71066_ _69880_/A VGND VGND VPWR VPWR _71150_/A sky130_fd_sc_hd__buf_1
+XFILLER_234_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_139_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48891_ _63936_/A _48891_/B VGND VGND VPWR VPWR _48894_/A sky130_fd_sc_hd__nand2_2
+XFILLER_272_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_693_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_219_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70017_ _70017_/A _70016_/X VGND VGND VPWR VPWR _70018_/B sky130_fd_sc_hd__nor2_2
+XPHY_12064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_649_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47842_ _47997_/A VGND VGND VPWR VPWR _47843_/A sky130_fd_sc_hd__buf_1
+XPHY_22598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59828_ _58946_/X VGND VGND VPWR VPWR _59828_/X sky130_fd_sc_hd__buf_1
+XPHY_11330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78662_ _78156_/CLK _48165_/Y VGND VGND VPWR VPWR _78662_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_313_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40177_ _40184_/A _40184_/B _79938_/Q VGND VGND VPWR VPWR _40178_/B sky130_fd_sc_hd__nand3_2
+XFILLER_458_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75874_ _75884_/A _75881_/B _75874_/C VGND VGND VPWR VPWR _75875_/B sky130_fd_sc_hd__nand3_2
+XFILLER_454_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_289_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_469_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_501_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77613_ _77613_/CLK _77613_/D VGND VGND VPWR VPWR _60522_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_296_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74825_ _74930_/B VGND VGND VPWR VPWR _74841_/B sky130_fd_sc_hd__buf_1
+XFILLER_98_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47773_ _47773_/A _47776_/B VGND VGND VPWR VPWR _47773_/X sky130_fd_sc_hd__or2_2
+XFILLER_215_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59759_ _59759_/A _59757_/Y _59759_/C VGND VGND VPWR VPWR _59763_/B sky130_fd_sc_hd__nor3_2
+XFILLER_26_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78593_ _78593_/CLK _48438_/Y VGND VGND VPWR VPWR _48436_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44985_ _45366_/A _44985_/B VGND VGND VPWR VPWR _44985_/X sky130_fd_sc_hd__and2_2
+XFILLER_684_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_284_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_49_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_38_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49512_ _49632_/A _49522_/B VGND VGND VPWR VPWR _49512_/X sky130_fd_sc_hd__or2_2
+XFILLER_387_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46724_ _43036_/B _46723_/X VGND VGND VPWR VPWR _46724_/X sky130_fd_sc_hd__or2_2
+XFILLER_690_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77544_ _78535_/CLK _52447_/Y VGND VGND VPWR VPWR _52445_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_367_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43936_ _79278_/Q _43949_/A VGND VGND VPWR VPWR _43937_/B sky130_fd_sc_hd__nor2_2
+X_74756_ _74733_/A VGND VGND VPWR VPWR _74761_/B sky130_fd_sc_hd__buf_1
+X_62770_ _62770_/A _62769_/X VGND VGND VPWR VPWR _62770_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_111_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_643_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71968_ _71727_/B _71968_/B VGND VGND VPWR VPWR _71969_/C sky130_fd_sc_hd__nor2_2
+XFILLER_506_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_111_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_345_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_323_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_0_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_447_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49443_ _62167_/A _49436_/B VGND VGND VPWR VPWR _49445_/A sky130_fd_sc_hd__nand2_2
+X_61721_ _61721_/A _61553_/B VGND VGND VPWR VPWR _61721_/Y sky130_fd_sc_hd__nor2_2
+X_73707_ _73720_/A _73720_/B _66252_/A VGND VGND VPWR VPWR _73708_/B sky130_fd_sc_hd__nand3_2
+XFILLER_426_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_584_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46655_ _46654_/Y _42408_/B VGND VGND VPWR VPWR _46664_/B sky130_fd_sc_hd__or2_2
+XFILLER_228_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70919_ _70587_/X VGND VGND VPWR VPWR _70919_/Y sky130_fd_sc_hd__inv_8
+X_77475_ _77474_/CLK _52706_/Y VGND VGND VPWR VPWR _52704_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_599_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43867_ _43691_/Y _43867_/B VGND VGND VPWR VPWR _43867_/X sky130_fd_sc_hd__and2_2
+XFILLER_580_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74687_ _74698_/A _74692_/B _69016_/A VGND VGND VPWR VPWR _74687_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_467_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71899_ _71899_/A _71899_/B VGND VGND VPWR VPWR _71899_/X sky130_fd_sc_hd__and2_2
+XFILLER_481_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_3064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_326_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79214_ _81206_/CLK _44587_/Y VGND VGND VPWR VPWR _79214_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_642_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45606_ _44562_/A VGND VGND VPWR VPWR _45607_/A sky130_fd_sc_hd__buf_1
+XFILLER_703_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64440_ _65225_/A VGND VGND VPWR VPWR _65388_/A sky130_fd_sc_hd__buf_1
+XFILLER_640_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76426_ _76419_/A _76426_/B _66087_/A VGND VGND VPWR VPWR _76427_/B sky130_fd_sc_hd__nand3_2
+XFILLER_621_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_601_2718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42818_ _72113_/Y VGND VGND VPWR VPWR _42819_/B sky130_fd_sc_hd__buf_1
+XFILLER_602_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61652_ _52970_/A _61184_/B VGND VGND VPWR VPWR _61652_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_79_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73638_ _73638_/A _73635_/B VGND VGND VPWR VPWR _73638_/X sky130_fd_sc_hd__or2_2
+XFILLER_447_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49374_ _49397_/B VGND VGND VPWR VPWR _49396_/B sky130_fd_sc_hd__buf_1
+XFILLER_545_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46586_ _46586_/A _46581_/B VGND VGND VPWR VPWR _46588_/B sky130_fd_sc_hd__nor2_2
+XFILLER_75_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43798_ _74974_/A _43787_/X VGND VGND VPWR VPWR _43798_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_523_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_379_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_307_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_206_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_283_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_233_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_406_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60603_ _60290_/A _60603_/B _60602_/Y VGND VGND VPWR VPWR _60614_/B sky130_fd_sc_hd__nor3_2
+XFILLER_128_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48325_ _48948_/A VGND VGND VPWR VPWR _48417_/A sky130_fd_sc_hd__buf_1
+XFILLER_263_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79145_ _79145_/CLK _45520_/Y VGND VGND VPWR VPWR _42105_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_695_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45537_ _74941_/B VGND VGND VPWR VPWR _45537_/X sky130_fd_sc_hd__buf_1
+XFILLER_17_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64371_ _64370_/X VGND VGND VPWR VPWR _69409_/B sky130_fd_sc_hd__buf_1
+X_76357_ _76366_/A VGND VGND VPWR VPWR _76395_/A sky130_fd_sc_hd__buf_1
+XPHY_19693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42749_ _42747_/X _70687_/X _70686_/Y _42748_/X VGND VGND VPWR VPWR _42749_/X sky130_fd_sc_hd__o22a_4
+XFILLER_178_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61583_ _63145_/A VGND VGND VPWR VPWR _61583_/X sky130_fd_sc_hd__buf_1
+XPHY_37061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73569_ _73569_/A _73569_/B VGND VGND VPWR VPWR _81151_/D sky130_fd_sc_hd__nand2_2
+XFILLER_460_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_124_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_470 _64495_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_410_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_343_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_481 _64112_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_162_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66110_ _66110_/A _65616_/B VGND VGND VPWR VPWR _66111_/C sky130_fd_sc_hd__nor2_2
+XFILLER_18_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63322_ _63322_/A _63008_/B VGND VGND VPWR VPWR _63322_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_82_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75308_ _75296_/X _75323_/B _69429_/A VGND VGND VPWR VPWR _75309_/B sky130_fd_sc_hd__nand3_2
+XFILLER_523_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_492 _64594_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_410_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_378_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_21_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48256_ _48254_/Y _48256_/B VGND VGND VPWR VPWR _78637_/D sky130_fd_sc_hd__nand2_2
+X_60534_ _60534_/A _60525_/Y _60533_/Y VGND VGND VPWR VPWR _60535_/B sky130_fd_sc_hd__nor3_2
+XFILLER_32_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67090_ _67577_/A _67090_/B _67090_/C VGND VGND VPWR VPWR _67091_/C sky130_fd_sc_hd__nor3_2
+XPHY_18992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79076_ _79100_/CLK _79076_/D VGND VGND VPWR VPWR _79076_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_72_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45468_ _45016_/A VGND VGND VPWR VPWR _45476_/C sky130_fd_sc_hd__buf_1
+XPHY_36360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76288_ _76291_/A _76291_/B _80478_/Q VGND VGND VPWR VPWR _76288_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_159_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_583_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_198_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_17 io_in[29] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_716_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47207_ _47105_/B VGND VGND VPWR VPWR _47208_/B sky130_fd_sc_hd__inv_8
+XFILLER_348_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66041_ _69420_/B VGND VGND VPWR VPWR _66041_/X sky130_fd_sc_hd__buf_1
+XFILLER_140_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_558_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_28 io_out[16] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_78027_ _78044_/CLK _78027_/D VGND VGND VPWR VPWR _62655_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44419_ _44330_/Y _44419_/B _44419_/C VGND VGND VPWR VPWR _44424_/C sky130_fd_sc_hd__or3_2
+XFILLER_177_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63253_ _63821_/A _63253_/B _63253_/C VGND VGND VPWR VPWR _63257_/B sky130_fd_sc_hd__nor3_2
+XFILLER_695_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75239_ _75239_/A _75225_/X _80736_/Q VGND VGND VPWR VPWR _75239_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_53_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_39 wbs_adr_i[2] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_457_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48187_ _78656_/Q _48172_/X VGND VGND VPWR VPWR _48187_/Y sky130_fd_sc_hd__nand2_2
+X_60465_ _60465_/A _60146_/B VGND VGND VPWR VPWR _60467_/B sky130_fd_sc_hd__nor2_2
+XFILLER_20_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45399_ _45429_/A _55670_/B VGND VGND VPWR VPWR _45399_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_220_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_565_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_574_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62204_ _61569_/A _62202_/Y _62203_/Y VGND VGND VPWR VPWR _62205_/C sky130_fd_sc_hd__nor3_2
+XFILLER_158_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47138_ _47127_/X VGND VGND VPWR VPWR _47143_/A sky130_fd_sc_hd__inv_8
+XFILLER_118_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63184_ _62875_/A _63184_/B _63183_/Y VGND VGND VPWR VPWR _63184_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_200_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60396_ _59163_/A VGND VGND VPWR VPWR _60396_/X sky130_fd_sc_hd__buf_1
+XFILLER_335_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_274_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_417_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_634_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_200_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69800_ _55886_/B _69799_/Y VGND VGND VPWR VPWR _69800_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_697_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_51_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62135_ _62135_/A _62134_/Y VGND VGND VPWR VPWR _62135_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_689_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_173_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39960_ _39958_/X _39959_/Y VGND VGND VPWR VPWR _79996_/D sky130_fd_sc_hd__nand2_2
+XFILLER_493_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47069_ _47046_/X _71989_/B _71928_/B _46835_/X VGND VGND VPWR VPWR _47069_/X sky130_fd_sc_hd__o22a_4
+XFILLER_376_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67992_ _67992_/A _67825_/B VGND VGND VPWR VPWR _67992_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_435_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79978_ _79944_/CLK _40028_/Y VGND VGND VPWR VPWR _79978_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_318_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_334_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38911_ _38915_/A _38915_/B _38911_/C VGND VGND VPWR VPWR _38911_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_354_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_86_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69731_ _79505_/Q VGND VGND VPWR VPWR _42179_/A sky130_fd_sc_hd__inv_8
+XFILLER_470_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50080_ _50078_/Y _50080_/B VGND VGND VPWR VPWR _78168_/D sky130_fd_sc_hd__nand2_2
+X_66943_ _66606_/A _66943_/B _66942_/Y VGND VGND VPWR VPWR _66944_/C sky130_fd_sc_hd__nor3_2
+XFILLER_337_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_551_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62066_ _61752_/A _62066_/B _62065_/Y VGND VGND VPWR VPWR _62066_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_294_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78929_ _78929_/CLK _78929_/D VGND VGND VPWR VPWR _71444_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_505_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_288_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39891_ _39901_/A VGND VGND VPWR VPWR _39997_/B sky130_fd_sc_hd__buf_1
+XFILLER_48_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_353_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_489_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_530_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_237_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_413_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_71 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_511_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_666_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_693_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61017_ _51542_/A _61483_/B VGND VGND VPWR VPWR _61017_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_115_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_135_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38842_ _38840_/X _38841_/Y VGND VGND VPWR VPWR _80276_/D sky130_fd_sc_hd__nand2_2
+XFILLER_331_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69662_ _69662_/A _69662_/B VGND VGND VPWR VPWR _69662_/X sky130_fd_sc_hd__and2_2
+XFILLER_118_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_151_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66874_ _66052_/A VGND VGND VPWR VPWR _66877_/A sky130_fd_sc_hd__buf_1
+XFILLER_370_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68613_ _67940_/X _68605_/Y _68612_/Y VGND VGND VPWR VPWR _68613_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_116_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65825_ _65329_/A _65824_/Y VGND VGND VPWR VPWR _65825_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_385_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38773_ _38787_/A _38780_/B _80295_/Q VGND VGND VPWR VPWR _38773_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_528_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69593_ _69593_/A _69593_/B _69577_/A VGND VGND VPWR VPWR _69593_/X sky130_fd_sc_hd__and3_2
+XFILLER_233_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_170_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_692_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_68_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_528_2443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_487_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_418_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80822_ _80826_/CLK _80822_/D VGND VGND VPWR VPWR _65567_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_56_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68544_ _65905_/A VGND VGND VPWR VPWR _68547_/A sky130_fd_sc_hd__buf_1
+XFILLER_543_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_68_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53770_ _60192_/A _53783_/B VGND VGND VPWR VPWR _53770_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_256_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65756_ _66258_/A _65756_/B _65755_/Y VGND VGND VPWR VPWR _65757_/C sky130_fd_sc_hd__nor3_2
+XFILLER_348_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50982_ _50925_/A _50988_/B VGND VGND VPWR VPWR _50983_/B sky130_fd_sc_hd__or2_2
+XFILLER_289_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62968_ _63580_/A _62968_/B _62967_/Y VGND VGND VPWR VPWR _62979_/B sky130_fd_sc_hd__nor3_2
+XFILLER_708_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52721_ _52750_/A _52718_/B VGND VGND VPWR VPWR _52721_/X sky130_fd_sc_hd__or2_2
+X_64707_ _66386_/A VGND VGND VPWR VPWR _65390_/B sky130_fd_sc_hd__buf_1
+XFILLER_481_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_606_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61919_ _61919_/A _61917_/Y _61918_/Y VGND VGND VPWR VPWR _61920_/C sky130_fd_sc_hd__nor3_2
+X_80753_ _80844_/CLK _80753_/D VGND VGND VPWR VPWR _75173_/C sky130_fd_sc_hd__dfxtp_4
+X_68475_ _68475_/A _68471_/Y _68475_/C VGND VGND VPWR VPWR _68475_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_309_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_661_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65687_ _80054_/Q _65849_/B VGND VGND VPWR VPWR _65689_/B sky130_fd_sc_hd__nor2_2
+XFILLER_383_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62899_ _59402_/A VGND VGND VPWR VPWR _62899_/X sky130_fd_sc_hd__buf_1
+XFILLER_623_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_38_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_55_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55440_ _55440_/A _55440_/B VGND VGND VPWR VPWR _55445_/B sky130_fd_sc_hd__nor2_2
+XFILLER_678_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67426_ _65780_/A VGND VGND VPWR VPWR _67429_/A sky130_fd_sc_hd__buf_1
+XFILLER_0_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64638_ _64638_/A _64800_/B VGND VGND VPWR VPWR _64639_/C sky130_fd_sc_hd__nor2_2
+X_52652_ _52668_/B VGND VGND VPWR VPWR _52665_/B sky130_fd_sc_hd__buf_1
+XFILLER_481_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_721_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80684_ _80685_/CLK _75438_/Y VGND VGND VPWR VPWR _75437_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_110_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_3028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_604_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_70_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_52_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39325_ _39323_/X _39325_/B VGND VGND VPWR VPWR _39325_/Y sky130_fd_sc_hd__nand2_2
+X_51603_ _77769_/Q _51591_/X VGND VGND VPWR VPWR _51605_/A sky130_fd_sc_hd__nand2_2
+XFILLER_270_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_209_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_197_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_321_0_u_core.clock clkbuf_9_321_0_u_core.clock/A VGND VGND VPWR VPWR _76939_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_307_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55371_ _55396_/A _55365_/Y _55370_/Y VGND VGND VPWR VPWR _55372_/B sky130_fd_sc_hd__or3_2
+XFILLER_205_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67357_ _43688_/Y _66868_/B VGND VGND VPWR VPWR _67359_/B sky130_fd_sc_hd__nor2_2
+XFILLER_75_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_364_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_494_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52583_ _52580_/Y _52583_/B VGND VGND VPWR VPWR _77508_/D sky130_fd_sc_hd__nand2_2
+XPHY_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_102_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64569_ _68068_/A VGND VGND VPWR VPWR _64569_/X sky130_fd_sc_hd__buf_1
+XFILLER_413_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_266_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_55_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57110_ _56882_/A _57110_/B _57109_/Y VGND VGND VPWR VPWR _57110_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_24_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_500_3074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54322_ _54231_/X _54322_/B VGND VGND VPWR VPWR _54322_/X sky130_fd_sc_hd__or2_2
+XFILLER_142_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66308_ _64626_/X VGND VGND VPWR VPWR _66470_/A sky130_fd_sc_hd__buf_1
+XFILLER_498_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39256_ _39256_/A VGND VGND VPWR VPWR _39273_/B sky130_fd_sc_hd__buf_1
+X_51534_ _51532_/Y _51533_/X VGND VGND VPWR VPWR _51534_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_36_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58090_ _67946_/A _58165_/B VGND VGND VPWR VPWR _58090_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_557_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_106_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67288_ _40939_/C _66789_/X VGND VGND VPWR VPWR _67289_/C sky130_fd_sc_hd__nor2_2
+XFILLER_357_226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_586_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_672_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_617_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38207_ _38205_/X _38207_/B VGND VGND VPWR VPWR _38207_/Y sky130_fd_sc_hd__nand2_2
+X_57041_ _56888_/A _57041_/B _57040_/Y VGND VGND VPWR VPWR _57041_/Y sky130_fd_sc_hd__nor3_2
+X_69027_ _69027_/A _69027_/B VGND VGND VPWR VPWR _69027_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_9_490_0_u_core.clock clkbuf_9_491_0_u_core.clock/A VGND VGND VPWR VPWR _80315_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_81305_ _81305_/CLK _81305_/D VGND VGND VPWR VPWR _57184_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_225_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_196_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_401_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66239_ _67885_/A VGND VGND VPWR VPWR _66902_/B sky130_fd_sc_hd__buf_1
+X_54253_ _54252_/X _54232_/A VGND VGND VPWR VPWR _54254_/B sky130_fd_sc_hd__or2_2
+XFILLER_437_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_225_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39187_ _39185_/X _39187_/B VGND VGND VPWR VPWR _39187_/Y sky130_fd_sc_hd__nand2_2
+X_51465_ _60568_/A _51465_/B VGND VGND VPWR VPWR _51467_/A sky130_fd_sc_hd__nand2_2
+XFILLER_123_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_492_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_203_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_418_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53204_ _53204_/A _53210_/B VGND VGND VPWR VPWR _53204_/X sky130_fd_sc_hd__or2_2
+XFILLER_691_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50416_ _50416_/A _50416_/B VGND VGND VPWR VPWR _78081_/D sky130_fd_sc_hd__nand2_2
+XFILLER_320_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81236_ _81201_/CLK _81236_/D VGND VGND VPWR VPWR _65277_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_326_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54184_ _54098_/A _54178_/B VGND VGND VPWR VPWR _54185_/B sky130_fd_sc_hd__or2_2
+XFILLER_359_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_299_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_437_0_u_core.clock clkbuf_9_437_0_u_core.clock/A VGND VGND VPWR VPWR _80238_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_51396_ _53307_/A _51468_/B VGND VGND VPWR VPWR _51438_/B sky130_fd_sc_hd__or2_2
+XFILLER_338_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_611_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_179_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_672_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53135_ _53135_/A _53135_/B VGND VGND VPWR VPWR _53135_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_194_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_197_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_652_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50347_ _50345_/Y _50346_/X VGND VGND VPWR VPWR _78099_/D sky130_fd_sc_hd__nand2_2
+XFILLER_431_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81167_ _81476_/CLK _73502_/Y VGND VGND VPWR VPWR _81167_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_499_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_106_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_535_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58992_ _58991_/X VGND VGND VPWR VPWR _58992_/X sky130_fd_sc_hd__buf_1
+XFILLER_513_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_418_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_353_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40100_ _39850_/X _40107_/B VGND VGND VPWR VPWR _40100_/X sky130_fd_sc_hd__or2_2
+XFILLER_703_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_136_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80118_ _80101_/CLK _39469_/Y VGND VGND VPWR VPWR _65690_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_191_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41080_ _41079_/X _41066_/X VGND VGND VPWR VPWR _41080_/X sky130_fd_sc_hd__or2_2
+X_53066_ _53066_/A _53072_/B VGND VGND VPWR VPWR _53066_/X sky130_fd_sc_hd__or2_2
+X_57943_ _56201_/A VGND VGND VPWR VPWR _57981_/A sky130_fd_sc_hd__buf_1
+XFILLER_134_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69929_ _69912_/Y VGND VGND VPWR VPWR _69929_/Y sky130_fd_sc_hd__inv_8
+XPHY_9513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50278_ _61772_/A _50273_/B VGND VGND VPWR VPWR _50278_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_251_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_675_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81098_ _80790_/CLK _73769_/Y VGND VGND VPWR VPWR _81098_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_30905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_353_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_3101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_516_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40031_ _40068_/A VGND VGND VPWR VPWR _40031_/X sky130_fd_sc_hd__buf_1
+XPHY_9546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52017_ _77657_/Q _52012_/B VGND VGND VPWR VPWR _52017_/Y sky130_fd_sc_hd__nand2_2
+XPHY_20404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72940_ _72940_/A VGND VGND VPWR VPWR _73959_/A sky130_fd_sc_hd__buf_1
+XPHY_9557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80049_ _80109_/CLK _39725_/Y VGND VGND VPWR VPWR _80049_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_20415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_388_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57874_ _79842_/Q _57874_/B VGND VGND VPWR VPWR _57875_/C sky130_fd_sc_hd__nor2_2
+XFILLER_274_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_3156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_47_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59613_ _58881_/A VGND VGND VPWR VPWR _59613_/X sky130_fd_sc_hd__buf_1
+XPHY_20448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_160_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56825_ _56738_/X _56777_/X _56823_/X _74519_/Y _56824_/X VGND VGND VPWR VPWR _56825_/Y
++ sky130_fd_sc_hd__a32oi_4
+XPHY_8856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_251_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72871_ _72941_/A VGND VGND VPWR VPWR _72872_/B sky130_fd_sc_hd__buf_1
+XFILLER_78_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_59_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74610_ _74610_/A _74596_/B VGND VGND VPWR VPWR _74610_/X sky130_fd_sc_hd__or2_2
+XFILLER_284_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59544_ _59544_/A VGND VGND VPWR VPWR _59547_/A sky130_fd_sc_hd__buf_1
+XFILLER_388_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71822_ _71821_/X VGND VGND VPWR VPWR _42732_/B sky130_fd_sc_hd__buf_1
+XFILLER_212_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44770_ _72885_/A _44767_/X _44758_/Y _44769_/Y VGND VGND VPWR VPWR _44770_/X sky130_fd_sc_hd__o22a_4
+X_56756_ _56433_/A _56756_/B _56756_/C VGND VGND VPWR VPWR _56756_/Y sky130_fd_sc_hd__nor3_2
+X_75590_ _75581_/X _75605_/B _69426_/A VGND VGND VPWR VPWR _75590_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_483_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_644_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41982_ _41978_/Y _42016_/B VGND VGND VPWR VPWR _41984_/B sky130_fd_sc_hd__nor2_2
+X_53968_ _53938_/A _53965_/B VGND VGND VPWR VPWR _53969_/B sky130_fd_sc_hd__or2_2
+XFILLER_625_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_425_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43721_ _43700_/Y _43720_/X VGND VGND VPWR VPWR _43722_/A sky130_fd_sc_hd__or2_4
+XFILLER_262_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_99_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55707_ _55707_/A _55707_/B _55706_/Y VGND VGND VPWR VPWR _55707_/X sky130_fd_sc_hd__or3_2
+XFILLER_644_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74541_ _74541_/A _74540_/X VGND VGND VPWR VPWR _74541_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_327_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40933_ _40433_/A _40932_/X VGND VGND VPWR VPWR _40937_/A sky130_fd_sc_hd__or2_2
+XPHY_29424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52919_ _52919_/A _52919_/B VGND VGND VPWR VPWR _77418_/D sky130_fd_sc_hd__nand2_2
+XFILLER_78_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59475_ _61846_/A VGND VGND VPWR VPWR _59476_/B sky130_fd_sc_hd__buf_1
+XFILLER_262_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71753_ _71747_/X _71753_/B _71750_/Y _71752_/Y VGND VGND VPWR VPWR _71753_/X sky130_fd_sc_hd__or4_2
+XFILLER_436_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_210_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_609_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56687_ _56687_/A _56862_/B VGND VGND VPWR VPWR _56688_/C sky130_fd_sc_hd__nor2_2
+XFILLER_264_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53899_ _53899_/A _53898_/X VGND VGND VPWR VPWR _53899_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_366_2686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_700_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_327_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_423_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58426_ _42112_/A VGND VGND VPWR VPWR _58663_/A sky130_fd_sc_hd__buf_1
+XFILLER_325_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46440_ _46440_/A VGND VGND VPWR VPWR _46441_/B sky130_fd_sc_hd__inv_8
+X_70704_ _70704_/A _69945_/A VGND VGND VPWR VPWR _70707_/A sky130_fd_sc_hd__nor2_2
+XFILLER_562_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77260_ _77265_/CLK _77260_/D VGND VGND VPWR VPWR _60376_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_284_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_76_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43652_ _43646_/X _43652_/B _43652_/C VGND VGND VPWR VPWR _79306_/D sky130_fd_sc_hd__nor3_2
+XPHY_18200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55638_ _55293_/A _55637_/Y VGND VGND VPWR VPWR _55730_/A sky130_fd_sc_hd__nor2_2
+XFILLER_596_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74472_ _74470_/Y _74471_/X VGND VGND VPWR VPWR _74472_/X sky130_fd_sc_hd__or2_2
+XFILLER_62_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40864_ _40867_/A _40867_/B _56673_/A VGND VGND VPWR VPWR _40865_/B sky130_fd_sc_hd__nand3_2
+XFILLER_524_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71684_ _71684_/A _71684_/B VGND VGND VPWR VPWR _71684_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_308_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_210_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_544_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_501_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_163_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76211_ _76199_/A _76194_/B _80497_/Q VGND VGND VPWR VPWR _76212_/B sky130_fd_sc_hd__nand3_2
+XFILLER_579_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42603_ _42579_/A _42602_/X VGND VGND VPWR VPWR _42603_/Y sky130_fd_sc_hd__nor2_2
+XPHY_28767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73423_ _74981_/A _73433_/B VGND VGND VPWR VPWR _73424_/B sky130_fd_sc_hd__or2_2
+XFILLER_249_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46371_ _45412_/A _46371_/B VGND VGND VPWR VPWR _46371_/Y sky130_fd_sc_hd__nor2_2
+X_58357_ _58357_/A _58357_/B VGND VGND VPWR VPWR _58357_/Y sky130_fd_sc_hd__nor2_2
+X_70635_ _70634_/X VGND VGND VPWR VPWR _70635_/Y sky130_fd_sc_hd__inv_8
+XFILLER_540_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77191_ _77686_/CLK _77191_/D VGND VGND VPWR VPWR _59545_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_505_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43583_ _43576_/A _43581_/Y _43583_/C VGND VGND VPWR VPWR _43583_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_141_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55569_ _55565_/X _55568_/X VGND VGND VPWR VPWR _55569_/X sky130_fd_sc_hd__and2_2
+XPHY_18255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40795_ _40793_/X _40795_/B VGND VGND VPWR VPWR _79782_/D sky130_fd_sc_hd__nand2_2
+XFILLER_226_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_163_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48110_ _48110_/A _48120_/B VGND VGND VPWR VPWR _48110_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_399_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45322_ _45322_/A _45321_/Y VGND VGND VPWR VPWR _45322_/Y sky130_fd_sc_hd__nor2_2
+X_57308_ _57144_/X _57272_/X _57307_/X _74494_/A _57227_/X VGND VGND VPWR VPWR _57308_/Y
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_262_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76142_ _76147_/A _76142_/B _80512_/Q VGND VGND VPWR VPWR _76143_/B sky130_fd_sc_hd__nand3_2
+XPHY_3163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_403_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42534_ _42511_/X _70189_/Y _70198_/X _42512_/X VGND VGND VPWR VPWR _42534_/X sky130_fd_sc_hd__o22a_4
+XFILLER_726_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73354_ _73354_/A VGND VGND VPWR VPWR _73366_/B sky130_fd_sc_hd__buf_1
+XFILLER_348_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49090_ _47512_/A VGND VGND VPWR VPWR _49211_/A sky130_fd_sc_hd__buf_1
+XFILLER_423_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70566_ _70522_/A _70557_/Y _70471_/A _70565_/Y VGND VGND VPWR VPWR _70566_/X sky130_fd_sc_hd__o22a_4
+X_58288_ _58288_/A _58288_/B _58287_/Y VGND VGND VPWR VPWR _58288_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_638_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_565_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_30_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_475_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48041_ _48039_/Y _48040_/X VGND VGND VPWR VPWR _48041_/Y sky130_fd_sc_hd__nand2_2
+X_72305_ _72299_/A _72310_/B _65489_/A VGND VGND VPWR VPWR _72305_/Y sky130_fd_sc_hd__nand3_2
+XPHY_16842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45253_ _45253_/A VGND VGND VPWR VPWR _45253_/Y sky130_fd_sc_hd__inv_8
+XFILLER_674_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57239_ _56836_/X VGND VGND VPWR VPWR _57239_/X sky130_fd_sc_hd__buf_1
+XFILLER_32_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76073_ _76073_/A VGND VGND VPWR VPWR _38472_/A sky130_fd_sc_hd__buf_1
+XPHY_16853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42465_ _42465_/A _42465_/B VGND VGND VPWR VPWR _42465_/X sky130_fd_sc_hd__and2_2
+XFILLER_187_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_690_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73285_ _73285_/A _73284_/X VGND VGND VPWR VPWR _81226_/D sky130_fd_sc_hd__nand2_2
+XPHY_34221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70497_ _70471_/A _70494_/X _70522_/A _70496_/Y VGND VGND VPWR VPWR _70497_/X sky130_fd_sc_hd__o22a_4
+XFILLER_156_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_385_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_196_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44204_ _44204_/A _44203_/Y VGND VGND VPWR VPWR _44206_/B sky130_fd_sc_hd__nor2_2
+XFILLER_126_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_329_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75024_ _75030_/A _75030_/B _66068_/A VGND VGND VPWR VPWR _75026_/A sky130_fd_sc_hd__nand3_2
+XFILLER_564_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79901_ _80144_/CLK _79901_/D VGND VGND VPWR VPWR _57516_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_174_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41416_ _41392_/X VGND VGND VPWR VPWR _41490_/A sky130_fd_sc_hd__buf_1
+XPHY_34254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60250_ _60250_/A _59766_/B VGND VGND VPWR VPWR _60250_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72236_ _72234_/X _72235_/Y VGND VGND VPWR VPWR _72236_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_345_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45184_ _45065_/A _45184_/B VGND VGND VPWR VPWR _45184_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42396_ _42372_/Y _42301_/A VGND VGND VPWR VPWR _42399_/B sky130_fd_sc_hd__nor2_2
+XPHY_33531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_256_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_128_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44135_ _68025_/A _44052_/X VGND VGND VPWR VPWR _44136_/C sky130_fd_sc_hd__and2_2
+XFILLER_155_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79832_ _79837_/CLK _40606_/Y VGND VGND VPWR VPWR _66010_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_197_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41347_ _41347_/A _41347_/B VGND VGND VPWR VPWR _79644_/D sky130_fd_sc_hd__nand2_2
+XPHY_33564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60181_ _59372_/A VGND VGND VPWR VPWR _60199_/A sky130_fd_sc_hd__buf_1
+X_72167_ _72165_/X _72166_/Y VGND VGND VPWR VPWR _72167_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_338_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_32_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49992_ _49992_/A _49992_/B VGND VGND VPWR VPWR _49992_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_436_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_87_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_560_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71118_ _71118_/A _71118_/B VGND VGND VPWR VPWR _71118_/Y sky130_fd_sc_hd__nor2_2
+XPHY_32863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_3093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48943_ _78463_/Q _48928_/B VGND VGND VPWR VPWR _48943_/Y sky130_fd_sc_hd__nand2_2
+X_44066_ _44066_/A _44066_/B _44066_/C VGND VGND VPWR VPWR _44067_/C sky130_fd_sc_hd__nor3_2
+XFILLER_533_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79763_ _79794_/CLK _79763_/D VGND VGND VPWR VPWR _56673_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_65_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41278_ _41274_/X _41278_/B VGND VGND VPWR VPWR _41278_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76975_ _76975_/CLK _54602_/Y VGND VGND VPWR VPWR _59459_/A sky130_fd_sc_hd__dfxtp_4
+X_72098_ _70888_/X _72097_/X VGND VGND VPWR VPWR _72098_/X sky130_fd_sc_hd__and2_2
+XPHY_23096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_256_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43017_ _43015_/Y _46089_/B _46089_/A _43018_/A VGND VGND VPWR VPWR _43025_/A sky130_fd_sc_hd__o22a_4
+XFILLER_675_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78714_ _78737_/CLK _78714_/D VGND VGND VPWR VPWR _47955_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_611_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40229_ _40229_/A _40228_/Y VGND VGND VPWR VPWR _79924_/D sky130_fd_sc_hd__nand2_2
+XFILLER_272_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63940_ _63809_/A _63938_/Y _63939_/Y VGND VGND VPWR VPWR _63940_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_688_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75926_ _75926_/A _75926_/B _80565_/Q VGND VGND VPWR VPWR _75927_/B sky130_fd_sc_hd__nand3_2
+XFILLER_26_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71049_ wb_rst_i _71048_/B VGND VGND VPWR VPWR _71050_/B sky130_fd_sc_hd__or2_2
+XPHY_21650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48874_ _48845_/A _48877_/B VGND VGND VPWR VPWR _48874_/X sky130_fd_sc_hd__or2_2
+XFILLER_184_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_486_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_458_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79694_ _79694_/CLK _41159_/Y VGND VGND VPWR VPWR _58838_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_234_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_26_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_431_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47825_ _47825_/A VGND VGND VPWR VPWR _47826_/B sky130_fd_sc_hd__buf_1
+XFILLER_430_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78645_ _78138_/CLK _48227_/Y VGND VGND VPWR VPWR _63016_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_11160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63871_ _63314_/A _63869_/Y _63871_/C VGND VGND VPWR VPWR _63871_/Y sky130_fd_sc_hd__nor3_2
+XPHY_21694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75857_ _75855_/X _75856_/Y VGND VGND VPWR VPWR _80584_/D sky130_fd_sc_hd__nand2_2
+XFILLER_65_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_11171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_674_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_230_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_627_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65610_ _65610_/A _64914_/B VGND VGND VPWR VPWR _65611_/C sky130_fd_sc_hd__nor2_2
+XPHY_20982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62822_ _59478_/A VGND VGND VPWR VPWR _62822_/X sky130_fd_sc_hd__buf_1
+XFILLER_38_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74808_ _74795_/X _74790_/B _64712_/A VGND VGND VPWR VPWR _74809_/B sky130_fd_sc_hd__nand3_2
+XFILLER_709_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47756_ _47756_/A _47756_/B VGND VGND VPWR VPWR _47756_/X sky130_fd_sc_hd__or2_2
+X_66590_ _66754_/A _66590_/B _66590_/C VGND VGND VPWR VPWR _66591_/C sky130_fd_sc_hd__nor3_2
+XFILLER_130_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78576_ _78586_/CLK _78576_/D VGND VGND VPWR VPWR _63455_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_6_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44968_ _44968_/A _44967_/Y VGND VGND VPWR VPWR _44968_/X sky130_fd_sc_hd__or2_2
+X_75788_ _75785_/A _75792_/B _75788_/C VGND VGND VPWR VPWR _75788_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_26_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46707_ _46146_/B _46707_/B VGND VGND VPWR VPWR _46708_/B sky130_fd_sc_hd__or2_2
+XFILLER_564_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65541_ _66194_/A _65541_/B _65540_/Y VGND VGND VPWR VPWR _65545_/B sky130_fd_sc_hd__nor3_2
+XFILLER_442_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77527_ _77525_/CLK _77527_/D VGND VGND VPWR VPWR _60772_/A sky130_fd_sc_hd__dfxtp_4
+X_43919_ _43941_/A _43913_/Y _43919_/C VGND VGND VPWR VPWR _79281_/D sky130_fd_sc_hd__nor3_2
+XFILLER_545_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62753_ _78323_/Q _63064_/B VGND VGND VPWR VPWR _62754_/C sky130_fd_sc_hd__nor2_2
+X_74739_ _74739_/A _74720_/X _80864_/Q VGND VGND VPWR VPWR _74740_/B sky130_fd_sc_hd__nand3_2
+XFILLER_545_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_616_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47687_ _47686_/X _47674_/X VGND VGND VPWR VPWR _47688_/B sky130_fd_sc_hd__or2_2
+XFILLER_643_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_383_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44899_ _45464_/A VGND VGND VPWR VPWR _44903_/A sky130_fd_sc_hd__buf_1
+XFILLER_345_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_37_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_226_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_588_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61704_ _61704_/A _61704_/B _61703_/Y VGND VGND VPWR VPWR _61704_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_482_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49426_ _49424_/Y _49425_/X VGND VGND VPWR VPWR _49426_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_722_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68260_ _68260_/A _68259_/X VGND VGND VPWR VPWR _68263_/B sky130_fd_sc_hd__nor2_2
+XFILLER_481_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_506_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46638_ _46647_/A _46647_/B VGND VGND VPWR VPWR _46641_/B sky130_fd_sc_hd__nor2_2
+X_65472_ _64259_/A VGND VGND VPWR VPWR _65472_/X sky130_fd_sc_hd__buf_1
+X_77458_ _77444_/CLK _77458_/D VGND VGND VPWR VPWR _52766_/A sky130_fd_sc_hd__dfxtp_4
+X_62684_ _62023_/X _62683_/Y VGND VGND VPWR VPWR _62684_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_263_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_111_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_463_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_343_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_425_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_326_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67211_ _67203_/X _67211_/B _67210_/Y VGND VGND VPWR VPWR _67219_/B sky130_fd_sc_hd__nor3_2
+XFILLER_248_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_146_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64423_ _43974_/Y _64859_/B VGND VGND VPWR VPWR _64425_/B sky130_fd_sc_hd__nor2_2
+X_76409_ _76156_/A _76396_/B VGND VGND VPWR VPWR _76413_/A sky130_fd_sc_hd__or2_2
+XFILLER_279_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61635_ _61012_/A _61633_/Y _61634_/Y VGND VGND VPWR VPWR _61636_/C sky130_fd_sc_hd__nor3_2
+XFILLER_72_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49357_ _42033_/Y _49331_/X _42035_/Y _49332_/X VGND VGND VPWR VPWR _51022_/A sky130_fd_sc_hd__o22a_4
+XFILLER_240_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68191_ _80870_/Q _67711_/B VGND VGND VPWR VPWR _68192_/C sky130_fd_sc_hd__nor2_2
+XFILLER_597_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46569_ _46593_/A VGND VGND VPWR VPWR _46583_/A sky130_fd_sc_hd__buf_1
+XFILLER_209_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77389_ _77749_/CLK _53023_/Y VGND VGND VPWR VPWR _60566_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_185_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39110_ _39109_/X _39105_/B _39110_/C VGND VGND VPWR VPWR _39111_/B sky130_fd_sc_hd__nand3_2
+XFILLER_441_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_304_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_421_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48308_ _63625_/A _48297_/B VGND VGND VPWR VPWR _48312_/A sky130_fd_sc_hd__nand2_2
+XFILLER_584_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67142_ _66480_/A _67142_/B _67141_/Y VGND VGND VPWR VPWR _67143_/C sky130_fd_sc_hd__nor3_2
+XFILLER_343_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_283_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79128_ _76714_/CLK _45591_/Y VGND VGND VPWR VPWR _79128_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_61_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64354_ _64354_/A _64239_/B VGND VGND VPWR VPWR _64355_/C sky130_fd_sc_hd__nor2_2
+XFILLER_128_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_460_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61566_ _59990_/A VGND VGND VPWR VPWR _61569_/A sky130_fd_sc_hd__buf_1
+X_49288_ _49230_/A _49291_/B VGND VGND VPWR VPWR _49288_/X sky130_fd_sc_hd__or2_2
+XFILLER_124_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_107_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39041_ _39060_/A VGND VGND VPWR VPWR _39045_/B sky130_fd_sc_hd__buf_1
+XFILLER_656_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63305_ _63462_/A _63301_/Y _63304_/Y VGND VGND VPWR VPWR _63305_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_226_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60517_ _60199_/A _60508_/Y _60517_/C VGND VGND VPWR VPWR _60535_/A sky130_fd_sc_hd__nor3_2
+X_48239_ _48229_/X VGND VGND VPWR VPWR _48252_/B sky130_fd_sc_hd__buf_1
+X_67073_ _64449_/A VGND VGND VPWR VPWR _67745_/A sky130_fd_sc_hd__buf_1
+XFILLER_378_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79059_ _79057_/CLK _79059_/D VGND VGND VPWR VPWR _41643_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_222_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64285_ _66657_/A VGND VGND VPWR VPWR _69109_/B sky130_fd_sc_hd__buf_1
+XFILLER_493_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61497_ _59920_/A VGND VGND VPWR VPWR _61500_/A sky130_fd_sc_hd__buf_1
+XFILLER_517_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_193_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_140_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_634_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66024_ _80280_/Q _66362_/B VGND VGND VPWR VPWR _66026_/B sky130_fd_sc_hd__nor2_2
+XFILLER_72_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_124_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_141_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51250_ _41748_/Y _49363_/X _41749_/Y _49364_/X VGND VGND VPWR VPWR _51416_/A sky130_fd_sc_hd__o22a_4
+XFILLER_394_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63236_ _63236_/A _63236_/B VGND VGND VPWR VPWR _63236_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_198_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60448_ _60290_/A _60448_/B _60447_/Y VGND VGND VPWR VPWR _60458_/B sky130_fd_sc_hd__nor3_2
+XFILLER_382_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_698_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_673_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_140_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_656_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50201_ _78135_/Q _50201_/B VGND VGND VPWR VPWR _50201_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_376_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_634_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81021_ _81025_/CLK _81021_/D VGND VGND VPWR VPWR _74082_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_278_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51181_ _51178_/Y _51180_/X VGND VGND VPWR VPWR _77874_/D sky130_fd_sc_hd__nand2_2
+XFILLER_179_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63167_ _60824_/A VGND VGND VPWR VPWR _63168_/B sky130_fd_sc_hd__buf_1
+XFILLER_337_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_140_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_517_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60379_ _59900_/A _60376_/Y _60378_/Y VGND VGND VPWR VPWR _60383_/B sky130_fd_sc_hd__nor3_2
+XFILLER_88_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_147_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_415_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_157_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_363_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_81 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50132_ _62710_/A _50142_/B VGND VGND VPWR VPWR _50132_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_372_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_173_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62118_ _59402_/A VGND VGND VPWR VPWR _62118_/X sky130_fd_sc_hd__buf_1
+XFILLER_175_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39943_ _39804_/X _39947_/B VGND VGND VPWR VPWR _39946_/A sky130_fd_sc_hd__or2_2
+XFILLER_270_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_592_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67975_ _67968_/X _67971_/Y _67975_/C VGND VGND VPWR VPWR _67976_/C sky130_fd_sc_hd__nor3_2
+X_63098_ _62478_/X _63098_/B _63097_/Y VGND VGND VPWR VPWR _63098_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_714_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_700_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_111_0_u_core.clock clkbuf_8_55_0_u_core.clock/X VGND VGND VPWR VPWR _77755_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_288_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_449_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69714_ _69725_/B _69660_/A _42084_/A VGND VGND VPWR VPWR _69714_/Y sky130_fd_sc_hd__o21ai_4
+XPHY_8108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50063_ _50072_/A VGND VGND VPWR VPWR _50071_/B sky130_fd_sc_hd__buf_1
+X_54940_ _54940_/A _54939_/X VGND VGND VPWR VPWR _54940_/Y sky130_fd_sc_hd__nand2_2
+X_66926_ _80990_/Q _67254_/B VGND VGND VPWR VPWR _66928_/B sky130_fd_sc_hd__nor2_2
+XFILLER_315_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62049_ _59184_/A VGND VGND VPWR VPWR _62049_/X sky130_fd_sc_hd__buf_1
+XFILLER_638_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39874_ _39238_/A VGND VGND VPWR VPWR _39997_/A sky130_fd_sc_hd__buf_1
+XFILLER_114_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_115_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_530_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_268_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_639_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38825_ _38573_/A _38812_/B VGND VGND VPWR VPWR _38825_/X sky130_fd_sc_hd__or2_2
+XFILLER_448_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_311_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_663_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69645_ _69577_/A _69588_/A _69644_/Y VGND VGND VPWR VPWR _69646_/A sky130_fd_sc_hd__and3_2
+XFILLER_233_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_220_0_u_core.clock clkbuf_8_221_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_441_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_54871_ _54955_/A _54874_/B VGND VGND VPWR VPWR _54872_/B sky130_fd_sc_hd__or2_2
+XFILLER_9_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66857_ _66857_/A _66857_/B VGND VGND VPWR VPWR _66858_/C sky130_fd_sc_hd__nor2_2
+XFILLER_311_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_87_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_280_0_u_core.clock clkbuf_9_281_0_u_core.clock/A VGND VGND VPWR VPWR _77142_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_25_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_726_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_5_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56610_ _56599_/Y _56610_/B VGND VGND VPWR VPWR _56611_/B sky130_fd_sc_hd__nor2_2
+XFILLER_57_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53822_ _53850_/A _53819_/B VGND VGND VPWR VPWR _53822_/X sky130_fd_sc_hd__or2_2
+XFILLER_60_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_680_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65808_ _65808_/A VGND VGND VPWR VPWR _65808_/X sky130_fd_sc_hd__buf_1
+XPHY_6717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38756_ _38484_/A _38770_/B VGND VGND VPWR VPWR _38758_/A sky130_fd_sc_hd__or2_2
+XFILLER_257_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57590_ _57191_/A VGND VGND VPWR VPWR _57598_/A sky130_fd_sc_hd__buf_1
+X_69576_ _69589_/A VGND VGND VPWR VPWR _69577_/A sky130_fd_sc_hd__buf_1
+XPHY_6728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_607_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_61_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66788_ _66788_/A _66787_/X VGND VGND VPWR VPWR _66788_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_211_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_417_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56541_ _80945_/Q _56541_/B VGND VGND VPWR VPWR _56541_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_363_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_348_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68527_ _75211_/C _68187_/B VGND VGND VPWR VPWR _68528_/C sky130_fd_sc_hd__nor2_2
+X_80805_ _80751_/CLK _74982_/Y VGND VGND VPWR VPWR _68040_/A sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_9_227_0_u_core.clock clkbuf_9_227_0_u_core.clock/A VGND VGND VPWR VPWR _79711_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_217_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65739_ _65582_/A _65734_/Y _65739_/C VGND VGND VPWR VPWR _65739_/Y sky130_fd_sc_hd__nor3_2
+X_53753_ _53753_/A _53753_/B VGND VGND VPWR VPWR _53753_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_60_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_249_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38687_ _38687_/A _38686_/Y VGND VGND VPWR VPWR _80318_/D sky130_fd_sc_hd__nand2_2
+XFILLER_461_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50965_ _54787_/A _50965_/B VGND VGND VPWR VPWR _50991_/B sky130_fd_sc_hd__or2_2
+XFILLER_79_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_417_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_309_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_44_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52704_ _52704_/A _52714_/B VGND VGND VPWR VPWR _52706_/A sky130_fd_sc_hd__nand2_2
+XFILLER_3_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59260_ _59260_/A VGND VGND VPWR VPWR _59447_/A sky130_fd_sc_hd__buf_1
+XFILLER_77_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56472_ _56350_/A _56472_/B _56472_/C VGND VGND VPWR VPWR _56476_/B sky130_fd_sc_hd__nor3_2
+X_80736_ _80736_/CLK _75240_/Y VGND VGND VPWR VPWR _80736_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_578_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68458_ _67971_/A _68456_/Y _68457_/Y VGND VGND VPWR VPWR _68458_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_249_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53684_ _77219_/Q _53691_/B VGND VGND VPWR VPWR _53684_/Y sky130_fd_sc_hd__nand2_2
+XPHY_37819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50896_ _62150_/A _50881_/X VGND VGND VPWR VPWR _50898_/A sky130_fd_sc_hd__nand2_2
+XFILLER_71_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_576_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_524_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58211_ _57413_/A VGND VGND VPWR VPWR _58294_/A sky130_fd_sc_hd__buf_1
+XFILLER_600_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_309_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_231_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_410_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_396_0_u_core.clock clkbuf_9_397_0_u_core.clock/A VGND VGND VPWR VPWR _79439_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_227_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55423_ _45347_/A _55328_/X VGND VGND VPWR VPWR _55424_/B sky130_fd_sc_hd__nor2_2
+X_67409_ _67409_/A _67750_/B VGND VGND VPWR VPWR _67412_/B sky130_fd_sc_hd__nor2_2
+XFILLER_446_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52635_ _52784_/A VGND VGND VPWR VPWR _52750_/A sky130_fd_sc_hd__buf_1
+XFILLER_189_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59191_ _62226_/A VGND VGND VPWR VPWR _63877_/B sky130_fd_sc_hd__buf_1
+XFILLER_658_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80667_ _80637_/CLK _80667_/D VGND VGND VPWR VPWR _66383_/A sky130_fd_sc_hd__dfxtp_4
+X_68389_ _68724_/A _68389_/B _68388_/Y VGND VGND VPWR VPWR _68393_/B sky130_fd_sc_hd__nor3_2
+XFILLER_506_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_197_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_3035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_164_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39308_ _39171_/A _39316_/B VGND VGND VPWR VPWR _39311_/A sky130_fd_sc_hd__or2_2
+X_70420_ _70414_/Y _70419_/Y VGND VGND VPWR VPWR _70420_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_181_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58142_ _80293_/Q _58065_/B VGND VGND VPWR VPWR _58143_/C sky130_fd_sc_hd__nor2_2
+XFILLER_404_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55354_ _55393_/A _55354_/B VGND VGND VPWR VPWR _55355_/B sky130_fd_sc_hd__nor2_2
+XFILLER_494_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40580_ _40543_/A VGND VGND VPWR VPWR _40581_/B sky130_fd_sc_hd__buf_1
+XPHY_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52566_ _52566_/A _52559_/X VGND VGND VPWR VPWR _52566_/X sky130_fd_sc_hd__or2_2
+XFILLER_541_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_55_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80598_ _80597_/CLK _75800_/Y VGND VGND VPWR VPWR _75799_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_478_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_576_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_420_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54305_ _77054_/Q _54290_/B VGND VGND VPWR VPWR _54305_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_717_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39239_ _39239_/A _39103_/X VGND VGND VPWR VPWR _39239_/X sky130_fd_sc_hd__or2_2
+X_51517_ _51515_/Y _51516_/X VGND VGND VPWR VPWR _77791_/D sky130_fd_sc_hd__nand2_2
+XPHY_15404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58073_ _80964_/Q _58223_/B VGND VGND VPWR VPWR _58074_/C sky130_fd_sc_hd__nor2_2
+XPHY_16149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70351_ _70351_/A VGND VGND VPWR VPWR _70351_/X sky130_fd_sc_hd__buf_1
+XFILLER_575_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_708_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_11_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55285_ _43485_/A _55285_/B _55285_/C VGND VGND VPWR VPWR _55285_/Y sky130_fd_sc_hd__nor3_2
+XPHY_15415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52497_ _52553_/A _52518_/B VGND VGND VPWR VPWR _52498_/B sky130_fd_sc_hd__or2_2
+XFILLER_715_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_500_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_32_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57024_ _56617_/A VGND VGND VPWR VPWR _57027_/A sky130_fd_sc_hd__buf_1
+XFILLER_437_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_546_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_394_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54236_ _54683_/A VGND VGND VPWR VPWR _54236_/X sky130_fd_sc_hd__buf_1
+XPHY_15448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42250_ _42250_/A VGND VGND VPWR VPWR _42250_/Y sky130_fd_sc_hd__inv_8
+XFILLER_123_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73070_ _73070_/A _73070_/B VGND VGND VPWR VPWR _81273_/D sky130_fd_sc_hd__nand2_2
+XFILLER_590_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51448_ _51448_/A _51447_/X VGND VGND VPWR VPWR _77811_/D sky130_fd_sc_hd__nand2_2
+XFILLER_652_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70282_ _69895_/A _70281_/X VGND VGND VPWR VPWR _70283_/B sky130_fd_sc_hd__nor2_2
+XPHY_14714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_617_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41201_ _41061_/X _41187_/B VGND VGND VPWR VPWR _41203_/A sky130_fd_sc_hd__or2_2
+XFILLER_633_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72021_ _76791_/Q VGND VGND VPWR VPWR _72022_/A sky130_fd_sc_hd__inv_8
+XPHY_14747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_137_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81219_ _81211_/CLK _81219_/D VGND VGND VPWR VPWR _67756_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_691_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42181_ _42050_/C _55878_/B VGND VGND VPWR VPWR _42187_/A sky130_fd_sc_hd__nor2_2
+XPHY_14758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54167_ _77091_/Q _54174_/B VGND VGND VPWR VPWR _54169_/A sky130_fd_sc_hd__nand2_2
+XFILLER_238_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_373_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51379_ _51247_/B _51391_/B VGND VGND VPWR VPWR _51380_/B sky130_fd_sc_hd__or2_2
+XFILLER_374_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_153_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_299_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_570_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_192_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41132_ _41144_/A _41136_/B _56731_/A VGND VGND VPWR VPWR _41132_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_197_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53118_ _53134_/B VGND VGND VPWR VPWR _53131_/B sky130_fd_sc_hd__buf_1
+XFILLER_152_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54098_ _54098_/A _54083_/B VGND VGND VPWR VPWR _54098_/X sky130_fd_sc_hd__or2_2
+XFILLER_355_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58975_ _58974_/X VGND VGND VPWR VPWR _59810_/A sky130_fd_sc_hd__buf_1
+XFILLER_295_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_415_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_273_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_689_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_669_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_611_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_685_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57926_ _56259_/X VGND VGND VPWR VPWR _58250_/B sky130_fd_sc_hd__buf_1
+X_45940_ _41646_/B _45943_/B VGND VGND VPWR VPWR _45942_/B sky130_fd_sc_hd__nor2_2
+X_41063_ _41059_/A _41054_/B _67611_/A VGND VGND VPWR VPWR _41064_/B sky130_fd_sc_hd__nand3_2
+XPHY_31469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53049_ _60544_/A _53049_/B VGND VGND VPWR VPWR _53051_/A sky130_fd_sc_hd__nand2_2
+X_76760_ _77028_/CLK _55844_/Y VGND VGND VPWR VPWR _76760_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_136_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73972_ _73969_/X _73971_/Y VGND VGND VPWR VPWR _73972_/Y sky130_fd_sc_hd__nand2_2
+XPHY_30735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40014_ _40254_/A _40121_/B VGND VGND VPWR VPWR _40016_/A sky130_fd_sc_hd__or2_2
+XPHY_9376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75711_ _75707_/X _75710_/Y VGND VGND VPWR VPWR _80622_/D sky130_fd_sc_hd__nand2_2
+XFILLER_65_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72923_ _73944_/A _72937_/B VGND VGND VPWR VPWR _72926_/A sky130_fd_sc_hd__or2_2
+XFILLER_279_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45871_ _45759_/B _45871_/B _45885_/C VGND VGND VPWR VPWR _45872_/C sky130_fd_sc_hd__nor3_2
+XFILLER_62_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57857_ _79745_/Q _57617_/X VGND VGND VPWR VPWR _57859_/B sky130_fd_sc_hd__nor2_2
+XFILLER_587_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76691_ _76689_/CLK _76691_/D VGND VGND VPWR VPWR _70673_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_8653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_251_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_349_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_628_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_583_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_3104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47610_ _63780_/A _47607_/B VGND VGND VPWR VPWR _47610_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_294_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78430_ _76762_/CLK _49067_/Y VGND VGND VPWR VPWR _78430_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_130_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44822_ _79187_/Q _44821_/X VGND VGND VPWR VPWR _44825_/B sky130_fd_sc_hd__nor2_2
+XPHY_7941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56808_ _56807_/X VGND VGND VPWR VPWR _56809_/B sky130_fd_sc_hd__buf_1
+X_75642_ _75497_/A _75650_/B VGND VGND VPWR VPWR _75642_/X sky130_fd_sc_hd__or2_2
+XPHY_20289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72854_ _72873_/A _72843_/B _81322_/Q VGND VGND VPWR VPWR _72854_/Y sky130_fd_sc_hd__nand3_2
+XPHY_7952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48590_ _48465_/X _48590_/B VGND VGND VPWR VPWR _48590_/X sky130_fd_sc_hd__or2_2
+XFILLER_5_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57788_ _57714_/A _57788_/B _57787_/Y VGND VGND VPWR VPWR _57788_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_304_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_43_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71805_ _70629_/A _71146_/B VGND VGND VPWR VPWR _71810_/B sky130_fd_sc_hd__nor2_2
+X_47541_ _47538_/X VGND VGND VPWR VPWR _47545_/B sky130_fd_sc_hd__buf_1
+XFILLER_464_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59527_ _59527_/A _59527_/B VGND VGND VPWR VPWR _59527_/Y sky130_fd_sc_hd__nor2_2
+X_78361_ _78332_/CLK _49344_/Y VGND VGND VPWR VPWR _62432_/A sky130_fd_sc_hd__dfxtp_4
+X_44753_ _79197_/Q _44752_/X VGND VGND VPWR VPWR _44753_/Y sky130_fd_sc_hd__nor2_2
+XPHY_7996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56739_ _57145_/A VGND VGND VPWR VPWR _56739_/X sky130_fd_sc_hd__buf_1
+XFILLER_56_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75573_ _75560_/A _75554_/B _64704_/A VGND VGND VPWR VPWR _75574_/B sky130_fd_sc_hd__nand3_2
+XFILLER_483_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41965_ _45071_/A VGND VGND VPWR VPWR _44935_/B sky130_fd_sc_hd__buf_1
+XFILLER_86_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72785_ _72785_/A _72784_/Y VGND VGND VPWR VPWR _81339_/D sky130_fd_sc_hd__nand2_2
+XPHY_29221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_624_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_366_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77312_ _76882_/CLK _53335_/Y VGND VGND VPWR VPWR _59769_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_219_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43704_ _43922_/A VGND VGND VPWR VPWR _43717_/A sky130_fd_sc_hd__inv_8
+XFILLER_327_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74524_ _74523_/Y _74528_/B VGND VGND VPWR VPWR _74524_/X sky130_fd_sc_hd__or2_2
+XFILLER_679_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40916_ _40873_/A VGND VGND VPWR VPWR _40917_/A sky130_fd_sc_hd__buf_1
+XPHY_29254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47472_ _47440_/A _47481_/B VGND VGND VPWR VPWR _47472_/X sky130_fd_sc_hd__or2_2
+X_59458_ _76967_/Q _63884_/B VGND VGND VPWR VPWR _59460_/B sky130_fd_sc_hd__nor2_2
+XFILLER_47_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71736_ _71398_/A _71728_/Y _71735_/Y VGND VGND VPWR VPWR _71736_/X sky130_fd_sc_hd__or3_2
+X_78292_ _78284_/CLK _78292_/D VGND VGND VPWR VPWR _62892_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_90_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44684_ _44684_/A _68021_/A _44742_/B VGND VGND VPWR VPWR _44737_/B sky130_fd_sc_hd__nor3_2
+XFILLER_245_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_722_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_3023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41896_ _41868_/A _41895_/X _44993_/A _41873_/Y VGND VGND VPWR VPWR _79545_/D sky130_fd_sc_hd__o22a_4
+XFILLER_362_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_613_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49211_ _49211_/A _49208_/B VGND VGND VPWR VPWR _49211_/X sky130_fd_sc_hd__or2_2
+XFILLER_524_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46423_ _46461_/A _46422_/Y VGND VGND VPWR VPWR _46427_/A sky130_fd_sc_hd__or2_2
+XFILLER_216_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58409_ _56807_/X VGND VGND VPWR VPWR _58704_/B sky130_fd_sc_hd__buf_1
+XFILLER_21_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77243_ _77241_/CLK _77243_/D VGND VGND VPWR VPWR _77243_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_16_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_245_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43635_ _43544_/A VGND VGND VPWR VPWR _43650_/B sky130_fd_sc_hd__buf_1
+XFILLER_598_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74455_ _74455_/A _74454_/X VGND VGND VPWR VPWR _74455_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_284_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40847_ _40847_/A _40847_/B VGND VGND VPWR VPWR _40847_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71667_ _71667_/A VGND VGND VPWR VPWR _75515_/A sky130_fd_sc_hd__inv_8
+XFILLER_250_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_559_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59389_ _77182_/Q _59549_/B VGND VGND VPWR VPWR _59390_/C sky130_fd_sc_hd__nor2_2
+XPHY_18041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_602_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_34_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73406_ _73385_/A VGND VGND VPWR VPWR _73425_/A sky130_fd_sc_hd__buf_1
+X_61420_ _61263_/A _61418_/Y _61420_/C VGND VGND VPWR VPWR _61420_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_72_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49142_ _49139_/X VGND VGND VPWR VPWR _49164_/B sky130_fd_sc_hd__buf_1
+XPHY_28597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46354_ _46354_/A _46327_/Y _46354_/C _46354_/D VGND VGND VPWR VPWR _46355_/C sky130_fd_sc_hd__or4_2
+X_70618_ _70618_/A _70616_/X _70618_/C VGND VGND VPWR VPWR _70618_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_280_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77174_ _77176_/CLK _53851_/Y VGND VGND VPWR VPWR _77174_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_27863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43566_ _71190_/A _43577_/B VGND VGND VPWR VPWR _43569_/B sky130_fd_sc_hd__nor2_2
+XFILLER_143_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74386_ _74386_/A _74385_/Y VGND VGND VPWR VPWR _80946_/D sky130_fd_sc_hd__nand2_2
+XFILLER_320_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40778_ _40764_/A _40764_/B _58520_/A VGND VGND VPWR VPWR _40779_/B sky130_fd_sc_hd__nand3_2
+XFILLER_505_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71598_ _70544_/Y _71598_/B VGND VGND VPWR VPWR _71598_/X sky130_fd_sc_hd__or2_2
+XFILLER_128_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45305_ _42839_/X _45102_/B _79407_/Q _45304_/X VGND VGND VPWR VPWR _55621_/B sky130_fd_sc_hd__o22a_4
+XPHY_27896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76125_ _76125_/A _76124_/Y VGND VGND VPWR VPWR _80516_/D sky130_fd_sc_hd__nand2_2
+XFILLER_321_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42517_ _42558_/A VGND VGND VPWR VPWR _42547_/A sky130_fd_sc_hd__buf_1
+XFILLER_376_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61351_ _60884_/A _61349_/Y _61351_/C VGND VGND VPWR VPWR _61351_/Y sky130_fd_sc_hd__nor3_2
+X_49073_ _49073_/A _54815_/A VGND VGND VPWR VPWR _49074_/A sky130_fd_sc_hd__or2_2
+X_73337_ _73337_/A _73336_/X VGND VGND VPWR VPWR _73337_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_581_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46285_ _46697_/B VGND VGND VPWR VPWR _46286_/B sky130_fd_sc_hd__buf_1
+XFILLER_518_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70549_ _71686_/A VGND VGND VPWR VPWR _70933_/A sky130_fd_sc_hd__inv_8
+XFILLER_501_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_376_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43497_ _45195_/B _43497_/B VGND VGND VPWR VPWR _43497_/X sky130_fd_sc_hd__and2_2
+XFILLER_160_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_438_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_572_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48024_ _48040_/B VGND VGND VPWR VPWR _48037_/B sky130_fd_sc_hd__buf_1
+XFILLER_525_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60302_ _55021_/A _60143_/B VGND VGND VPWR VPWR _60303_/C sky130_fd_sc_hd__nor2_2
+XFILLER_54_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_195_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_692_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45236_ _45236_/A VGND VGND VPWR VPWR _45325_/A sky130_fd_sc_hd__buf_1
+X_76056_ _76053_/X _76055_/Y VGND VGND VPWR VPWR _76056_/Y sky130_fd_sc_hd__nand2_2
+X_64070_ _64495_/A VGND VGND VPWR VPWR _64070_/X sky130_fd_sc_hd__buf_1
+XFILLER_293_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_592_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42448_ _42445_/A VGND VGND VPWR VPWR _42449_/A sky130_fd_sc_hd__buf_1
+XFILLER_670_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73268_ _73268_/A _73268_/B _69474_/A VGND VGND VPWR VPWR _73268_/Y sky130_fd_sc_hd__nand3_2
+X_61282_ _60800_/A _61241_/Y _61281_/Y VGND VGND VPWR VPWR _61357_/A sky130_fd_sc_hd__nor3_2
+XPHY_34051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_334_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63021_ _59234_/X VGND VGND VPWR VPWR _63022_/B sky130_fd_sc_hd__buf_1
+X_75007_ _75007_/A _74995_/X VGND VGND VPWR VPWR _75007_/X sky130_fd_sc_hd__or2_2
+XFILLER_176_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_200_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60233_ _60069_/A _60233_/B _60232_/Y VGND VGND VPWR VPWR _60239_/B sky130_fd_sc_hd__nor3_2
+XFILLER_15_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72219_ _72235_/A _72241_/B _67961_/A VGND VGND VPWR VPWR _72220_/B sky130_fd_sc_hd__nand3_2
+XPHY_15982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45167_ _45108_/A _45166_/Y VGND VGND VPWR VPWR _45168_/B sky130_fd_sc_hd__or2_2
+XFILLER_655_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42379_ _42334_/A _42379_/B VGND VGND VPWR VPWR _42380_/C sky130_fd_sc_hd__nor2_2
+XFILLER_154_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73199_ _73199_/A VGND VGND VPWR VPWR _75014_/A sky130_fd_sc_hd__buf_1
+XPHY_33361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_171_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_184_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44118_ _68344_/A _44053_/X VGND VGND VPWR VPWR _44119_/C sky130_fd_sc_hd__and2_2
+XFILLER_274_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79815_ _79809_/CLK _79815_/D VGND VGND VPWR VPWR _68478_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_139_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60164_ _54139_/A _60322_/B VGND VGND VPWR VPWR _60164_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_338_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49975_ _50003_/A _49975_/B VGND VGND VPWR VPWR _49976_/B sky130_fd_sc_hd__or2_2
+XFILLER_354_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45098_ _44903_/A _45098_/B _45097_/Y VGND VGND VPWR VPWR _45098_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_722_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_531_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_3027 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_217_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_436_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44049_ _67358_/A _44049_/B VGND VGND VPWR VPWR _44049_/X sky130_fd_sc_hd__or2_4
+X_48926_ _78467_/Q _48921_/B VGND VGND VPWR VPWR _48926_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_143_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67760_ _67263_/X _67758_/Y _67760_/C VGND VGND VPWR VPWR _67760_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_40_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79746_ _79750_/CLK _79746_/D VGND VGND VPWR VPWR _40930_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_154_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_484_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64972_ _38969_/C _65501_/B VGND VGND VPWR VPWR _64974_/B sky130_fd_sc_hd__nor2_2
+X_60095_ _77314_/Q _60095_/B VGND VGND VPWR VPWR _60096_/C sky130_fd_sc_hd__nor2_2
+X_76958_ _76963_/CLK _54662_/Y VGND VGND VPWR VPWR _59281_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_22181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_414_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_98_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_351_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_675_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66711_ _66225_/A _66711_/B _66711_/C VGND VGND VPWR VPWR _66715_/B sky130_fd_sc_hd__nor3_2
+XFILLER_547_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_488_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_709_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63923_ _63923_/A _59347_/B VGND VGND VPWR VPWR _63923_/Y sky130_fd_sc_hd__nor2_2
+X_75909_ _75904_/X _75908_/Y VGND VGND VPWR VPWR _75909_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_410_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_474_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_446_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48857_ _48915_/A _48832_/A VGND VGND VPWR VPWR _48857_/X sky130_fd_sc_hd__or2_2
+XFILLER_684_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67691_ _64108_/X VGND VGND VPWR VPWR _68024_/B sky130_fd_sc_hd__buf_1
+XFILLER_69_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79677_ _79641_/CLK _79677_/D VGND VGND VPWR VPWR _57532_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_234_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76889_ _77318_/CLK _54922_/Y VGND VGND VPWR VPWR _76889_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_111_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_117_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38610_ _38605_/A _38466_/X _64499_/A VGND VGND VPWR VPWR _38611_/B sky130_fd_sc_hd__nand3_2
+XFILLER_80_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69430_ _75187_/C _68858_/B VGND VGND VPWR VPWR _69431_/C sky130_fd_sc_hd__nor2_2
+XFILLER_488_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47808_ _63353_/A _47792_/X VGND VGND VPWR VPWR _47810_/A sky130_fd_sc_hd__nand2_2
+XFILLER_113_1500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66642_ _80252_/Q _67148_/B VGND VGND VPWR VPWR _66644_/B sky130_fd_sc_hd__nor2_2
+XFILLER_300_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78628_ _78667_/CLK _78628_/D VGND VGND VPWR VPWR _78628_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_85_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39590_ _39629_/A VGND VGND VPWR VPWR _39592_/A sky130_fd_sc_hd__buf_1
+X_63854_ _63854_/A _63854_/B VGND VGND VPWR VPWR _63854_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_230_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_649_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_529_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_525_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48788_ _48320_/A VGND VGND VPWR VPWR _48880_/A sky130_fd_sc_hd__buf_1
+XFILLER_214_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_61_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_586_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38541_ _38523_/A _38541_/B _67062_/A VGND VGND VPWR VPWR _38542_/B sky130_fd_sc_hd__nand3_2
+XFILLER_300_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_113_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62805_ _62805_/A _62962_/B VGND VGND VPWR VPWR _62805_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_469_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69361_ _58772_/A _64557_/B VGND VGND VPWR VPWR _69363_/B sky130_fd_sc_hd__nor2_2
+XFILLER_709_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47739_ _47739_/A _47731_/X VGND VGND VPWR VPWR _47742_/A sky130_fd_sc_hd__nand2_2
+XFILLER_285_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66573_ _66250_/A _66573_/B VGND VGND VPWR VPWR _66611_/B sky130_fd_sc_hd__nor2_2
+XFILLER_627_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_605_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78559_ _78559_/CLK _78559_/D VGND VGND VPWR VPWR _78559_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_228_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63785_ _63785_/A _63519_/X VGND VGND VPWR VPWR _63785_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_601_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60997_ _77656_/Q _60837_/B VGND VGND VPWR VPWR _60998_/C sky130_fd_sc_hd__nor2_2
+XFILLER_455_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_705_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_66_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_599_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68312_ _66357_/A VGND VGND VPWR VPWR _68312_/X sky130_fd_sc_hd__buf_1
+XFILLER_615_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65524_ _65346_/X _65522_/Y _65524_/C VGND VGND VPWR VPWR _65525_/C sky130_fd_sc_hd__nor3_2
+XFILLER_81_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_165_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38472_ _38472_/A VGND VGND VPWR VPWR _38473_/A sky130_fd_sc_hd__buf_1
+XFILLER_384_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50750_ _62027_/A _50756_/B VGND VGND VPWR VPWR _50752_/A sky130_fd_sc_hd__nand2_2
+XFILLER_545_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62736_ _62409_/X _62736_/B _62736_/C VGND VGND VPWR VPWR _62757_/A sky130_fd_sc_hd__nor3_2
+X_69292_ _68860_/A _69292_/B _69292_/C VGND VGND VPWR VPWR _69300_/B sky130_fd_sc_hd__nor3_2
+XFILLER_187_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_470_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_421_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_507_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_7_94_0_u_core.clock clkbuf_7_95_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_94_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_408_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_697_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_187_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_230_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49409_ _49399_/X VGND VGND VPWR VPWR _49419_/B sky130_fd_sc_hd__buf_1
+XFILLER_722_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80521_ _80465_/CLK _80521_/D VGND VGND VPWR VPWR _68705_/A sky130_fd_sc_hd__dfxtp_4
+X_68243_ _81286_/Q _67922_/X VGND VGND VPWR VPWR _68243_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_541_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_675_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65455_ _66118_/A _65453_/Y _65454_/Y VGND VGND VPWR VPWR _65455_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_282_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_165_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_81_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_146_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50681_ _50652_/A _50677_/X VGND VGND VPWR VPWR _50681_/X sky130_fd_sc_hd__or2_2
+XFILLER_327_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62667_ _62044_/A _62667_/B _62666_/Y VGND VGND VPWR VPWR _62671_/B sky130_fd_sc_hd__nor3_2
+XFILLER_41_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_206_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52420_ _52334_/A _52420_/B VGND VGND VPWR VPWR _52421_/B sky130_fd_sc_hd__or2_2
+X_64406_ _38738_/C _64682_/B VGND VGND VPWR VPWR _64407_/C sky130_fd_sc_hd__nor2_2
+XFILLER_345_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_499_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_280_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61618_ _77660_/Q _62089_/B VGND VGND VPWR VPWR _61618_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_443_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80452_ _80420_/CLK _80452_/D VGND VGND VPWR VPWR _80452_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_599_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68174_ _68336_/A _68140_/Y _68174_/C VGND VGND VPWR VPWR _68174_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_556_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65386_ _80661_/Q _65560_/B VGND VGND VPWR VPWR _65387_/C sky130_fd_sc_hd__nor2_2
+XFILLER_52_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_425_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62598_ _62281_/A _62596_/Y _62598_/C VGND VGND VPWR VPWR _62602_/B sky130_fd_sc_hd__nor3_2
+XFILLER_529_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_143_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67125_ _67607_/A _67122_/Y _67124_/Y VGND VGND VPWR VPWR _67125_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_339_3028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52351_ _52316_/X _52363_/B VGND VGND VPWR VPWR _52351_/X sky130_fd_sc_hd__or2_2
+XFILLER_557_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64337_ _64134_/A VGND VGND VPWR VPWR _65367_/A sky130_fd_sc_hd__buf_1
+XFILLER_300_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_167_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_675_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_397_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61549_ _52608_/A _60936_/B VGND VGND VPWR VPWR _61550_/C sky130_fd_sc_hd__nor2_2
+XFILLER_298_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_717_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80383_ _80421_/CLK _80383_/D VGND VGND VPWR VPWR _67064_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_222_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_296_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51302_ _51358_/A _51305_/B VGND VGND VPWR VPWR _51302_/X sky130_fd_sc_hd__or2_2
+XFILLER_528_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39024_ _39024_/A _39038_/B _39024_/C VGND VGND VPWR VPWR _39024_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_138_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_206_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_536_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55070_ _55076_/A VGND VGND VPWR VPWR _55073_/A sky130_fd_sc_hd__inv_8
+XFILLER_159_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67056_ _67056_/A _67054_/Y _67056_/C VGND VGND VPWR VPWR _67060_/B sky130_fd_sc_hd__nor3_2
+XFILLER_656_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52282_ _77588_/Q _52281_/X VGND VGND VPWR VPWR _52282_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_276_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64268_ _64267_/X VGND VGND VPWR VPWR _69529_/A sky130_fd_sc_hd__buf_1
+XFILLER_652_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_194_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_378_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_618_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_193_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_419_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54021_ _53934_/A _54014_/X VGND VGND VPWR VPWR _54022_/B sky130_fd_sc_hd__or2_2
+XFILLER_593_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66007_ _64362_/X VGND VGND VPWR VPWR _66007_/X sky130_fd_sc_hd__buf_1
+XFILLER_119_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_382_368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51233_ _51280_/B _51372_/A VGND VGND VPWR VPWR _51236_/A sky130_fd_sc_hd__or2_2
+XFILLER_309_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63219_ _78830_/Q _63530_/B VGND VGND VPWR VPWR _63219_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_543_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_571_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_713_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_698_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64199_ _69303_/A _64199_/B _64199_/C VGND VGND VPWR VPWR _64203_/B sky130_fd_sc_hd__nor3_2
+XFILLER_274_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_174_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_317_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81004_ _81004_/CLK _74170_/Y VGND VGND VPWR VPWR _81004_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_536_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_161_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51164_ _51164_/A _51155_/B VGND VGND VPWR VPWR _51164_/X sky130_fd_sc_hd__or2_2
+Xclkbuf_8_126_0_u_core.clock clkbuf_7_63_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_253_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_12619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_186_0_u_core.clock clkbuf_8_93_0_u_core.clock/X VGND VGND VPWR VPWR _76677_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_514_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50115_ _49817_/A VGND VGND VPWR VPWR _50116_/A sky130_fd_sc_hd__buf_1
+XFILLER_289_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_682_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39926_ _39944_/A VGND VGND VPWR VPWR _39941_/A sky130_fd_sc_hd__buf_1
+XFILLER_135_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58760_ _58827_/A _58760_/B _58760_/C VGND VGND VPWR VPWR _58761_/C sky130_fd_sc_hd__nor3_2
+XFILLER_612_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_255_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_270_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55972_ _55971_/X VGND VGND VPWR VPWR _55985_/A sky130_fd_sc_hd__buf_1
+X_51095_ _51111_/B VGND VGND VPWR VPWR _51108_/B sky130_fd_sc_hd__buf_1
+XFILLER_512_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_74_0_u_core.clock clkbuf_8_74_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_74_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_67958_ _68611_/A _67955_/Y _67958_/C VGND VGND VPWR VPWR _67959_/C sky130_fd_sc_hd__nor3_2
+XPHY_11929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_68_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_0_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_350_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_332_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_330_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57711_ _58509_/A VGND VGND VPWR VPWR _57714_/A sky130_fd_sc_hd__buf_1
+XFILLER_103_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_588_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50046_ _78177_/Q _50049_/B VGND VGND VPWR VPWR _50048_/A sky130_fd_sc_hd__nand2_2
+X_54923_ _59766_/A _54920_/B VGND VGND VPWR VPWR _54925_/A sky130_fd_sc_hd__nand2_2
+X_66909_ _66909_/A _67391_/B VGND VGND VPWR VPWR _66909_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_489_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39857_ _39843_/A _39857_/B _39857_/C VGND VGND VPWR VPWR _39858_/B sky130_fd_sc_hd__nand3_2
+XPHY_7204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58691_ _79916_/Q _56309_/B VGND VGND VPWR VPWR _58691_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_191_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67889_ _67728_/A _67886_/Y _67888_/Y VGND VGND VPWR VPWR _67889_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_413_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_66_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_311_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_465_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_249_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38808_ _38790_/A VGND VGND VPWR VPWR _38809_/A sky130_fd_sc_hd__buf_1
+XFILLER_467_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_467_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57642_ _57718_/A _57639_/Y _57642_/C VGND VGND VPWR VPWR _57642_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69628_ _69627_/X VGND VGND VPWR VPWR _69629_/A sky130_fd_sc_hd__buf_1
+XFILLER_664_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_40_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_76_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54854_ _54861_/A VGND VGND VPWR VPWR _54873_/B sky130_fd_sc_hd__buf_1
+XFILLER_552_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_467_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39788_ _39769_/X _39806_/B _67981_/A VGND VGND VPWR VPWR _39789_/B sky130_fd_sc_hd__nand3_2
+XFILLER_102_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_124_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_276_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_445_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_465_3083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_285_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53805_ _60196_/A _53808_/B VGND VGND VPWR VPWR _53807_/A sky130_fd_sc_hd__nand2_2
+XFILLER_57_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38739_ _38739_/A _38739_/B VGND VGND VPWR VPWR _80303_/D sky130_fd_sc_hd__nand2_2
+XFILLER_483_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57573_ _80222_/Q _57410_/B VGND VGND VPWR VPWR _57575_/B sky130_fd_sc_hd__nor2_2
+XFILLER_446_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_385_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69559_ _76677_/Q _55884_/C _55930_/C _76680_/Q VGND VGND VPWR VPWR _69560_/B sky130_fd_sc_hd__or4_2
+XFILLER_681_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54785_ _54785_/A _54785_/B VGND VGND VPWR VPWR _54785_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_268_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_68_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51997_ _51997_/A _51997_/B VGND VGND VPWR VPWR _77662_/D sky130_fd_sc_hd__nand2_2
+XPHY_5824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_3024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_504_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59312_ _76926_/Q _59312_/B VGND VGND VPWR VPWR _59312_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_96_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56524_ _56684_/A _56524_/B _56523_/Y VGND VGND VPWR VPWR _56525_/C sky130_fd_sc_hd__nor3_2
+XFILLER_72_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41750_ _41748_/Y _41731_/X _41749_/Y _41733_/X VGND VGND VPWR VPWR _41750_/X sky130_fd_sc_hd__o22a_4
+XFILLER_723_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53736_ _53745_/A VGND VGND VPWR VPWR _53751_/B sky130_fd_sc_hd__buf_1
+XFILLER_426_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72570_ _72313_/X _72570_/B VGND VGND VPWR VPWR _72572_/A sky130_fd_sc_hd__or2_2
+XPHY_5857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_461_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50948_ _50945_/Y _50947_/X VGND VGND VPWR VPWR _50948_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_242_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_83_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_140_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40701_ _40698_/X _40700_/Y VGND VGND VPWR VPWR _79807_/D sky130_fd_sc_hd__nand2_2
+XFILLER_543_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71521_ _71464_/A _71452_/B VGND VGND VPWR VPWR _71521_/X sky130_fd_sc_hd__and2_2
+XFILLER_207_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59243_ _59243_/A VGND VGND VPWR VPWR _60687_/A sky130_fd_sc_hd__buf_1
+XFILLER_77_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_704_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80719_ _80685_/CLK _80719_/D VGND VGND VPWR VPWR _75300_/C sky130_fd_sc_hd__dfxtp_4
+X_56455_ _80272_/Q _56772_/B VGND VGND VPWR VPWR _56456_/C sky130_fd_sc_hd__nor2_2
+XPHY_27115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_277 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_309_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_271_272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_207_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41681_ _41680_/X VGND VGND VPWR VPWR _41682_/A sky130_fd_sc_hd__inv_8
+XFILLER_147_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53667_ _53667_/A _53673_/B VGND VGND VPWR VPWR _53667_/X sky130_fd_sc_hd__or2_2
+XFILLER_148_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50879_ _50879_/A _50879_/B VGND VGND VPWR VPWR _50879_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_610_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_341_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43420_ _43413_/A _43406_/B _43420_/C VGND VGND VPWR VPWR _43421_/B sky130_fd_sc_hd__nand3_2
+XFILLER_420_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55406_ _55436_/A _55405_/Y VGND VGND VPWR VPWR _55406_/X sky130_fd_sc_hd__or2_2
+XFILLER_576_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74240_ _74240_/A _74240_/B VGND VGND VPWR VPWR _74240_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_260_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_324_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_305_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40632_ _40509_/X _40522_/X VGND VGND VPWR VPWR _40632_/X sky130_fd_sc_hd__or2_2
+XPHY_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_621_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52618_ _52767_/A VGND VGND VPWR VPWR _52738_/A sky130_fd_sc_hd__buf_1
+XFILLER_231_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59174_ _59174_/A _59407_/B VGND VGND VPWR VPWR _59174_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_358_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71452_ _70445_/B _71452_/B VGND VGND VPWR VPWR _71452_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_213_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_678_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_420_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56386_ _57466_/A VGND VGND VPWR VPWR _58788_/A sky130_fd_sc_hd__buf_1
+XFILLER_305_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_125_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_224_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53598_ _53595_/Y _53597_/X VGND VGND VPWR VPWR _77242_/D sky130_fd_sc_hd__nand2_2
+XFILLER_16_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_341_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_340_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58125_ _58048_/A _58124_/Y VGND VGND VPWR VPWR _58125_/Y sky130_fd_sc_hd__nor2_2
+X_70403_ _70403_/A VGND VGND VPWR VPWR _70404_/A sky130_fd_sc_hd__buf_1
+XFILLER_212_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43351_ _43351_/A _43350_/Y VGND VGND VPWR VPWR _79372_/D sky130_fd_sc_hd__nand2_2
+X_55337_ _55337_/A _55336_/X VGND VGND VPWR VPWR _55337_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_647_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74171_ _74180_/A VGND VGND VPWR VPWR _74172_/A sky130_fd_sc_hd__buf_1
+XFILLER_385_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_1437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_9_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_54_0_u_core.clock clkbuf_9_55_0_u_core.clock/A VGND VGND VPWR VPWR _77558_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_361_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52549_ _54460_/A _52428_/X VGND VGND VPWR VPWR _52575_/B sky130_fd_sc_hd__or2_2
+X_40563_ _40563_/A _40563_/B _79844_/Q VGND VGND VPWR VPWR _40563_/Y sky130_fd_sc_hd__nand3_2
+XPHY_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71383_ _71383_/A _71383_/B VGND VGND VPWR VPWR _71383_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_223_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_574_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_184_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_379_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_693_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42302_ _79001_/Q VGND VGND VPWR VPWR _42307_/A sky130_fd_sc_hd__buf_1
+XFILLER_33_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73122_ _73216_/A VGND VGND VPWR VPWR _73123_/A sky130_fd_sc_hd__buf_1
+XFILLER_724_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_220_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_691_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46070_ _72046_/A _46055_/Y VGND VGND VPWR VPWR _46070_/Y sky130_fd_sc_hd__nor2_2
+X_58056_ _58056_/A _58056_/B VGND VGND VPWR VPWR _58057_/C sky130_fd_sc_hd__nor2_2
+XFILLER_142_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70334_ _70333_/X VGND VGND VPWR VPWR _70334_/Y sky130_fd_sc_hd__inv_8
+XFILLER_519_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43282_ _43281_/X _43268_/B _43282_/C VGND VGND VPWR VPWR _43282_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_671_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55268_ _45031_/Y _55598_/B VGND VGND VPWR VPWR _55268_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40494_ _40538_/A VGND VGND VPWR VPWR _40503_/A sky130_fd_sc_hd__buf_1
+XFILLER_107_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45021_ _45236_/A VGND VGND VPWR VPWR _45086_/A sky130_fd_sc_hd__buf_1
+XFILLER_474_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57007_ _65849_/A _57170_/B VGND VGND VPWR VPWR _57008_/C sky130_fd_sc_hd__nor2_2
+XFILLER_652_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42233_ _42232_/Y _42249_/B VGND VGND VPWR VPWR _42236_/A sky130_fd_sc_hd__nor2_2
+XFILLER_142_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_705_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54219_ _48452_/X _54190_/X VGND VGND VPWR VPWR _54232_/A sky130_fd_sc_hd__or2_2
+XFILLER_279_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73053_ _73056_/A _73061_/B _66762_/A VGND VGND VPWR VPWR _73053_/Y sky130_fd_sc_hd__nand3_2
+X_77930_ _77937_/CLK _77930_/D VGND VGND VPWR VPWR _62464_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_396_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_275_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70265_ _70264_/X VGND VGND VPWR VPWR _70265_/X sky130_fd_sc_hd__buf_1
+XFILLER_590_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55199_ _55161_/A _55191_/Y _55198_/Y VGND VGND VPWR VPWR _55200_/B sky130_fd_sc_hd__nor3_2
+XPHY_13810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72004_ _71997_/Y _72001_/Y _72003_/Y VGND VGND VPWR VPWR _72004_/Y sky130_fd_sc_hd__nor3_2
+XPHY_13832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_617_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_194_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_613_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42164_ _42162_/Y _42164_/B _42177_/C VGND VGND VPWR VPWR _42165_/B sky130_fd_sc_hd__nor3_2
+XFILLER_181_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77861_ _78498_/CLK _77861_/D VGND VGND VPWR VPWR _77861_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_31211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_370_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70196_ _70168_/A VGND VGND VPWR VPWR _70196_/X sky130_fd_sc_hd__buf_1
+XPHY_14599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_704_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_503_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_292_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79600_ _79599_/CLK _41508_/Y VGND VGND VPWR VPWR _56479_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_165_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41115_ _41113_/X _41114_/Y VGND VGND VPWR VPWR _79703_/D sky130_fd_sc_hd__nand2_2
+XFILLER_152_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_415_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_351_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76812_ _76844_/CLK _76812_/D VGND VGND VPWR VPWR _71354_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49760_ _49760_/A _49760_/B VGND VGND VPWR VPWR _49762_/A sky130_fd_sc_hd__nand2_2
+XFILLER_257_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46972_ _46972_/A VGND VGND VPWR VPWR _46982_/B sky130_fd_sc_hd__buf_1
+XFILLER_234_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42095_ _69659_/A _42095_/B VGND VGND VPWR VPWR _42095_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_531_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58958_ _47456_/C VGND VGND VPWR VPWR _59112_/A sky130_fd_sc_hd__buf_1
+X_77792_ _79248_/CLK _51514_/Y VGND VGND VPWR VPWR _77792_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_171_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48711_ _48711_/A _48723_/B VGND VGND VPWR VPWR _48711_/X sky130_fd_sc_hd__or2_2
+XPHY_9162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79531_ _79119_/CLK _42078_/Y VGND VGND VPWR VPWR _79531_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_691_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41046_ _41044_/X _41046_/B VGND VGND VPWR VPWR _41046_/Y sky130_fd_sc_hd__nand2_2
+X_45923_ _42569_/B _45908_/X VGND VGND VPWR VPWR _45924_/C sky130_fd_sc_hd__nor2_2
+XPHY_30554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57909_ _57111_/A VGND VGND VPWR VPWR _58082_/A sky130_fd_sc_hd__buf_1
+XFILLER_431_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76743_ _78586_/CLK _76743_/D VGND VGND VPWR VPWR _44943_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73955_ _73955_/A _73951_/B VGND VGND VPWR VPWR _73955_/X sky130_fd_sc_hd__or2_2
+X_49691_ _49691_/A _49690_/X VGND VGND VPWR VPWR _49691_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_23_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58889_ _58901_/A VGND VGND VPWR VPWR _59093_/A sky130_fd_sc_hd__buf_1
+XFILLER_700_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_429_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72906_ _72952_/A VGND VGND VPWR VPWR _72907_/B sky130_fd_sc_hd__buf_1
+X_60920_ _60920_/A _60756_/B VGND VGND VPWR VPWR _60922_/B sky130_fd_sc_hd__nor2_2
+XPHY_8472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48642_ _48330_/A VGND VGND VPWR VPWR _48730_/A sky130_fd_sc_hd__buf_1
+XPHY_20075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79462_ _79470_/CLK _79462_/D VGND VGND VPWR VPWR _55207_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_66_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45854_ _45848_/A _45850_/Y _45854_/C VGND VGND VPWR VPWR _79088_/D sky130_fd_sc_hd__nor3_2
+XFILLER_286_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_462_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76674_ _76671_/CLK _76674_/D VGND VGND VPWR VPWR _70037_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73886_ _73886_/A _73874_/B _69043_/A VGND VGND VPWR VPWR _73886_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_329_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_587_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_466_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_411_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_236_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_443_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78413_ _78428_/CLK _49137_/Y VGND VGND VPWR VPWR _78413_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_7771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44805_ _44803_/X _44805_/B VGND VGND VPWR VPWR _44805_/X sky130_fd_sc_hd__and2_2
+XFILLER_660_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75625_ _75643_/A VGND VGND VPWR VPWR _75640_/A sky130_fd_sc_hd__buf_1
+XFILLER_466_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_349_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_294_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_60851_ _60540_/A _60851_/B _60851_/C VGND VGND VPWR VPWR _60855_/B sky130_fd_sc_hd__nor3_2
+XPHY_7782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48573_ _48573_/A _48552_/B VGND VGND VPWR VPWR _48573_/Y sky130_fd_sc_hd__nand2_2
+X_72837_ _74672_/A VGND VGND VPWR VPWR _73635_/A sky130_fd_sc_hd__buf_1
+XFILLER_444_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79393_ _79395_/CLK _43275_/Y VGND VGND VPWR VPWR _58326_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_282_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45785_ _45785_/A _45784_/X VGND VGND VPWR VPWR _45785_/Y sky130_fd_sc_hd__nor2_2
+XPHY_39530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_43_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42997_ _72118_/B _42994_/B VGND VGND VPWR VPWR _42997_/X sky130_fd_sc_hd__or2_2
+XFILLER_75_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_526_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47524_ _47621_/A _47508_/X VGND VGND VPWR VPWR _47524_/X sky130_fd_sc_hd__or2_2
+XFILLER_364_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78344_ _79164_/CLK _49417_/Y VGND VGND VPWR VPWR _62271_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63570_ _63832_/A _63570_/B _63569_/Y VGND VGND VPWR VPWR _63571_/C sky130_fd_sc_hd__nor3_2
+X_44736_ _44737_/A _44707_/B VGND VGND VPWR VPWR _44741_/B sky130_fd_sc_hd__nor2_2
+XFILLER_229_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_75556_ _75556_/A VGND VGND VPWR VPWR _76196_/A sky130_fd_sc_hd__buf_1
+XFILLER_62_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41948_ wbs_adr_i[2] _41924_/X VGND VGND VPWR VPWR _41948_/X sky130_fd_sc_hd__or2_2
+XFILLER_236_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72768_ _72245_/A _72772_/B VGND VGND VPWR VPWR _72768_/X sky130_fd_sc_hd__or2_2
+X_60782_ _59045_/A VGND VGND VPWR VPWR _60783_/B sky130_fd_sc_hd__buf_1
+XFILLER_524_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_127_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_612_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74507_ _74507_/A _74506_/X VGND VGND VPWR VPWR _74507_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_342_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62521_ _62521_/A _62055_/B VGND VGND VPWR VPWR _62521_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_679_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47455_ _47455_/A VGND VGND VPWR VPWR _47456_/B sky130_fd_sc_hd__inv_8
+XFILLER_290_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_597_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71719_ _71718_/X VGND VGND VPWR VPWR _71788_/B sky130_fd_sc_hd__buf_1
+XPHY_38873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_327_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78275_ _78276_/CLK _49687_/Y VGND VGND VPWR VPWR _78275_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_90_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44667_ _44667_/A _44875_/A _44666_/X VGND VGND VPWR VPWR _44667_/X sky130_fd_sc_hd__or3_2
+XPHY_28350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75487_ _75876_/A _75481_/B VGND VGND VPWR VPWR _75487_/X sky130_fd_sc_hd__or2_2
+XPHY_29095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41879_ _41876_/A VGND VGND VPWR VPWR _41879_/X sky130_fd_sc_hd__buf_1
+XPHY_38884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72699_ _72820_/A _72699_/B VGND VGND VPWR VPWR _72699_/X sky130_fd_sc_hd__or2_2
+XFILLER_16_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46406_ _43130_/Y _46400_/A VGND VGND VPWR VPWR _46406_/X sky130_fd_sc_hd__or2_2
+X_65240_ _66897_/A VGND VGND VPWR VPWR _65903_/A sky130_fd_sc_hd__buf_1
+XFILLER_503_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_657_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77226_ _77223_/CLK _53662_/Y VGND VGND VPWR VPWR _53659_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_444_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43618_ _71675_/A _43617_/X VGND VGND VPWR VPWR _43618_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_182_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62452_ _62362_/Y _62452_/B VGND VGND VPWR VPWR _76746_/D sky130_fd_sc_hd__nor2_2
+XFILLER_602_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74438_ _74192_/A _74425_/B VGND VGND VPWR VPWR _74441_/A sky130_fd_sc_hd__or2_2
+XFILLER_479_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47386_ _47384_/Y _47386_/B VGND VGND VPWR VPWR _47386_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_594_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44598_ _79212_/Q _44598_/B VGND VGND VPWR VPWR _44598_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_637_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_520_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_147_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_499_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_692_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61403_ _77491_/Q _61246_/B VGND VGND VPWR VPWR _61405_/B sky130_fd_sc_hd__nor2_2
+X_49125_ _49125_/A _49125_/B VGND VGND VPWR VPWR _78417_/D sky130_fd_sc_hd__nand2_2
+XFILLER_176_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_242_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46337_ _43047_/Y _46337_/B VGND VGND VPWR VPWR _46339_/A sky130_fd_sc_hd__or2_2
+X_77157_ _77139_/CLK _77157_/D VGND VGND VPWR VPWR _77157_/Q sky130_fd_sc_hd__dfxtp_4
+X_65171_ _65171_/A _65839_/B VGND VGND VPWR VPWR _65172_/C sky130_fd_sc_hd__nor2_2
+XPHY_27693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43549_ _43549_/A VGND VGND VPWR VPWR _43560_/B sky130_fd_sc_hd__buf_1
+X_62383_ _62378_/X _62383_/B _62382_/Y VGND VGND VPWR VPWR _62384_/C sky130_fd_sc_hd__nor3_2
+X_74369_ _74369_/A _74369_/B VGND VGND VPWR VPWR _74369_/Y sky130_fd_sc_hd__nand2_2
+XPHY_17170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76108_ _76124_/A _76118_/B _68372_/A VGND VGND VPWR VPWR _76109_/B sky130_fd_sc_hd__nand3_2
+X_64122_ _68027_/A VGND VGND VPWR VPWR _64138_/A sky130_fd_sc_hd__buf_1
+XFILLER_90_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61334_ _60860_/X _61332_/Y _61334_/C VGND VGND VPWR VPWR _61335_/C sky130_fd_sc_hd__nor3_2
+X_49056_ _63552_/A _49052_/B VGND VGND VPWR VPWR _49058_/A sky130_fd_sc_hd__nand2_2
+XFILLER_635_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_106_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46268_ _46268_/A _46268_/B _46241_/A VGND VGND VPWR VPWR _46269_/C sky130_fd_sc_hd__nor3_2
+XFILLER_490_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77088_ _77171_/CLK _54179_/Y VGND VGND VPWR VPWR _77088_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_293_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_160_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_590_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_618_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48007_ _48007_/A _48007_/B VGND VGND VPWR VPWR _48007_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_121_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45219_ _45203_/Y _45218_/Y _45154_/X VGND VGND VPWR VPWR _45219_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_631_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_541_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68930_ _69353_/A _68930_/B _68929_/Y VGND VGND VPWR VPWR _68930_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_141_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64053_ _59176_/A _64049_/Y _64053_/C VGND VGND VPWR VPWR _64053_/Y sky130_fd_sc_hd__nor3_2
+X_76039_ _76036_/X _76038_/Y VGND VGND VPWR VPWR _76039_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_525_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_34_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_69_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61265_ _77610_/Q _60953_/B VGND VGND VPWR VPWR _61266_/C sky130_fd_sc_hd__nor2_2
+XFILLER_195_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_514_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46199_ _79035_/Q VGND VGND VPWR VPWR _46199_/Y sky130_fd_sc_hd__inv_8
+XFILLER_157_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_176_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_438_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63004_ _62693_/A _63000_/Y _63004_/C VGND VGND VPWR VPWR _63014_/B sky130_fd_sc_hd__nor3_2
+XFILLER_67_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60216_ _59893_/A _60216_/B _60215_/Y VGND VGND VPWR VPWR _60216_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_334_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68861_ _68861_/A _68531_/X VGND VGND VPWR VPWR _68863_/B sky130_fd_sc_hd__nor2_2
+XFILLER_353_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_710_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61196_ _60888_/A _61196_/B VGND VGND VPWR VPWR _61197_/C sky130_fd_sc_hd__nor2_2
+XPHY_33191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_518_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_695_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_292_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_271_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67812_ _67812_/A _67475_/B VGND VGND VPWR VPWR _67813_/C sky130_fd_sc_hd__nor2_2
+XFILLER_522_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60147_ _59340_/A VGND VGND VPWR VPWR _60147_/X sky130_fd_sc_hd__buf_1
+XFILLER_338_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49958_ _49809_/A VGND VGND VPWR VPWR _49991_/A sky130_fd_sc_hd__buf_1
+XFILLER_416_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68792_ _68792_/A _68285_/B VGND VGND VPWR VPWR _68792_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_629_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_531_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_711_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_98_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39711_ _39704_/A _39710_/X _39711_/C VGND VGND VPWR VPWR _39712_/B sky130_fd_sc_hd__nand3_2
+XFILLER_217_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48909_ _48880_/A _48900_/B VGND VGND VPWR VPWR _48909_/X sky130_fd_sc_hd__or2_2
+X_67743_ _81091_/Q _67903_/B VGND VGND VPWR VPWR _67744_/C sky130_fd_sc_hd__nor2_2
+XFILLER_488_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79729_ _80013_/CLK _40993_/Y VGND VGND VPWR VPWR _56566_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_217_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64955_ _64631_/A _64955_/B _64954_/Y VGND VGND VPWR VPWR _64955_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_468_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60078_ _53202_/A _59590_/X VGND VGND VPWR VPWR _60079_/C sky130_fd_sc_hd__nor2_2
+XFILLER_291_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49889_ _49886_/Y _49888_/X VGND VGND VPWR VPWR _78220_/D sky130_fd_sc_hd__nand2_2
+XFILLER_86_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_154_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_115_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51920_ _51892_/A _51935_/B VGND VGND VPWR VPWR _51920_/X sky130_fd_sc_hd__or2_2
+X_39642_ _39640_/X _39641_/Y VGND VGND VPWR VPWR _80072_/D sky130_fd_sc_hd__nand2_2
+XFILLER_681_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_386_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63906_ _63906_/A _63906_/B _63905_/Y VGND VGND VPWR VPWR _63906_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_135_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67674_ _67674_/A _67018_/B VGND VGND VPWR VPWR _67674_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_429_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64886_ _65057_/A _64886_/B _64886_/C VGND VGND VPWR VPWR _64887_/C sky130_fd_sc_hd__nor3_2
+XFILLER_225_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_265_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_39_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_547_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_509_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69413_ _69397_/Y _69413_/B VGND VGND VPWR VPWR _69414_/B sky130_fd_sc_hd__nor2_2
+XFILLER_446_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_328_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_314_0_u_core.clock clkbuf_9_315_0_u_core.clock/A VGND VGND VPWR VPWR _81330_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_113_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66625_ _57456_/A _65970_/B VGND VGND VPWR VPWR _66625_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_690_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_239_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51851_ _51849_/Y _51850_/X VGND VGND VPWR VPWR _51851_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_230_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39573_ _39518_/A VGND VGND VPWR VPWR _39573_/X sky130_fd_sc_hd__buf_1
+XFILLER_367_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63837_ _78371_/Q _63837_/B VGND VGND VPWR VPWR _63838_/C sky130_fd_sc_hd__nor2_2
+XPHY_5109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_39_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_629_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_677_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_367_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50802_ _50800_/Y _50801_/X VGND VGND VPWR VPWR _77977_/D sky130_fd_sc_hd__nand2_2
+XFILLER_54_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38524_ _38521_/X _38523_/Y VGND VGND VPWR VPWR _38524_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_544_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69344_ _81389_/Q _69344_/B VGND VGND VPWR VPWR _69344_/Y sky130_fd_sc_hd__nor2_2
+XPHY_4408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54570_ _54655_/A _54573_/B VGND VGND VPWR VPWR _54571_/B sky130_fd_sc_hd__or2_2
+X_66556_ _80572_/Q _66556_/B VGND VGND VPWR VPWR _66556_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_560_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_96_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_604_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51782_ _51782_/A _51781_/X VGND VGND VPWR VPWR _51782_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_254_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63768_ _63768_/A _63767_/Y VGND VGND VPWR VPWR _63769_/B sky130_fd_sc_hd__nor2_2
+XFILLER_345_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_560_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_483_0_u_core.clock clkbuf_9_483_0_u_core.clock/A VGND VGND VPWR VPWR _80332_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_364_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_598_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53521_ _53521_/A _53521_/B VGND VGND VPWR VPWR _53521_/X sky130_fd_sc_hd__or2_2
+XFILLER_701_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65507_ _65329_/A _65506_/Y VGND VGND VPWR VPWR _65507_/Y sky130_fd_sc_hd__nor2_2
+XPHY_3707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38455_ _38451_/X _38454_/Y VGND VGND VPWR VPWR _80370_/D sky130_fd_sc_hd__nand2_2
+XFILLER_306_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50733_ _50733_/A _50732_/X VGND VGND VPWR VPWR _50733_/Y sky130_fd_sc_hd__nand2_2
+X_62719_ _62364_/X _62719_/B VGND VGND VPWR VPWR _62759_/B sky130_fd_sc_hd__nor2_2
+XFILLER_415_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69275_ _69259_/Y _69275_/B VGND VGND VPWR VPWR _69276_/B sky130_fd_sc_hd__nor2_2
+XFILLER_632_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66487_ _67309_/A VGND VGND VPWR VPWR _67148_/B sky130_fd_sc_hd__buf_1
+XFILLER_74_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_183_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_39_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63699_ _63699_/A _59362_/B VGND VGND VPWR VPWR _63700_/C sky130_fd_sc_hd__nor2_2
+XPHY_3729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_697_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56240_ _56239_/Y VGND VGND VPWR VPWR _57637_/A sky130_fd_sc_hd__buf_1
+X_80504_ _80473_/CLK _80504_/D VGND VGND VPWR VPWR _80504_/Q sky130_fd_sc_hd__dfxtp_4
+X_68226_ _68393_/A _68226_/B _68226_/C VGND VGND VPWR VPWR _68237_/B sky130_fd_sc_hd__nor3_2
+XFILLER_603_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53452_ _53452_/A _53451_/X VGND VGND VPWR VPWR _53452_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_55_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_224_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65438_ _64915_/A _65438_/B _65437_/Y VGND VGND VPWR VPWR _65439_/C sky130_fd_sc_hd__nor3_2
+XFILLER_165_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38386_ _38386_/A _38385_/Y VGND VGND VPWR VPWR _80389_/D sky130_fd_sc_hd__nand2_2
+X_50664_ _50664_/A _50638_/X VGND VGND VPWR VPWR _50665_/B sky130_fd_sc_hd__or2_2
+X_81484_ _81468_/CLK _81484_/D VGND VGND VPWR VPWR _69228_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_368_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_341_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_430_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52403_ _52400_/X VGND VGND VPWR VPWR _52419_/B sky130_fd_sc_hd__buf_1
+XPHY_25009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_396_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_107_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80435_ _80375_/CLK _80435_/D VGND VGND VPWR VPWR _80435_/Q sky130_fd_sc_hd__dfxtp_4
+X_56171_ _56171_/A _56171_/B VGND VGND VPWR VPWR _56172_/B sky130_fd_sc_hd__nor2_2
+XFILLER_495_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68157_ _58132_/A _67999_/B VGND VGND VPWR VPWR _68157_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_495_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53383_ _53441_/A _53401_/B VGND VGND VPWR VPWR _53383_/X sky130_fd_sc_hd__or2_2
+XFILLER_52_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65369_ _80212_/Q _65699_/B VGND VGND VPWR VPWR _65370_/C sky130_fd_sc_hd__nor2_2
+XFILLER_52_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_22_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50595_ _62334_/A _50584_/B VGND VGND VPWR VPWR _50597_/A sky130_fd_sc_hd__nand2_2
+XFILLER_556_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_356_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_557_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_716_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55122_ _55122_/A _55128_/B VGND VGND VPWR VPWR _55123_/C sky130_fd_sc_hd__nor2_2
+XFILLER_202_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67108_ _68989_/A VGND VGND VPWR VPWR _67108_/X sky130_fd_sc_hd__buf_1
+XFILLER_241_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52334_ _52334_/A _52338_/B VGND VGND VPWR VPWR _52334_/X sky130_fd_sc_hd__or2_2
+XFILLER_558_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80366_ _80367_/CLK _80366_/D VGND VGND VPWR VPWR _69448_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_421_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68088_ _68088_/A _67920_/X VGND VGND VPWR VPWR _68088_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_304_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_167_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_301_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_298_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_309_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_554_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_355_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39007_ _39003_/X _39006_/Y VGND VGND VPWR VPWR _80233_/D sky130_fd_sc_hd__nand2_2
+XFILLER_614_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_120_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59930_ _59273_/A _59929_/Y VGND VGND VPWR VPWR _59931_/C sky130_fd_sc_hd__nor2_2
+X_55053_ _55056_/A _69566_/B VGND VGND VPWR VPWR _55053_/Y sky130_fd_sc_hd__nor2_2
+X_67039_ _66217_/A VGND VGND VPWR VPWR _67040_/B sky130_fd_sc_hd__buf_1
+XFILLER_721_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_339_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52265_ _52265_/A _52264_/X VGND VGND VPWR VPWR _77593_/D sky130_fd_sc_hd__nand2_2
+XFILLER_355_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_495_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80297_ _80346_/CLK _80297_/D VGND VGND VPWR VPWR _38765_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_135_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1345 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54004_ _52093_/A _53912_/B VGND VGND VPWR VPWR _54030_/B sky130_fd_sc_hd__or2_2
+XPHY_22917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51216_ _77863_/Q _51222_/B VGND VGND VPWR VPWR _51216_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_336_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70050_ _70683_/C VGND VGND VPWR VPWR _70632_/C sky130_fd_sc_hd__buf_1
+XPHY_13139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59861_ _77225_/Q _59540_/B VGND VGND VPWR VPWR _59863_/B sky130_fd_sc_hd__nor2_2
+XFILLER_473_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_159_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52196_ _52196_/A _52195_/X VGND VGND VPWR VPWR _52199_/A sky130_fd_sc_hd__nand2_2
+XFILLER_274_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_712_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_713_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_351_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_123_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_698_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_323_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58812_ _58804_/Y _58812_/B VGND VGND VPWR VPWR _58813_/B sky130_fd_sc_hd__nor2_2
+XFILLER_317_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_107_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51147_ _62714_/A _51157_/B VGND VGND VPWR VPWR _51149_/A sky130_fd_sc_hd__nand2_2
+XFILLER_155_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_532_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59792_ _55752_/A _59633_/X VGND VGND VPWR VPWR _59792_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_46_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_467_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_172_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_46_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39909_ _39909_/A _39908_/Y VGND VGND VPWR VPWR _80010_/D sky130_fd_sc_hd__nand2_2
+XFILLER_289_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58743_ _58609_/A _58743_/B _58742_/Y VGND VGND VPWR VPWR _58744_/C sky130_fd_sc_hd__nor3_2
+XFILLER_450_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55955_ _55952_/Y _55955_/B _42149_/C VGND VGND VPWR VPWR _76678_/D sky130_fd_sc_hd__nor3_2
+X_51078_ _51078_/A _51078_/B VGND VGND VPWR VPWR _77903_/D sky130_fd_sc_hd__nand2_2
+XFILLER_549_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_235_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_669_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_647_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_713_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_103_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_369_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42920_ _42920_/A _42920_/B _58159_/A VGND VGND VPWR VPWR _42921_/B sky130_fd_sc_hd__nand3_2
+XFILLER_88_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50029_ _50029_/A _50028_/X VGND VGND VPWR VPWR _50029_/Y sky130_fd_sc_hd__nand2_2
+X_54906_ _54906_/A _54905_/X VGND VGND VPWR VPWR _54906_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_713_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73740_ _73740_/A _73744_/B VGND VGND VPWR VPWR _73740_/X sky130_fd_sc_hd__or2_2
+XFILLER_276_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_131_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58674_ _80332_/Q _58462_/B VGND VGND VPWR VPWR _58674_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_282_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70952_ _70952_/A _70951_/Y VGND VGND VPWR VPWR _70952_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_489_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55886_ _70383_/B _55886_/B VGND VGND VPWR VPWR _55888_/A sky130_fd_sc_hd__or2_2
+XFILLER_118_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_170_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_77_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_189_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_526_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57625_ _57541_/A _57625_/B _57624_/Y VGND VGND VPWR VPWR _57625_/X sky130_fd_sc_hd__or3_2
+XFILLER_682_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_365_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54837_ _54952_/A _54841_/B VGND VGND VPWR VPWR _54837_/X sky130_fd_sc_hd__or2_2
+X_42851_ _42860_/A _42851_/B _42851_/C VGND VGND VPWR VPWR _79450_/D sky130_fd_sc_hd__nor3_2
+XFILLER_188_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73671_ _73671_/A _73671_/B _81124_/Q VGND VGND VPWR VPWR _73671_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_467_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_385_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_29_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70883_ _70883_/A _70882_/X VGND VGND VPWR VPWR _71031_/A sky130_fd_sc_hd__nor2_2
+XPHY_7089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_480_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75410_ _75482_/A VGND VGND VPWR VPWR _75410_/X sky130_fd_sc_hd__buf_1
+XFILLER_481_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_699_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_434_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41802_ _41796_/X _41801_/X VGND VGND VPWR VPWR _41802_/Y sky130_fd_sc_hd__nand2_2
+XPHY_38114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72622_ _72676_/A VGND VGND VPWR VPWR _72622_/X sky130_fd_sc_hd__buf_1
+XPHY_5632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45570_ _45496_/A VGND VGND VPWR VPWR _45581_/A sky130_fd_sc_hd__buf_1
+XFILLER_385_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57556_ _67001_/A _57239_/X VGND VGND VPWR VPWR _57556_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76390_ _76388_/X _76389_/Y VGND VGND VPWR VPWR _76390_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_625_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42782_ _42736_/X _42781_/X _70764_/X _42750_/X VGND VGND VPWR VPWR _42782_/X sky130_fd_sc_hd__o22a_4
+XFILLER_508_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54768_ _54768_/A VGND VGND VPWR VPWR _54775_/B sky130_fd_sc_hd__buf_1
+XFILLER_480_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_233_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_2_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44521_ _44521_/A VGND VGND VPWR VPWR _44560_/A sky130_fd_sc_hd__buf_1
+XPHY_4931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56507_ _58833_/A _56505_/Y _56507_/C VGND VGND VPWR VPWR _56507_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_283_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75341_ _75341_/A _75351_/B VGND VGND VPWR VPWR _75341_/X sky130_fd_sc_hd__or2_2
+XFILLER_226_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41733_ _41733_/A VGND VGND VPWR VPWR _41733_/X sky130_fd_sc_hd__buf_1
+XFILLER_723_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53719_ _77209_/Q _53719_/B VGND VGND VPWR VPWR _53721_/A sky130_fd_sc_hd__nand2_2
+XFILLER_272_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72553_ _72550_/A _72550_/B _72553_/C VGND VGND VPWR VPWR _72554_/B sky130_fd_sc_hd__nand3_2
+XFILLER_264_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_92_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57487_ _80093_/Q _57568_/B VGND VGND VPWR VPWR _57489_/B sky130_fd_sc_hd__nor2_2
+XPHY_37435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54699_ _54102_/A VGND VGND VPWR VPWR _54813_/A sky130_fd_sc_hd__buf_1
+XFILLER_205_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_183_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_233_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47240_ _47240_/A _47240_/B VGND VGND VPWR VPWR _47241_/B sky130_fd_sc_hd__nor2_2
+X_59226_ _59226_/A VGND VGND VPWR VPWR _59271_/A sky130_fd_sc_hd__buf_1
+X_71504_ _71504_/A VGND VGND VPWR VPWR _74058_/A sky130_fd_sc_hd__inv_8
+XFILLER_480_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78060_ _78062_/CLK _78060_/D VGND VGND VPWR VPWR _62836_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_232_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56438_ _80144_/Q _58681_/B VGND VGND VPWR VPWR _56438_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_341_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44452_ _44470_/A _44451_/X VGND VGND VPWR VPWR _44459_/B sky130_fd_sc_hd__nor2_2
+XPHY_4986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75272_ _75265_/A _75265_/B _65718_/A VGND VGND VPWR VPWR _75273_/B sky130_fd_sc_hd__nand3_2
+XFILLER_73_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41664_ _41651_/X _41664_/B VGND VGND VPWR VPWR _41665_/A sky130_fd_sc_hd__nand2_2
+XPHY_37479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72484_ _72482_/X _72484_/B VGND VGND VPWR VPWR _81419_/D sky130_fd_sc_hd__nand2_2
+XPHY_26211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_693_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_610_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77011_ _76998_/CLK _54468_/Y VGND VGND VPWR VPWR _60124_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_359_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_6_32_0_u_core.clock clkbuf_5_16_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_65_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_43403_ _43403_/A _43403_/B VGND VGND VPWR VPWR _79359_/D sky130_fd_sc_hd__nand2_2
+XFILLER_38_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74223_ _74610_/A _74210_/B VGND VGND VPWR VPWR _74226_/A sky130_fd_sc_hd__or2_2
+XFILLER_122_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40615_ _40615_/A _40615_/B VGND VGND VPWR VPWR _40615_/X sky130_fd_sc_hd__or2_2
+XFILLER_199_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47171_ _47171_/A _47171_/B _47171_/C VGND VGND VPWR VPWR _47171_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_658_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59157_ _59402_/A VGND VGND VPWR VPWR _59176_/A sky130_fd_sc_hd__buf_1
+X_71435_ _71772_/A VGND VGND VPWR VPWR _71537_/A sky130_fd_sc_hd__buf_1
+XFILLER_207_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44383_ _73503_/A _44513_/C VGND VGND VPWR VPWR _44384_/C sky130_fd_sc_hd__nor2_2
+XPHY_25510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56369_ _56526_/A VGND VGND VPWR VPWR _58348_/A sky130_fd_sc_hd__buf_1
+XFILLER_536_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41595_ _41598_/A _41606_/B _66133_/A VGND VGND VPWR VPWR _41596_/B sky130_fd_sc_hd__nand3_2
+XFILLER_576_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46122_ _46120_/X _46122_/B VGND VGND VPWR VPWR _46123_/B sky130_fd_sc_hd__nand2_2
+XFILLER_537_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58108_ _79941_/Q _57947_/B VGND VGND VPWR VPWR _58109_/C sky130_fd_sc_hd__nor2_2
+XFILLER_185_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_40_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43334_ _43334_/A _43333_/Y VGND VGND VPWR VPWR _43334_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_376_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74154_ _74152_/X _74154_/B VGND VGND VPWR VPWR _74154_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_103_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40546_ _40400_/A _40549_/B VGND VGND VPWR VPWR _40546_/X sky130_fd_sc_hd__or2_2
+XFILLER_199_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59088_ _59088_/A VGND VGND VPWR VPWR _59611_/A sky130_fd_sc_hd__buf_1
+X_71366_ _70342_/A _71365_/Y VGND VGND VPWR VPWR _71366_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_639_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_550_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_515_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_138_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73105_ _72345_/B _74156_/B VGND VGND VPWR VPWR _73105_/X sky130_fd_sc_hd__or2_2
+XPHY_25587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_507_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58039_ _56839_/X VGND VGND VPWR VPWR _58273_/B sky130_fd_sc_hd__buf_1
+XPHY_15064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46053_ _46053_/A _46053_/B _46053_/C _46053_/D VGND VGND VPWR VPWR _46053_/X sky130_fd_sc_hd__or4_2
+XFILLER_173_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70317_ _70317_/A VGND VGND VPWR VPWR _70381_/B sky130_fd_sc_hd__buf_1
+XFILLER_494_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43265_ _42903_/A _43258_/B VGND VGND VPWR VPWR _43265_/X sky130_fd_sc_hd__or2_2
+XFILLER_240_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78962_ _78896_/CLK _46768_/Y VGND VGND VPWR VPWR _43082_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_14330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74085_ _74007_/A VGND VGND VPWR VPWR _74085_/X sky130_fd_sc_hd__buf_1
+XFILLER_490_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40477_ _40477_/A _40477_/B VGND VGND VPWR VPWR _40477_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_86_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71297_ _39137_/A VGND VGND VPWR VPWR _42912_/A sky130_fd_sc_hd__buf_1
+XFILLER_138_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_617_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45004_ _45003_/Y _45004_/B VGND VGND VPWR VPWR _45004_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_177_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42216_ _79102_/Q VGND VGND VPWR VPWR _42216_/Y sky130_fd_sc_hd__inv_8
+XFILLER_125_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61050_ _52711_/A _60734_/B VGND VGND VPWR VPWR _61050_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_173_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73036_ _42930_/A _73020_/X VGND VGND VPWR VPWR _73037_/B sky130_fd_sc_hd__or2_2
+X_77913_ _77920_/CLK _77913_/D VGND VGND VPWR VPWR _62318_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_652_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70248_ _70247_/X VGND VGND VPWR VPWR _71198_/A sky130_fd_sc_hd__buf_1
+XFILLER_103_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43196_ _46827_/A VGND VGND VPWR VPWR _46822_/B sky130_fd_sc_hd__inv_8
+XPHY_13640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78893_ _78896_/CLK _78893_/D VGND VGND VPWR VPWR _46379_/B sky130_fd_sc_hd__dfxtp_4
+XPHY_14385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_5_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_49_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_153_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60001_ _60001_/A _59997_/Y _60001_/C VGND VGND VPWR VPWR _60001_/Y sky130_fd_sc_hd__nor3_2
+XPHY_13662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49812_ _62221_/A _49812_/B VGND VGND VPWR VPWR _49812_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_488_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_233_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42147_ _69662_/A _42141_/B VGND VGND VPWR VPWR _42147_/Y sky130_fd_sc_hd__nor2_2
+XPHY_13673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_665_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77844_ _77836_/CLK _77844_/D VGND VGND VPWR VPWR _51312_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_314_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_613_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70179_ _70179_/A VGND VGND VPWR VPWR _70180_/A sky130_fd_sc_hd__inv_8
+XFILLER_181_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_302_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_665_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_313_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49743_ _49743_/A _49743_/B VGND VGND VPWR VPWR _78259_/D sky130_fd_sc_hd__nand2_2
+XFILLER_268_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_589_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46955_ _46955_/A _46955_/B VGND VGND VPWR VPWR _46960_/A sky130_fd_sc_hd__or2_2
+X_42078_ _42078_/A _42077_/Y _42082_/C VGND VGND VPWR VPWR _42078_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_585_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77775_ _77295_/CLK _51581_/Y VGND VGND VPWR VPWR _77775_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_42_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74987_ _75005_/A VGND VGND VPWR VPWR _74988_/A sky130_fd_sc_hd__buf_1
+XPHY_30362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_411_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79514_ _79518_/CLK _79514_/D VGND VGND VPWR VPWR _42143_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_665_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41029_ _41028_/X VGND VGND VPWR VPWR _41030_/B sky130_fd_sc_hd__buf_1
+XFILLER_45_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45906_ _46043_/A _45905_/X VGND VGND VPWR VPWR _79073_/D sky130_fd_sc_hd__nor2_2
+XFILLER_623_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64740_ _64740_/A _64899_/B VGND VGND VPWR VPWR _64740_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_27_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_68_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76726_ _78860_/CLK _59275_/Y VGND VGND VPWR VPWR _76726_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_665_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49674_ _49674_/A _49673_/X VGND VGND VPWR VPWR _49674_/Y sky130_fd_sc_hd__nand2_2
+X_61952_ _61952_/A _62114_/B VGND VGND VPWR VPWR _61953_/C sky130_fd_sc_hd__nor2_2
+XFILLER_192_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_691_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73938_ _73949_/A _73930_/X _73938_/C VGND VGND VPWR VPWR _73938_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_151_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46886_ _46972_/A VGND VGND VPWR VPWR _46887_/B sky130_fd_sc_hd__buf_1
+XFILLER_681_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_12_0_u_core.clock clkbuf_6_6_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_24_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_288_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_110_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60903_ _76777_/Q _60592_/B VGND VGND VPWR VPWR _60904_/C sky130_fd_sc_hd__nor2_2
+X_48625_ _48625_/A _48611_/B VGND VGND VPWR VPWR _48628_/A sky130_fd_sc_hd__nand2_2
+XFILLER_466_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_672_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79445_ _79565_/CLK _42874_/X VGND VGND VPWR VPWR _79445_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_646_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45837_ _45836_/Y _45795_/A VGND VGND VPWR VPWR _45838_/B sky130_fd_sc_hd__nor2_2
+XFILLER_645_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64671_ _67410_/A VGND VGND VPWR VPWR _64671_/X sky130_fd_sc_hd__buf_1
+XFILLER_286_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76657_ _76657_/CLK _76657_/D VGND VGND VPWR VPWR _70518_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_463_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_444_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_149_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73869_ _76224_/A _73626_/B VGND VGND VPWR VPWR _73872_/A sky130_fd_sc_hd__or2_2
+X_61883_ _59317_/A VGND VGND VPWR VPWR _61890_/A sky130_fd_sc_hd__buf_1
+XFILLER_605_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_364_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66410_ _80443_/Q _66568_/B VGND VGND VPWR VPWR _66411_/C sky130_fd_sc_hd__nor2_2
+XFILLER_583_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_188_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_209_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_706_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75608_ _75598_/A VGND VGND VPWR VPWR _75647_/A sky130_fd_sc_hd__buf_1
+XFILLER_466_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63622_ _48242_/A _63168_/B VGND VGND VPWR VPWR _63622_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_236_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60834_ _60834_/A VGND VGND VPWR VPWR _60835_/B sky130_fd_sc_hd__buf_1
+XFILLER_48_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48556_ _48556_/A _48556_/B VGND VGND VPWR VPWR _48556_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_722_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67390_ _80417_/Q _67390_/B VGND VGND VPWR VPWR _67392_/B sky130_fd_sc_hd__nor2_2
+XFILLER_481_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_270_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79376_ _79342_/CLK _79376_/D VGND VGND VPWR VPWR _65634_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_188_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45768_ _42243_/A _45752_/X _45731_/X _45767_/Y VGND VGND VPWR VPWR _45769_/B sky130_fd_sc_hd__o22a_4
+XFILLER_208_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76588_ VGND VGND VPWR VPWR _76588_/HI la_data_out[84] sky130_fd_sc_hd__conb_1
+XFILLER_225_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_526_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_425_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47507_ _47988_/A VGND VGND VPWR VPWR _47641_/A sky130_fd_sc_hd__buf_1
+X_66341_ _68810_/A VGND VGND VPWR VPWR _66996_/B sky130_fd_sc_hd__buf_1
+XFILLER_542_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78327_ _78823_/CLK _49478_/Y VGND VGND VPWR VPWR _62126_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44719_ _44643_/Y _44715_/Y _44696_/B _44718_/X VGND VGND VPWR VPWR _44719_/X sky130_fd_sc_hd__o22a_4
+XFILLER_165_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_561_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63553_ _55836_/A _59008_/B VGND VGND VPWR VPWR _63554_/C sky130_fd_sc_hd__nor2_2
+XFILLER_169_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75539_ _75534_/A _75528_/X _65880_/A VGND VGND VPWR VPWR _75540_/B sky130_fd_sc_hd__nand3_2
+XFILLER_480_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60765_ _60765_/A _60763_/Y _60765_/C VGND VGND VPWR VPWR _60765_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_327_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_264_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48487_ _48486_/X _48453_/X VGND VGND VPWR VPWR _48487_/X sky130_fd_sc_hd__or2_2
+XFILLER_211_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45699_ _45674_/X _45699_/B VGND VGND VPWR VPWR _45700_/B sky130_fd_sc_hd__nor2_2
+XFILLER_586_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_694_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_405_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_568_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38240_ _76359_/A _38239_/X VGND VGND VPWR VPWR _38240_/X sky130_fd_sc_hd__or2_2
+XFILLER_559_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62504_ _62504_/A _62034_/X VGND VGND VPWR VPWR _62504_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69060_ _69478_/A _69060_/B _69059_/Y VGND VGND VPWR VPWR _69060_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_522_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_225_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47438_ _47438_/A _47437_/X VGND VGND VPWR VPWR _78842_/D sky130_fd_sc_hd__nand2_2
+XFILLER_620_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66272_ _66272_/A _65616_/B VGND VGND VPWR VPWR _66273_/C sky130_fd_sc_hd__nor2_2
+X_78258_ _78756_/CLK _78258_/D VGND VGND VPWR VPWR _62479_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_602_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_307_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_260_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63484_ _48245_/A _63168_/B VGND VGND VPWR VPWR _63484_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_299_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60696_ _60540_/A _60696_/B _60696_/C VGND VGND VPWR VPWR _60700_/B sky130_fd_sc_hd__nor3_2
+XPHY_28191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_17_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68011_ _68011_/A _67842_/B VGND VGND VPWR VPWR _68011_/Y sky130_fd_sc_hd__nor2_2
+XPHY_37991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65223_ _64472_/A VGND VGND VPWR VPWR _66883_/A sky130_fd_sc_hd__buf_1
+XFILLER_264_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_600_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77209_ _77214_/CLK _53721_/Y VGND VGND VPWR VPWR _77209_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_440_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62435_ _62118_/X _62431_/Y _62435_/C VGND VGND VPWR VPWR _62435_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_496_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_182_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47369_ _47359_/X VGND VGND VPWR VPWR _47382_/B sky130_fd_sc_hd__buf_1
+XFILLER_585_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78189_ _78189_/CLK _78189_/D VGND VGND VPWR VPWR _61763_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_538_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49108_ _49108_/A _49107_/X VGND VGND VPWR VPWR _49108_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_52_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80220_ _80315_/CLK _39055_/Y VGND VGND VPWR VPWR _80220_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_34_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65154_ _68003_/A VGND VGND VPWR VPWR _65820_/B sky130_fd_sc_hd__buf_1
+XFILLER_195_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_31_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62366_ _78217_/Q _62531_/B VGND VGND VPWR VPWR _62369_/B sky130_fd_sc_hd__nor2_2
+X_50380_ _50256_/A _50389_/B VGND VGND VPWR VPWR _50381_/B sky130_fd_sc_hd__or2_2
+XFILLER_590_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_554_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_104_0_u_core.clock clkbuf_8_52_0_u_core.clock/X VGND VGND VPWR VPWR _78758_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_101_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64105_ _64294_/A VGND VGND VPWR VPWR _65048_/A sky130_fd_sc_hd__buf_1
+XFILLER_160_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_542_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_416_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80151_ _80121_/CLK _39343_/Y VGND VGND VPWR VPWR _65854_/A sky130_fd_sc_hd__dfxtp_4
+X_61317_ _60845_/A _61317_/B VGND VGND VPWR VPWR _61356_/B sky130_fd_sc_hd__nor2_2
+X_49039_ _78437_/Q _49039_/B VGND VGND VPWR VPWR _49041_/A sky130_fd_sc_hd__nand2_2
+XFILLER_223_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65085_ _64562_/A _65085_/B _65085_/C VGND VGND VPWR VPWR _65086_/C sky130_fd_sc_hd__nor3_2
+XFILLER_375_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69962_ _69950_/B _70466_/B VGND VGND VPWR VPWR _69963_/B sky130_fd_sc_hd__nor2_2
+XFILLER_653_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62297_ _62297_/A _62613_/B VGND VGND VPWR VPWR _62297_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_117_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_611_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52050_ _77649_/Q _52053_/B VGND VGND VPWR VPWR _52052_/A sky130_fd_sc_hd__nand2_2
+X_68913_ _68913_/A _69336_/B VGND VGND VPWR VPWR _68913_/Y sky130_fd_sc_hd__nor2_2
+X_64036_ _64036_/A _59213_/B VGND VGND VPWR VPWR _64036_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_696_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_195_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_713_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_213_0_u_core.clock clkbuf_7_106_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_427_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_61248_ _60766_/X _61248_/B _61248_/C VGND VGND VPWR VPWR _61249_/C sky130_fd_sc_hd__nor3_2
+X_80082_ _80104_/CLK _80082_/D VGND VGND VPWR VPWR _80082_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_533_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69893_ _69893_/A VGND VGND VPWR VPWR _69893_/X sky130_fd_sc_hd__buf_1
+XFILLER_195_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_353_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_334_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_273_0_u_core.clock clkbuf_9_273_0_u_core.clock/A VGND VGND VPWR VPWR _77158_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_318_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_664_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51001_ _49494_/A VGND VGND VPWR VPWR _51036_/A sky130_fd_sc_hd__buf_1
+XFILLER_116_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_515_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68844_ _79202_/Q VGND VGND VPWR VPWR _68845_/A sky130_fd_sc_hd__inv_8
+XFILLER_271_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61179_ _51295_/A _61179_/B VGND VGND VPWR VPWR _61179_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_138_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_490_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_171_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_131_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_252_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_119_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68775_ _58498_/A _69081_/B VGND VGND VPWR VPWR _68776_/C sky130_fd_sc_hd__nor2_2
+XFILLER_271_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_113_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_217_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65987_ _57117_/A _65661_/X VGND VGND VPWR VPWR _65987_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_312_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_511_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55740_ _55740_/A VGND VGND VPWR VPWR _55741_/B sky130_fd_sc_hd__buf_1
+X_67726_ _67726_/A _67726_/B VGND VGND VPWR VPWR _67726_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_258_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52952_ _52952_/A _52952_/B VGND VGND VPWR VPWR _77410_/D sky130_fd_sc_hd__nand2_2
+XFILLER_219_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_585_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64938_ _65112_/A _64898_/Y _64937_/Y VGND VGND VPWR VPWR _65021_/B sky130_fd_sc_hd__nor3_2
+XFILLER_274_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_629_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80984_ _81013_/CLK _74245_/Y VGND VGND VPWR VPWR _65928_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_475_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_109 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_447_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_389_0_u_core.clock clkbuf_9_389_0_u_core.clock/A VGND VGND VPWR VPWR _81201_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_607_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51903_ _51903_/A _51902_/X VGND VGND VPWR VPWR _51903_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_651_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39625_ _39623_/X _39624_/Y VGND VGND VPWR VPWR _80076_/D sky130_fd_sc_hd__nand2_2
+XFILLER_369_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_286_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_41_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55671_ _55707_/A _55669_/Y _55671_/C VGND VGND VPWR VPWR _55671_/X sky130_fd_sc_hd__or3_2
+XFILLER_230_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_661_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67657_ _40429_/C _67825_/B VGND VGND VPWR VPWR _67658_/C sky130_fd_sc_hd__nor2_2
+XFILLER_284_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52883_ _52883_/A _52898_/B VGND VGND VPWR VPWR _52884_/B sky130_fd_sc_hd__or2_2
+X_64869_ _80818_/Q _64453_/X VGND VGND VPWR VPWR _64871_/B sky130_fd_sc_hd__nor2_2
+XPHY_29809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_608_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_218_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_100_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_540_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_282_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57410_ _80220_/Q _57410_/B VGND VGND VPWR VPWR _57412_/B sky130_fd_sc_hd__nor2_2
+XFILLER_464_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_3064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54622_ _76969_/Q _54615_/B VGND VGND VPWR VPWR _54622_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_269_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66608_ _65952_/A _66599_/Y _66607_/Y VGND VGND VPWR VPWR _66608_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_73_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51834_ _51850_/B VGND VGND VPWR VPWR _51847_/B sky130_fd_sc_hd__buf_1
+X_39556_ _39559_/A _39559_/B _57655_/A VGND VGND VPWR VPWR _39557_/B sky130_fd_sc_hd__nand3_2
+XFILLER_382_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58390_ _38890_/C _58311_/X VGND VGND VPWR VPWR _58391_/C sky130_fd_sc_hd__nor2_2
+XFILLER_364_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_132_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67588_ _64226_/X VGND VGND VPWR VPWR _68253_/A sky130_fd_sc_hd__buf_1
+XFILLER_402_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_215_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_525_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_107 _64470_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_215_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_363_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_416_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38507_ _38507_/A VGND VGND VPWR VPWR _38512_/B sky130_fd_sc_hd__buf_1
+XFILLER_54_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57341_ _66521_/A _57411_/B VGND VGND VPWR VPWR _57342_/C sky130_fd_sc_hd__nor2_2
+XFILLER_388_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_118 _42888_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_243_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69327_ _64467_/A _69327_/B _69326_/Y VGND VGND VPWR VPWR _69331_/B sky130_fd_sc_hd__nor3_2
+XFILLER_93_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54553_ _54553_/A _54517_/B VGND VGND VPWR VPWR _54554_/A sky130_fd_sc_hd__or2_2
+XPHY_18618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66539_ _66704_/A _66539_/B _66538_/Y VGND VGND VPWR VPWR _66543_/B sky130_fd_sc_hd__nor3_2
+XANTENNA_129 _71383_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_3504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51765_ _51850_/A _51749_/A VGND VGND VPWR VPWR _51765_/X sky130_fd_sc_hd__or2_2
+X_39487_ _39243_/A _39378_/A VGND VGND VPWR VPWR _39489_/A sky130_fd_sc_hd__or2_2
+XPHY_18629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_325_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_595_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_345_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_323_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53504_ _53527_/B VGND VGND VPWR VPWR _53523_/B sky130_fd_sc_hd__buf_1
+XFILLER_54_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38438_ _38317_/A _38434_/B VGND VGND VPWR VPWR _38438_/X sky130_fd_sc_hd__or2_2
+XFILLER_576_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50716_ _50865_/A VGND VGND VPWR VPWR _50716_/X sky130_fd_sc_hd__buf_1
+XFILLER_694_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57272_ _57349_/A _57250_/Y _57272_/C VGND VGND VPWR VPWR _57272_/X sky130_fd_sc_hd__or3_2
+XFILLER_183_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69258_ _68641_/X _69254_/Y _69258_/C VGND VGND VPWR VPWR _69258_/Y sky130_fd_sc_hd__nor3_2
+XPHY_3548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54484_ _54482_/Y _54483_/X VGND VGND VPWR VPWR _54484_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_306_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_81_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_403_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51696_ _51696_/A _51696_/B VGND VGND VPWR VPWR _51696_/Y sky130_fd_sc_hd__nand2_2
+XPHY_3559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59011_ _60209_/A VGND VGND VPWR VPWR _59012_/A sky130_fd_sc_hd__buf_1
+XFILLER_263_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56223_ _57729_/A VGND VGND VPWR VPWR _56223_/X sky130_fd_sc_hd__buf_1
+XFILLER_104_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68209_ _66563_/A VGND VGND VPWR VPWR _68216_/A sky130_fd_sc_hd__buf_1
+XFILLER_497_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53435_ _53277_/A VGND VGND VPWR VPWR _53883_/A sky130_fd_sc_hd__buf_1
+XFILLER_225_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38369_ _38357_/A VGND VGND VPWR VPWR _38388_/A sky130_fd_sc_hd__buf_1
+X_50647_ _62474_/A _50651_/B VGND VGND VPWR VPWR _50650_/A sky130_fd_sc_hd__nand2_2
+XFILLER_675_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69189_ _64467_/A _69189_/B _69188_/Y VGND VGND VPWR VPWR _69193_/B sky130_fd_sc_hd__nor3_2
+X_81467_ _81468_/CLK _72270_/Y VGND VGND VPWR VPWR _57354_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_573_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_440_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_401_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40400_ _40400_/A _40405_/B VGND VGND VPWR VPWR _40400_/X sky130_fd_sc_hd__or2_2
+XFILLER_585_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71220_ _40393_/A VGND VGND VPWR VPWR _42903_/A sky130_fd_sc_hd__buf_1
+XFILLER_718_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_518_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80418_ _80414_/CLK _80418_/D VGND VGND VPWR VPWR _80418_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_24105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56154_ _56118_/A VGND VGND VPWR VPWR _56179_/A sky130_fd_sc_hd__buf_1
+XFILLER_220_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_475_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41380_ _41618_/A _41389_/B VGND VGND VPWR VPWR _41382_/A sky130_fd_sc_hd__or2_2
+XFILLER_694_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53366_ _53366_/A _53366_/B VGND VGND VPWR VPWR _77305_/D sky130_fd_sc_hd__nand2_2
+XFILLER_358_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50578_ _61723_/A _50578_/B VGND VGND VPWR VPWR _50578_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_384_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81398_ _81398_/CLK _72561_/Y VGND VGND VPWR VPWR _81398_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_52_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_473_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55105_ _70404_/A _55105_/B VGND VGND VPWR VPWR _55106_/B sky130_fd_sc_hd__or2_2
+XPHY_33927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40331_ _40331_/A _40331_/B VGND VGND VPWR VPWR _40331_/X sky130_fd_sc_hd__or2_2
+XFILLER_143_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52317_ _52316_/X _52332_/B VGND VGND VPWR VPWR _52318_/B sky130_fd_sc_hd__or2_2
+XFILLER_560_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_456_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_456_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71151_ _71145_/X _71151_/B _71148_/Y _71151_/D VGND VGND VPWR VPWR _71151_/X sky130_fd_sc_hd__or4_2
+XFILLER_716_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_259_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56085_ _56085_/A VGND VGND VPWR VPWR _56086_/B sky130_fd_sc_hd__buf_1
+X_80349_ _80393_/CLK _38551_/Y VGND VGND VPWR VPWR _66729_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_23415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53297_ _53297_/A _53296_/X VGND VGND VPWR VPWR _53297_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_108_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_256_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_544_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_194_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_554_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_671_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70102_ _69971_/X VGND VGND VPWR VPWR _70102_/X sky130_fd_sc_hd__buf_1
+XFILLER_614_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_375_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43050_ _43044_/X _43049_/Y VGND VGND VPWR VPWR _43050_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_504_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59913_ _59913_/A _59757_/B VGND VGND VPWR VPWR _59913_/Y sky130_fd_sc_hd__nor2_2
+X_55036_ _54980_/A _55030_/B VGND VGND VPWR VPWR _55037_/B sky130_fd_sc_hd__or2_2
+XFILLER_159_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40262_ _40142_/A _40261_/X VGND VGND VPWR VPWR _40262_/X sky130_fd_sc_hd__or2_2
+XFILLER_136_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52248_ _52192_/A _52222_/X VGND VGND VPWR VPWR _52248_/X sky130_fd_sc_hd__or2_2
+XFILLER_688_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71082_ _71082_/A VGND VGND VPWR VPWR _71083_/A sky130_fd_sc_hd__buf_1
+XFILLER_430_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_159_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_688_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_205_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_427_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42001_ _42000_/Y _42016_/B VGND VGND VPWR VPWR _42002_/B sky130_fd_sc_hd__nor2_2
+XFILLER_137_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_151_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_532_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74910_ _75391_/A _74910_/B VGND VGND VPWR VPWR _74910_/X sky130_fd_sc_hd__or2_2
+X_70033_ _70033_/A VGND VGND VPWR VPWR _70034_/A sky130_fd_sc_hd__buf_1
+XPHY_12224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59844_ _77105_/Q _59844_/B VGND VGND VPWR VPWR _59845_/C sky130_fd_sc_hd__nor2_2
+XFILLER_191_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40193_ _40191_/X _40192_/Y VGND VGND VPWR VPWR _79934_/D sky130_fd_sc_hd__nand2_2
+XFILLER_351_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52179_ _60829_/A _52184_/B VGND VGND VPWR VPWR _52183_/A sky130_fd_sc_hd__nand2_2
+XFILLER_254_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75890_ _75886_/X _75889_/Y VGND VGND VPWR VPWR _75890_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_81_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74841_ _74856_/A _74841_/B _68861_/A VGND VGND VPWR VPWR _74841_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_439_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59775_ _59611_/A _59775_/B _59775_/C VGND VGND VPWR VPWR _59776_/B sky130_fd_sc_hd__nor3_2
+XFILLER_300_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56987_ _57150_/A _56985_/Y _56987_/C VGND VGND VPWR VPWR _56987_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_532_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_688_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_133_1503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_215_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46740_ _43028_/B _46744_/A VGND VGND VPWR VPWR _46741_/C sky130_fd_sc_hd__nor2_2
+XPHY_10833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_443_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58726_ _58726_/A _58793_/B VGND VGND VPWR VPWR _58726_/Y sky130_fd_sc_hd__nor2_2
+XPHY_11578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_2230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_270_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77560_ _77558_/CLK _52390_/Y VGND VGND VPWR VPWR _60960_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_438_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_673_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43952_ _43959_/A _43952_/B _43951_/Y VGND VGND VPWR VPWR _43952_/Y sky130_fd_sc_hd__nor3_2
+X_55938_ _56209_/B VGND VGND VPWR VPWR _56412_/A sky130_fd_sc_hd__buf_1
+X_74772_ _74776_/A _74767_/B _80857_/Q VGND VGND VPWR VPWR _74772_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_584_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_466_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71984_ _71984_/A _69563_/A VGND VGND VPWR VPWR _71984_/X sky130_fd_sc_hd__and2_2
+XFILLER_250_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_369_2662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_330_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_387_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76511_ VGND VGND VPWR VPWR _76511_/HI la_data_out[3] sky130_fd_sc_hd__conb_1
+XFILLER_647_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42903_ _42903_/A _42908_/B VGND VGND VPWR VPWR _42903_/X sky130_fd_sc_hd__or2_2
+XFILLER_654_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73723_ _73537_/A VGND VGND VPWR VPWR _73741_/A sky130_fd_sc_hd__buf_1
+XFILLER_426_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46671_ _46681_/A _46671_/B _46670_/Y VGND VGND VPWR VPWR _46672_/C sky130_fd_sc_hd__nor3_2
+XFILLER_130_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58657_ _56271_/X _58655_/Y _58657_/C VGND VGND VPWR VPWR _58661_/B sky130_fd_sc_hd__nor3_2
+X_70935_ _70932_/Y _70935_/B VGND VGND VPWR VPWR _70936_/B sky130_fd_sc_hd__nor2_2
+XFILLER_428_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77491_ _77515_/CLK _52650_/Y VGND VGND VPWR VPWR _77491_/Q sky130_fd_sc_hd__dfxtp_4
+X_43883_ _43883_/A _43883_/B VGND VGND VPWR VPWR _43883_/X sky130_fd_sc_hd__and2_2
+XPHY_6130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55869_ _55865_/A _43516_/B VGND VGND VPWR VPWR _55870_/B sky130_fd_sc_hd__nor2_2
+XFILLER_625_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_287_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_267_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_3073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_621_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48410_ _48410_/A _48400_/B VGND VGND VPWR VPWR _48412_/A sky130_fd_sc_hd__nand2_2
+XPHY_6152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79230_ _81251_/CLK _44462_/Y VGND VGND VPWR VPWR _79230_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_4_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45622_ _45622_/A _45615_/B VGND VGND VPWR VPWR _45624_/B sky130_fd_sc_hd__nor2_2
+XFILLER_428_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_264_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57608_ _57450_/X _57608_/B _57607_/Y VGND VGND VPWR VPWR _57608_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_660_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76442_ VGND VGND VPWR VPWR _76442_/HI io_oeb[2] sky130_fd_sc_hd__conb_1
+XFILLER_131_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42834_ _41799_/Y _41980_/A VGND VGND VPWR VPWR _42835_/B sky130_fd_sc_hd__or2_2
+XFILLER_76_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49390_ _78351_/Q _49396_/B VGND VGND VPWR VPWR _49392_/A sky130_fd_sc_hd__nand2_2
+XFILLER_642_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73654_ _73649_/X _73654_/B VGND VGND VPWR VPWR _73654_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_185_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58588_ _69116_/A _58437_/B VGND VGND VPWR VPWR _58588_/Y sky130_fd_sc_hd__nor2_2
+X_70866_ _70865_/X VGND VGND VPWR VPWR _71552_/A sky130_fd_sc_hd__buf_1
+XFILLER_248_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_312_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_428_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_670_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72605_ _72678_/A VGND VGND VPWR VPWR _72609_/A sky130_fd_sc_hd__buf_1
+XFILLER_365_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48341_ _48338_/Y _48341_/B VGND VGND VPWR VPWR _78620_/D sky130_fd_sc_hd__nand2_2
+XPHY_5462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79161_ _78317_/CLK _45354_/Y VGND VGND VPWR VPWR _70793_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_440_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57539_ _57539_/A _57539_/B VGND VGND VPWR VPWR _57539_/Y sky130_fd_sc_hd__nor2_2
+X_45553_ _42506_/A _45541_/B VGND VGND VPWR VPWR _45553_/Y sky130_fd_sc_hd__nor2_2
+X_76373_ _76254_/A _76373_/B VGND VGND VPWR VPWR _76373_/X sky130_fd_sc_hd__or2_2
+XPHY_5473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42765_ _65204_/A _42741_/X VGND VGND VPWR VPWR _42768_/B sky130_fd_sc_hd__nor2_2
+XFILLER_480_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_91_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73585_ _73530_/A VGND VGND VPWR VPWR _73597_/B sky130_fd_sc_hd__buf_1
+XFILLER_363_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70797_ _76645_/Q _69951_/A VGND VGND VPWR VPWR _70799_/A sky130_fd_sc_hd__nand2_2
+XFILLER_595_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78112_ _78589_/CLK _78112_/D VGND VGND VPWR VPWR _78112_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_33_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44504_ _44536_/A _44502_/Y _44504_/C VGND VGND VPWR VPWR _44504_/Y sky130_fd_sc_hd__nor3_2
+XPHY_19886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75324_ _75322_/X _75324_/B VGND VGND VPWR VPWR _75324_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_18_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41716_ _41700_/X VGND VGND VPWR VPWR _41733_/A sky130_fd_sc_hd__buf_1
+XPHY_37254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48272_ _48214_/A _48281_/B VGND VGND VPWR VPWR _48273_/B sky130_fd_sc_hd__or2_2
+X_60550_ _60550_/A _60550_/B _60550_/C VGND VGND VPWR VPWR _60550_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72536_ _72536_/A _72536_/B VGND VGND VPWR VPWR _81405_/D sky130_fd_sc_hd__nand2_2
+XPHY_19897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79092_ _76798_/CLK _45827_/Y VGND VGND VPWR VPWR _79092_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_226_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_166_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45484_ _42087_/A _45489_/B VGND VGND VPWR VPWR _45484_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42696_ _66208_/A _42664_/B VGND VGND VPWR VPWR _42701_/A sky130_fd_sc_hd__nor2_2
+XFILLER_398_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_324_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47223_ _46448_/B _47223_/B VGND VGND VPWR VPWR _47224_/B sky130_fd_sc_hd__nor2_2
+XFILLER_226_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59209_ _59421_/A VGND VGND VPWR VPWR _59210_/B sky130_fd_sc_hd__buf_1
+XFILLER_38_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78043_ _78044_/CLK _78043_/D VGND VGND VPWR VPWR _62659_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44435_ _44433_/A _44443_/A VGND VGND VPWR VPWR _44436_/C sky130_fd_sc_hd__nor2_2
+XFILLER_594_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75255_ _75253_/X _75255_/B VGND VGND VPWR VPWR _75255_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_478_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41647_ _41647_/A _41647_/B _79066_/Q _45934_/A VGND VGND VPWR VPWR _41647_/X sky130_fd_sc_hd__or4_2
+XFILLER_207_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60481_ _60481_/A _59987_/B VGND VGND VPWR VPWR _60481_/Y sky130_fd_sc_hd__nor2_2
+X_72467_ _72467_/A VGND VGND VPWR VPWR _72584_/B sky130_fd_sc_hd__buf_1
+XFILLER_324_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_53_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62220_ _78232_/Q _61753_/X VGND VGND VPWR VPWR _62222_/B sky130_fd_sc_hd__nor2_2
+XFILLER_31_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74206_ _74168_/A VGND VGND VPWR VPWR _74207_/A sky130_fd_sc_hd__buf_1
+XFILLER_277_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_109_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_717_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_548_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47154_ _47122_/X VGND VGND VPWR VPWR _47159_/A sky130_fd_sc_hd__inv_8
+X_71418_ _70905_/Y _71418_/B VGND VGND VPWR VPWR _71418_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_158_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44366_ _44366_/A _44365_/X VGND VGND VPWR VPWR _44540_/A sky130_fd_sc_hd__or2_4
+XFILLER_302_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75186_ _75186_/A VGND VGND VPWR VPWR _75201_/B sky130_fd_sc_hd__buf_1
+XFILLER_502_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41578_ _41578_/A _41577_/Y VGND VGND VPWR VPWR _79582_/D sky130_fd_sc_hd__nand2_2
+XPHY_35874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72398_ _72417_/A VGND VGND VPWR VPWR _72412_/B sky130_fd_sc_hd__buf_1
+XFILLER_536_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_439_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_16_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_376_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_277_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46105_ _46105_/A _46105_/B VGND VGND VPWR VPWR _46133_/A sky130_fd_sc_hd__nor2_2
+XPHY_35896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43317_ _42955_/A _43313_/X VGND VGND VPWR VPWR _43320_/A sky130_fd_sc_hd__or2_2
+XFILLER_632_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62151_ _61993_/X _62151_/B _62150_/Y VGND VGND VPWR VPWR _62151_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_125_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74137_ _74137_/A _74137_/B _81010_/Q VGND VGND VPWR VPWR _74137_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_400_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40529_ _40891_/A _40526_/B VGND VGND VPWR VPWR _40531_/A sky130_fd_sc_hd__or2_2
+XFILLER_319_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47085_ _46863_/A _47085_/B VGND VGND VPWR VPWR _47089_/A sky130_fd_sc_hd__or2_2
+XFILLER_536_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71349_ _71510_/A VGND VGND VPWR VPWR _71434_/A sky130_fd_sc_hd__buf_1
+XFILLER_632_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44297_ _44036_/B _44288_/X VGND VGND VPWR VPWR _44298_/B sky130_fd_sc_hd__and2_2
+XPHY_24650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79994_ _80121_/CLK _39969_/Y VGND VGND VPWR VPWR _79994_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_335_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_139_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_714_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_534_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61102_ _59513_/A VGND VGND VPWR VPWR _61109_/A sky130_fd_sc_hd__buf_1
+XPHY_24672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_533_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46036_ _46036_/A VGND VGND VPWR VPWR _47072_/B sky130_fd_sc_hd__buf_1
+XFILLER_678_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62082_ _78143_/Q _62235_/B VGND VGND VPWR VPWR _62082_/Y sky130_fd_sc_hd__nor2_2
+X_43248_ _42999_/A _43248_/B _69491_/A VGND VGND VPWR VPWR _43248_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_318_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74068_ _74065_/X _74068_/B VGND VGND VPWR VPWR _81024_/D sky130_fd_sc_hd__nand2_2
+XFILLER_31_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78945_ _78951_/CLK _46821_/Y VGND VGND VPWR VPWR _46176_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_86_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_127_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_484_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_275_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_236_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_288_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65910_ _76430_/C _65910_/B VGND VGND VPWR VPWR _65911_/C sky130_fd_sc_hd__nor2_2
+XPHY_23982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61033_ _60563_/A _61028_/Y _61033_/C VGND VGND VPWR VPWR _61041_/B sky130_fd_sc_hd__nor3_2
+X_73019_ _73005_/A _73010_/B _81286_/Q VGND VGND VPWR VPWR _73022_/A sky130_fd_sc_hd__nand3_2
+XFILLER_64_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43179_ _43179_/A VGND VGND VPWR VPWR _46170_/B sky130_fd_sc_hd__inv_8
+XFILLER_155_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66890_ _66890_/A _66890_/B VGND VGND VPWR VPWR _66890_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_589_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78876_ _78988_/Q _69558_/X _47228_/X VGND VGND VPWR VPWR _46616_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_218_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_233_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_708_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_370_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65841_ _64367_/X VGND VGND VPWR VPWR _66345_/B sky130_fd_sc_hd__buf_1
+XFILLER_64_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77827_ _77755_/CLK _51376_/Y VGND VGND VPWR VPWR _61498_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_116_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_231_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47987_ _78706_/Q _47977_/X VGND VGND VPWR VPWR _47987_/Y sky130_fd_sc_hd__nand2_2
+XPHY_12780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_665_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_206_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_214_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68560_ _68560_/A _68560_/B VGND VGND VPWR VPWR _68561_/B sky130_fd_sc_hd__nor2_2
+XPHY_30170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49726_ _78263_/Q _49732_/B VGND VGND VPWR VPWR _49728_/A sky130_fd_sc_hd__nand2_2
+XFILLER_151_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_487_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46938_ _46920_/X _71487_/B _71414_/B _46937_/X VGND VGND VPWR VPWR _46938_/X sky130_fd_sc_hd__o22a_4
+X_65772_ _65445_/A _65770_/Y _65771_/Y VGND VGND VPWR VPWR _65772_/Y sky130_fd_sc_hd__nor3_2
+X_77758_ _77741_/CLK _77758_/D VGND VGND VPWR VPWR _60694_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_60_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62984_ _78613_/Q _62984_/B VGND VGND VPWR VPWR _62985_/C sky130_fd_sc_hd__nor2_2
+Xclkbuf_7_87_0_u_core.clock clkbuf_7_87_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_87_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_387_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_267_150 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_708_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_228_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_190_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67511_ _67493_/Y _67511_/B VGND VGND VPWR VPWR _67512_/B sky130_fd_sc_hd__nor2_2
+XFILLER_633_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_401_0_u_core.clock clkbuf_9_401_0_u_core.clock/A VGND VGND VPWR VPWR _81468_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_64723_ _80465_/Q _65406_/B VGND VGND VPWR VPWR _64725_/B sky130_fd_sc_hd__nor2_2
+XFILLER_445_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_7_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76709_ _76651_/CLK _76709_/D VGND VGND VPWR VPWR _70221_/A sky130_fd_sc_hd__dfxtp_4
+X_61935_ _62404_/A _61935_/B _61934_/Y VGND VGND VPWR VPWR _61936_/C sky130_fd_sc_hd__nor3_2
+X_49657_ _49690_/A _49673_/B VGND VGND VPWR VPWR _49658_/B sky130_fd_sc_hd__or2_2
+X_68491_ _68321_/X _68491_/B _68491_/C VGND VGND VPWR VPWR _68492_/C sky130_fd_sc_hd__nor3_2
+XFILLER_567_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46869_ _46036_/A VGND VGND VPWR VPWR _46870_/A sky130_fd_sc_hd__buf_1
+XFILLER_231_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77689_ _77689_/CLK _51903_/Y VGND VGND VPWR VPWR _61137_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_23_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_68_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39410_ _39420_/A _39413_/B _80134_/Q VGND VGND VPWR VPWR _39410_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_110_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48608_ _47268_/A _48578_/B VGND VGND VPWR VPWR _54368_/A sky130_fd_sc_hd__or2_2
+XFILLER_110_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_545_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67442_ _57845_/A _67780_/B VGND VGND VPWR VPWR _67443_/C sky130_fd_sc_hd__nor2_2
+XFILLER_444_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79428_ _79425_/CLK _42943_/Y VGND VGND VPWR VPWR _67145_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_381_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64654_ _64654_/A _64190_/B VGND VGND VPWR VPWR _64655_/C sky130_fd_sc_hd__nor2_2
+XFILLER_283_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_129_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61866_ _61866_/A _61709_/X VGND VGND VPWR VPWR _61866_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_626_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49588_ _49585_/X VGND VGND VPWR VPWR _49610_/B sky130_fd_sc_hd__buf_1
+XFILLER_503_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_481_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_509_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_602_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39341_ _39213_/A _39340_/X VGND VGND VPWR VPWR _39343_/A sky130_fd_sc_hd__or2_2
+X_63605_ _59181_/A _63604_/Y VGND VGND VPWR VPWR _63606_/C sky130_fd_sc_hd__nor2_2
+XFILLER_342_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60817_ _61598_/A VGND VGND VPWR VPWR _60818_/B sky130_fd_sc_hd__buf_1
+XFILLER_381_3095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48539_ _48478_/X _48533_/B VGND VGND VPWR VPWR _48540_/B sky130_fd_sc_hd__or2_2
+XFILLER_209_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67373_ _66550_/A VGND VGND VPWR VPWR _67873_/B sky130_fd_sc_hd__buf_1
+XFILLER_446_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79359_ _79342_/CLK _79359_/D VGND VGND VPWR VPWR _43402_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_188_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_706_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64585_ _69330_/A _64585_/B _64584_/Y VGND VGND VPWR VPWR _64585_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_286_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61797_ _59423_/A VGND VGND VPWR VPWR _62111_/B sky130_fd_sc_hd__buf_1
+XFILLER_329_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69112_ _69112_/A _68810_/X VGND VGND VPWR VPWR _69112_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_225_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66324_ _57285_/A _65661_/X VGND VGND VPWR VPWR _66325_/C sky130_fd_sc_hd__nor2_2
+XFILLER_364_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39272_ _39271_/X VGND VGND VPWR VPWR _39288_/A sky130_fd_sc_hd__buf_1
+X_51550_ _51548_/Y _51549_/X VGND VGND VPWR VPWR _51550_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_58_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_585_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63536_ _63228_/A _63536_/B _63535_/Y VGND VGND VPWR VPWR _63536_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_596_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_243_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60748_ _60122_/A _60746_/Y _60748_/C VGND VGND VPWR VPWR _60752_/B sky130_fd_sc_hd__nor3_2
+XFILLER_260_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_698_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_112_0_u_core.clock clkbuf_6_56_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_112_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_149_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_397_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_172_0_u_core.clock clkbuf_7_86_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_345_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_601_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_577_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38223_ _38221_/X _38223_/B VGND VGND VPWR VPWR _80431_/D sky130_fd_sc_hd__nand2_2
+XFILLER_385_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50501_ _50498_/Y _50500_/X VGND VGND VPWR VPWR _78058_/D sky130_fd_sc_hd__nand2_2
+X_69043_ _69043_/A _69461_/B VGND VGND VPWR VPWR _69043_/Y sky130_fd_sc_hd__nor2_2
+X_81321_ _81301_/CLK _81321_/D VGND VGND VPWR VPWR _81321_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_260_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66255_ _65598_/A _66252_/Y _66255_/C VGND VGND VPWR VPWR _66255_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_279_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63467_ _63467_/A VGND VGND VPWR VPWR _63613_/A sky130_fd_sc_hd__buf_1
+X_51481_ _77801_/Q _51477_/B VGND VGND VPWR VPWR _51483_/A sky130_fd_sc_hd__nand2_2
+XFILLER_71_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_303_1617 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_716_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_320_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60679_ _52144_/A _60830_/B VGND VGND VPWR VPWR _60680_/C sky130_fd_sc_hd__nor2_2
+XFILLER_366_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53220_ _53220_/A _53219_/X VGND VGND VPWR VPWR _77341_/D sky130_fd_sc_hd__nand2_2
+X_65206_ _44360_/A _65872_/B VGND VGND VPWR VPWR _65211_/A sky130_fd_sc_hd__nor2_2
+XFILLER_221_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_617_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50432_ _50432_/A _50431_/X VGND VGND VPWR VPWR _50432_/Y sky130_fd_sc_hd__nand2_2
+X_62418_ _59756_/A VGND VGND VPWR VPWR _62426_/A sky130_fd_sc_hd__buf_1
+XFILLER_177_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81252_ _81251_/CLK _81252_/D VGND VGND VPWR VPWR _67921_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_32_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_119_0_u_core.clock clkbuf_7_59_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_239_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_71_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66186_ _66674_/A _66186_/B _66186_/C VGND VGND VPWR VPWR _66190_/B sky130_fd_sc_hd__nor3_2
+XFILLER_377_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_492_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63398_ _78456_/Q _63550_/B VGND VGND VPWR VPWR _63398_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_533_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_179_0_u_core.clock clkbuf_8_89_0_u_core.clock/X VGND VGND VPWR VPWR _76841_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_574_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_618_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80203_ _80200_/CLK _80203_/D VGND VGND VPWR VPWR _69130_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_381_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_527_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53151_ _53316_/A VGND VGND VPWR VPWR _54970_/A sky130_fd_sc_hd__buf_1
+XFILLER_713_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65137_ _64488_/A VGND VGND VPWR VPWR _65137_/X sky130_fd_sc_hd__buf_1
+XFILLER_418_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50363_ _50363_/A _50363_/B VGND VGND VPWR VPWR _78094_/D sky130_fd_sc_hd__nand2_2
+XFILLER_14_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62349_ _62349_/A _61734_/B VGND VGND VPWR VPWR _62350_/C sky130_fd_sc_hd__nor2_2
+XFILLER_542_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81183_ _81190_/CLK _81183_/D VGND VGND VPWR VPWR _81183_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_320_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_67_0_u_core.clock clkbuf_8_66_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_67_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_176_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_30_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_527_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_178_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_375_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_535_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_277_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_69_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52102_ _61300_/A _52102_/B VGND VGND VPWR VPWR _52105_/A sky130_fd_sc_hd__nand2_2
+XFILLER_392_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80134_ _80163_/CLK _80134_/D VGND VGND VPWR VPWR _80134_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53082_ _61564_/A _53081_/X VGND VGND VPWR VPWR _53082_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_156_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69945_ _69945_/A VGND VGND VPWR VPWR _70123_/B sky130_fd_sc_hd__buf_1
+X_65068_ _65046_/Y _65068_/B VGND VGND VPWR VPWR _65069_/B sky130_fd_sc_hd__nor2_2
+XFILLER_611_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_277_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50294_ _50256_/A _50293_/X VGND VGND VPWR VPWR _50294_/X sky130_fd_sc_hd__or2_2
+XFILLER_238_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52033_ _77653_/Q _52025_/B VGND VGND VPWR VPWR _52033_/Y sky130_fd_sc_hd__nand2_2
+X_56910_ _65676_/A _56740_/X VGND VGND VPWR VPWR _56910_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_314_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_615_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64019_ _48260_/A _63757_/B VGND VGND VPWR VPWR _64020_/C sky130_fd_sc_hd__nor2_2
+XPHY_21309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_258_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_216_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80065_ _80094_/CLK _39669_/Y VGND VGND VPWR VPWR _67495_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_279_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57890_ _80226_/Q _57737_/X VGND VGND VPWR VPWR _57892_/B sky130_fd_sc_hd__nor2_2
+XFILLER_388_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_156_1525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69876_ _69875_/X VGND VGND VPWR VPWR _71305_/B sky130_fd_sc_hd__buf_1
+XPHY_9728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_650_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_47_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56841_ _65522_/A _57076_/B VGND VGND VPWR VPWR _56842_/C sky130_fd_sc_hd__nor2_2
+XFILLER_494_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68827_ _80169_/Q _68827_/B VGND VGND VPWR VPWR _68828_/C sky130_fd_sc_hd__nor2_2
+XPHY_20619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_568_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_271_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38987_ _38983_/X _38986_/Y VGND VGND VPWR VPWR _38987_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_271_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_134_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_116_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_263_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59560_ _53967_/A _59403_/B VGND VGND VPWR VPWR _59560_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_59_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_655_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56772_ _38841_/C _56772_/B VGND VGND VPWR VPWR _56773_/C sky130_fd_sc_hd__nor2_2
+XFILLER_259_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68758_ _68758_/A _68752_/Y _68758_/C VGND VGND VPWR VPWR _68759_/C sky130_fd_sc_hd__nor3_2
+XFILLER_101_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53984_ _53984_/A _53984_/B VGND VGND VPWR VPWR _77139_/D sky130_fd_sc_hd__nand2_2
+XFILLER_76_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_214_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_86_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58511_ _68966_/A _58585_/B VGND VGND VPWR VPWR _58512_/C sky130_fd_sc_hd__nor2_2
+XFILLER_82_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_447_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55723_ _45003_/Y _55705_/B VGND VGND VPWR VPWR _55723_/Y sky130_fd_sc_hd__nor2_2
+X_67709_ _80835_/Q _67709_/B VGND VGND VPWR VPWR _67712_/B sky130_fd_sc_hd__nor2_2
+XFILLER_349_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52935_ _52932_/Y _52934_/X VGND VGND VPWR VPWR _52935_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_330_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_726_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_486_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59491_ _59491_/A _59491_/B _59490_/Y VGND VGND VPWR VPWR _59492_/C sky130_fd_sc_hd__nor3_2
+XFILLER_99_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_629_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_609_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68689_ _68528_/A _68687_/Y _68689_/C VGND VGND VPWR VPWR _68689_/Y sky130_fd_sc_hd__nor3_2
+X_80967_ _80911_/CLK _74309_/Y VGND VGND VPWR VPWR _80967_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_505_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_386_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_150_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39608_ _39608_/A _39501_/B _80080_/Q VGND VGND VPWR VPWR _39608_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_683_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58442_ _68812_/A _58357_/B VGND VGND VPWR VPWR _58444_/B sky130_fd_sc_hd__nor2_2
+XFILLER_41_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70720_ _70720_/A _70061_/A VGND VGND VPWR VPWR _70720_/X sky130_fd_sc_hd__or2_2
+XFILLER_566_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55654_ _71926_/A _55248_/B VGND VGND VPWR VPWR _55665_/A sky130_fd_sc_hd__nor2_2
+XPHY_19105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_347_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_100_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40880_ _40880_/A VGND VGND VPWR VPWR _40881_/B sky130_fd_sc_hd__buf_1
+XFILLER_544_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52866_ _60749_/A _52866_/B VGND VGND VPWR VPWR _52868_/A sky130_fd_sc_hd__nand2_2
+XFILLER_6_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80898_ _80902_/CLK _80898_/D VGND VGND VPWR VPWR _74593_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_28905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_696_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_92_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54605_ _54603_/Y _54604_/X VGND VGND VPWR VPWR _54605_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_540_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51817_ _77710_/Q _51817_/B VGND VGND VPWR VPWR _51817_/Y sky130_fd_sc_hd__nand2_2
+X_39539_ _39156_/A _39542_/B VGND VGND VPWR VPWR _39539_/X sky130_fd_sc_hd__or2_2
+XFILLER_382_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_47_0_u_core.clock clkbuf_9_47_0_u_core.clock/A VGND VGND VPWR VPWR _79411_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_642_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_622_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_603_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58373_ _58373_/A _58373_/B _58373_/C VGND VGND VPWR VPWR _58377_/B sky130_fd_sc_hd__nor3_2
+XPHY_19149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70651_ _70499_/Y _70651_/B _70651_/C VGND VGND VPWR VPWR _70865_/B sky130_fd_sc_hd__nor3_2
+XFILLER_605_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_527_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_73_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55585_ _55469_/A _55584_/X VGND VGND VPWR VPWR _55587_/A sky130_fd_sc_hd__or2_2
+XFILLER_416_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52797_ _77451_/Q _52804_/B VGND VGND VPWR VPWR _52797_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_215_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_600_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_505_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_579_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57324_ _57561_/A _57321_/Y _57323_/Y VGND VGND VPWR VPWR _57324_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_93_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42550_ _42550_/A _42572_/B VGND VGND VPWR VPWR _42561_/B sky130_fd_sc_hd__nor2_2
+X_54536_ _54533_/Y _54535_/X VGND VGND VPWR VPWR _54536_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_525_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73370_ _73370_/A _73369_/X VGND VGND VPWR VPWR _73370_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_54_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_42 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51748_ _61286_/A _51752_/B VGND VGND VPWR VPWR _51751_/A sky130_fd_sc_hd__nand2_2
+XPHY_17714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70582_ _70582_/A _69764_/X _70095_/C VGND VGND VPWR VPWR _70583_/C sky130_fd_sc_hd__nor3_2
+XFILLER_403_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_395_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_53 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_64 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_560_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41501_ _41501_/A _41500_/Y VGND VGND VPWR VPWR _41501_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_677_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72321_ _72319_/X _72321_/B VGND VGND VPWR VPWR _72321_/Y sky130_fd_sc_hd__nand2_2
+XPHY_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57255_ _56855_/A VGND VGND VPWR VPWR _57568_/B sky130_fd_sc_hd__buf_1
+XPHY_2633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42481_ _42476_/X _42479_/X _70031_/B _42480_/X VGND VGND VPWR VPWR _42481_/X sky130_fd_sc_hd__o22a_4
+X_54467_ _54467_/A _54479_/B VGND VGND VPWR VPWR _54468_/B sky130_fd_sc_hd__or2_2
+XFILLER_342_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_208_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51679_ _51557_/X _51700_/B VGND VGND VPWR VPWR _51680_/B sky130_fd_sc_hd__or2_2
+XPHY_97 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_302_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_297_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_620_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44220_ _44220_/A _44220_/B _44219_/X VGND VGND VPWR VPWR _44220_/Y sky130_fd_sc_hd__nor3_2
+XPHY_2666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56206_ _56205_/X VGND VGND VPWR VPWR _56600_/A sky130_fd_sc_hd__buf_1
+XFILLER_87_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75040_ _75040_/A _75039_/X VGND VGND VPWR VPWR _75040_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_475_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41432_ _41430_/X _41432_/B VGND VGND VPWR VPWR _79621_/D sky130_fd_sc_hd__nand2_2
+XFILLER_211_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_677_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53418_ _53448_/A _53421_/B VGND VGND VPWR VPWR _53418_/X sky130_fd_sc_hd__or2_2
+XFILLER_35_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72252_ _72263_/A _72263_/B _57587_/A VGND VGND VPWR VPWR _72252_/Y sky130_fd_sc_hd__nand3_2
+XPHY_2677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_178_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57186_ _57027_/A _57186_/B _57186_/C VGND VGND VPWR VPWR _57190_/B sky130_fd_sc_hd__nor3_2
+XFILLER_204_1537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_3064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54398_ _54483_/A _54398_/B VGND VGND VPWR VPWR _54399_/B sky130_fd_sc_hd__or2_2
+XFILLER_10_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_651_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71203_ _71320_/A VGND VGND VPWR VPWR _71471_/A sky130_fd_sc_hd__buf_1
+XFILLER_655_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44151_ _67694_/A _44050_/X VGND VGND VPWR VPWR _44152_/B sky130_fd_sc_hd__and2_2
+XFILLER_100_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56137_ _56129_/A _56137_/B VGND VGND VPWR VPWR _56138_/B sky130_fd_sc_hd__nor2_2
+XFILLER_655_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_48_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_506_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53349_ _53463_/A _53312_/A VGND VGND VPWR VPWR _53350_/B sky130_fd_sc_hd__or2_2
+X_41363_ _41111_/X _41376_/B VGND VGND VPWR VPWR _41365_/A sky130_fd_sc_hd__or2_2
+XFILLER_221_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72183_ _72268_/A VGND VGND VPWR VPWR _72183_/X sky130_fd_sc_hd__buf_1
+XPHY_1987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_722_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43102_ _43092_/X _43102_/B VGND VGND VPWR VPWR _43102_/X sky130_fd_sc_hd__or2_2
+XFILLER_193_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40314_ _40314_/A _40322_/B _57516_/A VGND VGND VPWR VPWR _40314_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_383_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_237_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_456_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71134_ _69865_/X _71114_/X _69884_/X _42518_/B VGND VGND VPWR VPWR _71135_/A sky130_fd_sc_hd__o22a_4
+XFILLER_706_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44082_ _44066_/A _44082_/B _44082_/C VGND VGND VPWR VPWR _44083_/C sky130_fd_sc_hd__nor3_2
+XPHY_23245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56068_ _56081_/A _56068_/B _56067_/Y VGND VGND VPWR VPWR _56069_/C sky130_fd_sc_hd__nor3_2
+XFILLER_560_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41294_ _41294_/A _41291_/B VGND VGND VPWR VPWR _41294_/X sky130_fd_sc_hd__or2_2
+XFILLER_178_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76991_ _76947_/CLK _54544_/Y VGND VGND VPWR VPWR _76991_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_291_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_157_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_174_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47910_ _47851_/A _47910_/B VGND VGND VPWR VPWR _47911_/B sky130_fd_sc_hd__or2_2
+XFILLER_498_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43033_ _79501_/Q _43033_/B VGND VGND VPWR VPWR _43056_/A sky130_fd_sc_hd__nor2_2
+XFILLER_174_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55019_ _55019_/A _54935_/B VGND VGND VPWR VPWR _55022_/A sky130_fd_sc_hd__or2_2
+XPHY_12010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78730_ _78721_/CLK _78730_/D VGND VGND VPWR VPWR _63739_/A sky130_fd_sc_hd__dfxtp_4
+X_40245_ _40258_/A _40127_/X _64353_/A VGND VGND VPWR VPWR _40246_/B sky130_fd_sc_hd__nand3_2
+XFILLER_331_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_100_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75942_ _75941_/X _75828_/X _80560_/Q VGND VGND VPWR VPWR _75943_/B sky130_fd_sc_hd__nand3_2
+XPHY_23289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71065_ _71065_/A _71148_/B VGND VGND VPWR VPWR _71069_/C sky130_fd_sc_hd__nor2_2
+XFILLER_159_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_317_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48890_ _48890_/A VGND VGND VPWR VPWR _48891_/B sky130_fd_sc_hd__buf_1
+XFILLER_721_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_668_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_297_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_469_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_117_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70016_ _42789_/A _70016_/B VGND VGND VPWR VPWR _70016_/X sky130_fd_sc_hd__and2_2
+XPHY_21843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47841_ _78744_/Q _47823_/B VGND VGND VPWR VPWR _47841_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59827_ _59012_/A VGND VGND VPWR VPWR _59838_/A sky130_fd_sc_hd__buf_1
+XFILLER_29_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78661_ _78156_/CLK _48169_/Y VGND VGND VPWR VPWR _63026_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_340_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40176_ _40295_/A _40161_/X VGND VGND VPWR VPWR _40178_/A sky130_fd_sc_hd__or2_2
+XFILLER_336_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75873_ _75992_/A _75876_/B VGND VGND VPWR VPWR _75873_/X sky130_fd_sc_hd__or2_2
+XFILLER_512_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_434_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_671_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_215_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_510_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_313_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_150_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77612_ _77061_/CLK _77612_/D VGND VGND VPWR VPWR _52196_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_586_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74824_ _74834_/A VGND VGND VPWR VPWR _74930_/B sky130_fd_sc_hd__buf_1
+XFILLER_568_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47772_ _78761_/Q _47761_/B VGND VGND VPWR VPWR _47774_/A sky130_fd_sc_hd__nand2_2
+XFILLER_215_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_627_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59758_ _77344_/Q _59590_/X VGND VGND VPWR VPWR _59759_/C sky130_fd_sc_hd__nor2_2
+XPHY_10630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_485_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78592_ _78593_/CLK _78592_/D VGND VGND VPWR VPWR _63446_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44984_ _42799_/A VGND VGND VPWR VPWR _45366_/A sky130_fd_sc_hd__buf_1
+XFILLER_501_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_26_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49511_ _49813_/A VGND VGND VPWR VPWR _49632_/A sky130_fd_sc_hd__buf_1
+XFILLER_187_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_250_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_662_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46723_ _43042_/Y _46722_/Y VGND VGND VPWR VPWR _46723_/X sky130_fd_sc_hd__or2_2
+XFILLER_152_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58709_ _58709_/A _58709_/B _58708_/Y VGND VGND VPWR VPWR _58710_/C sky130_fd_sc_hd__nor3_2
+XFILLER_313_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_185_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_4_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77543_ _78535_/CLK _52450_/Y VGND VGND VPWR VPWR _52448_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43935_ _43935_/A VGND VGND VPWR VPWR _43949_/A sky130_fd_sc_hd__inv_8
+XFILLER_289_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74755_ _74755_/A VGND VGND VPWR VPWR _75373_/A sky130_fd_sc_hd__buf_1
+XFILLER_567_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59689_ _59074_/X VGND VGND VPWR VPWR _60332_/B sky130_fd_sc_hd__buf_1
+XFILLER_326_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71967_ _70765_/X _71724_/B VGND VGND VPWR VPWR _71968_/B sky130_fd_sc_hd__nor2_2
+XFILLER_545_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_482_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_59_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61720_ _61720_/A _61409_/B VGND VGND VPWR VPWR _61720_/Y sky130_fd_sc_hd__nor2_2
+X_73706_ _73652_/A VGND VGND VPWR VPWR _73720_/B sky130_fd_sc_hd__buf_1
+XFILLER_426_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_406_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49442_ _49440_/Y _49441_/X VGND VGND VPWR VPWR _49442_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_37_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46654_ _42405_/X VGND VGND VPWR VPWR _46654_/Y sky130_fd_sc_hd__inv_8
+X_70918_ _70850_/X VGND VGND VPWR VPWR _70918_/Y sky130_fd_sc_hd__inv_8
+XFILLER_237_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77474_ _77474_/CLK _77474_/D VGND VGND VPWR VPWR _61206_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_643_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43866_ _79287_/Q _43866_/B VGND VGND VPWR VPWR _43871_/B sky130_fd_sc_hd__nor2_2
+XFILLER_266_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74686_ _75318_/A _74685_/X VGND VGND VPWR VPWR _74688_/A sky130_fd_sc_hd__or2_2
+XFILLER_463_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_267_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_426_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71898_ _71892_/X _71898_/B _71895_/Y _71897_/Y VGND VGND VPWR VPWR _71898_/X sky130_fd_sc_hd__or4_2
+XFILLER_228_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_580_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79213_ _81206_/CLK _44595_/Y VGND VGND VPWR VPWR _79213_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_283_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_447_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_292_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45605_ _45599_/A _45603_/Y _45605_/C VGND VGND VPWR VPWR _79124_/D sky130_fd_sc_hd__nor3_2
+XFILLER_218_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76425_ _38307_/A _76418_/B VGND VGND VPWR VPWR _76427_/A sky130_fd_sc_hd__or2_2
+XFILLER_608_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_283_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42817_ _69831_/A _42817_/B _70829_/X VGND VGND VPWR VPWR _42817_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_482_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61651_ _61651_/A _61182_/B VGND VGND VPWR VPWR _61651_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_4_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49373_ _62901_/A _49372_/X VGND VGND VPWR VPWR _49373_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_703_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73637_ _73635_/X _73637_/B VGND VGND VPWR VPWR _73637_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_460_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_445_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_3076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_264_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_382_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46585_ _42858_/X _46585_/B VGND VGND VPWR VPWR _46589_/B sky130_fd_sc_hd__nor2_2
+XFILLER_59_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70849_ _70600_/X _70648_/A VGND VGND VPWR VPWR _70849_/X sky130_fd_sc_hd__or2_2
+XFILLER_463_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43797_ _43797_/A _43797_/B _43897_/C VGND VGND VPWR VPWR _43797_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_441_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60602_ _60289_/A _60602_/B _60602_/C VGND VGND VPWR VPWR _60602_/Y sky130_fd_sc_hd__nor3_2
+XPHY_5292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48324_ _47527_/A VGND VGND VPWR VPWR _48948_/A sky130_fd_sc_hd__buf_1
+XPHY_19672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_283_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79144_ _79119_/CLK _45525_/Y VGND VGND VPWR VPWR _42111_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_567_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45536_ _45545_/A _45536_/B _45535_/Y VGND VGND VPWR VPWR _79142_/D sky130_fd_sc_hd__nor3_2
+XPHY_37040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64370_ _65367_/A VGND VGND VPWR VPWR _64370_/X sky130_fd_sc_hd__buf_1
+X_76356_ _76353_/X _76356_/B VGND VGND VPWR VPWR _76356_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_425_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42748_ _42459_/A VGND VGND VPWR VPWR _42748_/X sky130_fd_sc_hd__buf_1
+X_61582_ _59087_/A VGND VGND VPWR VPWR _61670_/A sky130_fd_sc_hd__buf_1
+XFILLER_226_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73568_ _73556_/X _73568_/B _67076_/A VGND VGND VPWR VPWR _73569_/B sky130_fd_sc_hd__nand3_2
+XPHY_37051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_128_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_460 _59381_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_501_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_406_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_17_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_471 _64495_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_261_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63321_ _78703_/Q _63321_/B VGND VGND VPWR VPWR _63323_/B sky130_fd_sc_hd__nor2_2
+XPHY_18971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75307_ _75413_/B VGND VGND VPWR VPWR _75323_/B sky130_fd_sc_hd__buf_1
+XFILLER_261_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_482 _67551_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_441_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_296_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48255_ _48284_/A _48229_/X VGND VGND VPWR VPWR _48256_/B sky130_fd_sc_hd__or2_2
+X_60533_ _60371_/A _60533_/B _60532_/Y VGND VGND VPWR VPWR _60533_/Y sky130_fd_sc_hd__nor3_2
+X_72519_ _72555_/A VGND VGND VPWR VPWR _72531_/B sky130_fd_sc_hd__buf_1
+XPHY_18982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79075_ _79095_/CLK _79075_/D VGND VGND VPWR VPWR _79075_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_162_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_493 _64553_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_45467_ _45467_/A _45467_/B VGND VGND VPWR VPWR _45470_/B sky130_fd_sc_hd__nor2_2
+XPHY_37095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76287_ _76287_/A _76287_/B VGND VGND VPWR VPWR _76287_/X sky130_fd_sc_hd__or2_2
+XPHY_18993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42679_ _42679_/A _42677_/Y _42678_/X _42660_/D VGND VGND VPWR VPWR _42679_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_226_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73499_ _73499_/A _73498_/X VGND VGND VPWR VPWR _73499_/Y sky130_fd_sc_hd__nand2_2
+XPHY_36361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_359_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47206_ _47202_/B _47206_/B _47200_/C VGND VGND VPWR VPWR _78885_/D sky130_fd_sc_hd__nor3_2
+XFILLER_583_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66040_ _66038_/Y _66039_/X VGND VGND VPWR VPWR _66040_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_50_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_496_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_18 io_in[29] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_78026_ _78044_/CLK _78026_/D VGND VGND VPWR VPWR _50619_/A sky130_fd_sc_hd__dfxtp_4
+X_44418_ _44417_/X VGND VGND VPWR VPWR _44419_/C sky130_fd_sc_hd__buf_1
+XFILLER_716_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63252_ _48092_/A _62627_/X VGND VGND VPWR VPWR _63253_/C sky130_fd_sc_hd__nor2_2
+XFILLER_222_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75238_ _74738_/A _75246_/B VGND VGND VPWR VPWR _75238_/X sky130_fd_sc_hd__or2_2
+XFILLER_398_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48186_ _48186_/A _48186_/B VGND VGND VPWR VPWR _48186_/Y sky130_fd_sc_hd__nand2_2
+X_60464_ _59822_/A _60462_/Y _60464_/C VGND VGND VPWR VPWR _60464_/Y sky130_fd_sc_hd__nor3_2
+XANTENNA_29 io_out[21] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_198_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45398_ _45398_/A _45397_/X VGND VGND VPWR VPWR _55670_/B sky130_fd_sc_hd__nand2_2
+XPHY_35660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_673_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62203_ _78112_/Q _61734_/B VGND VGND VPWR VPWR _62203_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47137_ _47130_/Y _47149_/B _47136_/Y VGND VGND VPWR VPWR _78907_/D sky130_fd_sc_hd__nor3_2
+XFILLER_201_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_242_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_337_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44349_ _44549_/A VGND VGND VPWR VPWR _44366_/A sky130_fd_sc_hd__inv_8
+X_75169_ _75409_/A _75172_/B VGND VGND VPWR VPWR _75169_/X sky130_fd_sc_hd__or2_2
+X_63183_ _63183_/A _63343_/B VGND VGND VPWR VPWR _63183_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_70_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60395_ _53223_/A _60395_/B VGND VGND VPWR VPWR _60399_/B sky130_fd_sc_hd__nor2_2
+XPHY_25181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_255_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_122_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_334_124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62134_ _61667_/A _62125_/Y _62134_/C VGND VGND VPWR VPWR _62134_/Y sky130_fd_sc_hd__nor3_2
+XPHY_34992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47068_ _47045_/A _47068_/B VGND VGND VPWR VPWR _78914_/D sky130_fd_sc_hd__nor2_2
+XFILLER_220_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67991_ _79844_/Q _67824_/B VGND VGND VPWR VPWR _67993_/B sky130_fd_sc_hd__nor2_2
+XFILLER_697_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79977_ _79944_/CLK _79977_/D VGND VGND VPWR VPWR _79977_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_9_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_360_0_u_core.clock clkbuf_9_361_0_u_core.clock/A VGND VGND VPWR VPWR _78176_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_363_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38910_ _38669_/A _38907_/B VGND VGND VPWR VPWR _38912_/A sky130_fd_sc_hd__or2_2
+XFILLER_318_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_103_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46019_ _72131_/A _76642_/Q VGND VGND VPWR VPWR _46023_/A sky130_fd_sc_hd__and2_2
+X_69730_ _69730_/A VGND VGND VPWR VPWR _74662_/C sky130_fd_sc_hd__buf_1
+XFILLER_233_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62065_ _62065_/A _62218_/B VGND VGND VPWR VPWR _62065_/Y sky130_fd_sc_hd__nor2_2
+X_66942_ _66942_/A _66287_/B VGND VGND VPWR VPWR _66942_/Y sky130_fd_sc_hd__nor2_2
+X_78928_ _78931_/CLK _78928_/D VGND VGND VPWR VPWR _46947_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_678_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39890_ _40249_/A _40002_/B VGND VGND VPWR VPWR _39894_/A sky130_fd_sc_hd__or2_2
+XFILLER_192_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_417_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_86_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_487_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_530_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61016_ _59423_/A VGND VGND VPWR VPWR _61483_/B sky130_fd_sc_hd__buf_1
+XFILLER_272_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_307_0_u_core.clock clkbuf_9_307_0_u_core.clock/A VGND VGND VPWR VPWR _81081_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_44_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38841_ _38827_/A _38837_/X _38841_/C VGND VGND VPWR VPWR _38841_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_476_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_173_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69661_ _69659_/Y _69724_/B VGND VGND VPWR VPWR _69668_/A sky130_fd_sc_hd__nor2_2
+XFILLER_155_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66873_ _66873_/A VGND VGND VPWR VPWR _67377_/A sky130_fd_sc_hd__buf_1
+XFILLER_318_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78859_ _76729_/CLK _47367_/Y VGND VGND VPWR VPWR _78859_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_331_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_135_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_29_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_477_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_708_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_563_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68612_ _68433_/X _68612_/B _68611_/Y VGND VGND VPWR VPWR _68612_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_5_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65824_ _65806_/Y _65824_/B VGND VGND VPWR VPWR _65824_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_637_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38772_ _38790_/A VGND VGND VPWR VPWR _38787_/A sky130_fd_sc_hd__buf_1
+X_69592_ _69582_/A VGND VGND VPWR VPWR _69593_/B sky130_fd_sc_hd__buf_1
+XFILLER_681_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_476_0_u_core.clock clkbuf_9_477_0_u_core.clock/A VGND VGND VPWR VPWR _80736_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_665_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49709_ _49709_/A _49708_/X VGND VGND VPWR VPWR _49709_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_64_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80821_ _80766_/CLK _80821_/D VGND VGND VPWR VPWR _74919_/C sky130_fd_sc_hd__dfxtp_4
+X_68543_ _68365_/X _68541_/Y _68543_/C VGND VGND VPWR VPWR _68543_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_116_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65755_ _65755_/A _65603_/B VGND VGND VPWR VPWR _65755_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_626_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_110_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50981_ _62153_/A _50967_/B VGND VGND VPWR VPWR _50983_/A sky130_fd_sc_hd__nand2_2
+X_62967_ _62967_/A _62967_/B _62967_/C VGND VGND VPWR VPWR _62967_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_60_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_96_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_1586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_268_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64706_ _64706_/A _65219_/B VGND VGND VPWR VPWR _64706_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_563_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52720_ _60581_/A _52717_/B VGND VGND VPWR VPWR _52722_/A sky130_fd_sc_hd__nand2_2
+XFILLER_77_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80752_ _80844_/CLK _80752_/D VGND VGND VPWR VPWR _75176_/C sky130_fd_sc_hd__dfxtp_4
+X_61918_ _78190_/Q _61918_/B VGND VGND VPWR VPWR _61918_/Y sky130_fd_sc_hd__nor2_2
+X_68474_ _68305_/A _68474_/B _68473_/Y VGND VGND VPWR VPWR _68475_/C sky130_fd_sc_hd__nor3_2
+XFILLER_3_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65686_ _65351_/A _65678_/Y _65685_/Y VGND VGND VPWR VPWR _65686_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_233_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_30 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62898_ _62409_/X _62898_/B _62898_/C VGND VGND VPWR VPWR _62916_/A sky130_fd_sc_hd__nor3_2
+XFILLER_424_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_645_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_417_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_506_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_661_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_344_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67425_ _67105_/A _67423_/Y _67425_/C VGND VGND VPWR VPWR _67425_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_404_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52651_ _61246_/A _52643_/X VGND VGND VPWR VPWR _52654_/A sky130_fd_sc_hd__nand2_2
+XFILLER_623_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64637_ _74392_/C _64799_/B VGND VGND VPWR VPWR _64639_/B sky130_fd_sc_hd__nor2_2
+XFILLER_506_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_55_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61849_ _60284_/A VGND VGND VPWR VPWR _61854_/A sky130_fd_sc_hd__buf_1
+XFILLER_621_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80683_ _80659_/CLK _80683_/D VGND VGND VPWR VPWR _69009_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_678_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_129_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_481_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39324_ _39317_/A _39317_/B _66677_/A VGND VGND VPWR VPWR _39325_/B sky130_fd_sc_hd__nand3_2
+X_51602_ _51599_/Y _51602_/B VGND VGND VPWR VPWR _77770_/D sky130_fd_sc_hd__nand2_2
+XFILLER_90_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67356_ _67356_/A _66866_/B VGND VGND VPWR VPWR _67359_/A sky130_fd_sc_hd__nor2_2
+XFILLER_97_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55370_ _55444_/A _55369_/Y VGND VGND VPWR VPWR _55370_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_184_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64568_ _64495_/A VGND VGND VPWR VPWR _68068_/A sky130_fd_sc_hd__buf_1
+XFILLER_322_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52582_ _52553_/A _52603_/B VGND VGND VPWR VPWR _52583_/B sky130_fd_sc_hd__or2_2
+XFILLER_52_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_413_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_307_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_561_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_459_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_52_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54321_ _54314_/A VGND VGND VPWR VPWR _54322_/B sky130_fd_sc_hd__buf_1
+XFILLER_129_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66307_ _65644_/A _66305_/Y _66307_/C VGND VGND VPWR VPWR _66313_/B sky130_fd_sc_hd__nor3_2
+XFILLER_342_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_307_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39255_ _39255_/A VGND VGND VPWR VPWR _39256_/A sky130_fd_sc_hd__buf_1
+X_51533_ _51475_/A _51551_/B VGND VGND VPWR VPWR _51533_/X sky130_fd_sc_hd__or2_2
+XFILLER_51_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_402_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63519_ _60394_/A VGND VGND VPWR VPWR _63519_/X sky130_fd_sc_hd__buf_1
+XFILLER_24_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67287_ _67287_/A _66787_/X VGND VGND VPWR VPWR _67289_/B sky130_fd_sc_hd__nor2_2
+XFILLER_574_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64499_ _64499_/A _64727_/B VGND VGND VPWR VPWR _64499_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_719_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_459_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_211_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38206_ _76430_/A _38206_/B _80436_/Q VGND VGND VPWR VPWR _38207_/B sky130_fd_sc_hd__nand3_2
+XFILLER_357_238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_476_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57040_ _65816_/A _57118_/B VGND VGND VPWR VPWR _57040_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_197_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69026_ _80491_/Q _68873_/B VGND VGND VPWR VPWR _69028_/B sky130_fd_sc_hd__nor2_2
+X_81304_ _81306_/CLK _72939_/Y VGND VGND VPWR VPWR _81304_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_676_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_500_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54252_ _54102_/A VGND VGND VPWR VPWR _54252_/X sky130_fd_sc_hd__buf_1
+XFILLER_385_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66238_ _66562_/A _66238_/B _66237_/Y VGND VGND VPWR VPWR _66248_/B sky130_fd_sc_hd__nor3_2
+XFILLER_339_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_149_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51464_ _51462_/Y _51463_/X VGND VGND VPWR VPWR _77806_/D sky130_fd_sc_hd__nand2_2
+XPHY_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_573_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39186_ _39195_/A _39195_/B _57492_/A VGND VGND VPWR VPWR _39187_/B sky130_fd_sc_hd__nand3_2
+XPHY_15619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_10_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_527_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_277_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_225_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_539_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53203_ _53203_/A VGND VGND VPWR VPWR _53210_/B sky130_fd_sc_hd__buf_1
+XFILLER_320_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_637_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50415_ _50414_/X _50410_/X VGND VGND VPWR VPWR _50416_/B sky130_fd_sc_hd__or2_2
+XFILLER_165_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81235_ _81201_/CLK _73244_/Y VGND VGND VPWR VPWR _65093_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_164_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_203_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54183_ _54183_/A _54168_/B VGND VGND VPWR VPWR _54183_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_137_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66169_ _66169_/A _66499_/B VGND VGND VPWR VPWR _66169_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_691_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51395_ _51395_/A _51394_/X VGND VGND VPWR VPWR _77821_/D sky130_fd_sc_hd__nand2_2
+XFILLER_615_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_590_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_140_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53134_ _53078_/A _53134_/B VGND VGND VPWR VPWR _53135_/B sky130_fd_sc_hd__or2_2
+XFILLER_179_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50346_ _50250_/A _50343_/B VGND VGND VPWR VPWR _50346_/X sky130_fd_sc_hd__or2_2
+XFILLER_125_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81166_ _81166_/CLK _73512_/Y VGND VGND VPWR VPWR _69459_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_175_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58991_ _59100_/A VGND VGND VPWR VPWR _58991_/X sky130_fd_sc_hd__buf_1
+XFILLER_10_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_69_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_118_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_513_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80117_ _80121_/CLK _39473_/Y VGND VGND VPWR VPWR _65533_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_273_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_492_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53065_ _61152_/A _53061_/B VGND VGND VPWR VPWR _53067_/A sky130_fd_sc_hd__nand2_2
+X_57942_ _56199_/A VGND VGND VPWR VPWR _57942_/X sky130_fd_sc_hd__buf_1
+XFILLER_340_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_47_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69928_ _69802_/Y _69905_/Y _46066_/B VGND VGND VPWR VPWR _69936_/B sky130_fd_sc_hd__or3_2
+XPHY_9503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50277_ _50277_/A _50276_/X VGND VGND VPWR VPWR _50277_/Y sky130_fd_sc_hd__nand2_2
+X_81097_ _81133_/CLK _81097_/D VGND VGND VPWR VPWR _73773_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_138_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40030_ _40030_/A VGND VGND VPWR VPWR _40068_/A sky130_fd_sc_hd__buf_1
+XFILLER_371_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52016_ _52012_/Y _52015_/X VGND VGND VPWR VPWR _52016_/Y sky130_fd_sc_hd__nand2_2
+XPHY_21139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_726_3113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80048_ _80109_/CLK _80048_/D VGND VGND VPWR VPWR _39727_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_314_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_3046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57873_ _40429_/C _57873_/B VGND VGND VPWR VPWR _57875_/B sky130_fd_sc_hd__nor2_2
+XFILLER_117_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69859_ _69859_/A _69859_/B VGND VGND VPWR VPWR _72122_/A sky130_fd_sc_hd__or2_2
+XFILLER_66_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_412_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_79_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_451_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_705_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_656_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59612_ _59534_/Y _59612_/B VGND VGND VPWR VPWR _59612_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_278_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_87_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_510_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56824_ _56413_/A VGND VGND VPWR VPWR _56824_/X sky130_fd_sc_hd__buf_1
+XPHY_20449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72870_ _74709_/A VGND VGND VPWR VPWR _73662_/A sky130_fd_sc_hd__buf_1
+XFILLER_467_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_609_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_683_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_8_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59543_ _59543_/A _59543_/B _59543_/C VGND VGND VPWR VPWR _59552_/B sky130_fd_sc_hd__nor3_2
+X_71821_ _71821_/A _71820_/Y VGND VGND VPWR VPWR _71821_/X sky130_fd_sc_hd__or2_2
+XFILLER_448_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_448_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_388_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56755_ _56755_/A _56510_/X VGND VGND VPWR VPWR _56756_/C sky130_fd_sc_hd__nor2_2
+XFILLER_436_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41981_ _41981_/A VGND VGND VPWR VPWR _42016_/B sky130_fd_sc_hd__buf_1
+XFILLER_661_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53967_ _53967_/A _53951_/X VGND VGND VPWR VPWR _53967_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_284_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_189_1131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43720_ _43701_/Y _43895_/A VGND VGND VPWR VPWR _43720_/X sky130_fd_sc_hd__or2_4
+XFILLER_19_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55706_ _55688_/A _44995_/B VGND VGND VPWR VPWR _55706_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_721_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74540_ _74539_/Y _74540_/B VGND VGND VPWR VPWR _74540_/X sky130_fd_sc_hd__or2_2
+XFILLER_585_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40932_ _40895_/A VGND VGND VPWR VPWR _40932_/X sky130_fd_sc_hd__buf_1
+XFILLER_249_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52918_ _53007_/A _52930_/B VGND VGND VPWR VPWR _52919_/B sky130_fd_sc_hd__or2_2
+X_59474_ _59096_/A VGND VGND VPWR VPWR _61846_/A sky130_fd_sc_hd__buf_1
+XFILLER_290_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71752_ _71544_/X _71752_/B VGND VGND VPWR VPWR _71752_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_436_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_622_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_284_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56686_ _39085_/C _56768_/B VGND VGND VPWR VPWR _56688_/B sky130_fd_sc_hd__nor2_2
+XFILLER_143_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_99_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_451_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53898_ _53841_/A _53904_/B VGND VGND VPWR VPWR _53898_/X sky130_fd_sc_hd__or2_2
+XFILLER_581_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_6_25_0_u_core.clock clkbuf_5_12_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_51_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_264_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58425_ _58341_/X _58380_/X _58423_/X _74432_/A _58424_/X VGND VGND VPWR VPWR _76669_/D
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_41_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70703_ _70703_/A VGND VGND VPWR VPWR _70704_/A sky130_fd_sc_hd__inv_8
+XFILLER_98_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43651_ _42786_/B _43640_/X VGND VGND VPWR VPWR _43652_/C sky130_fd_sc_hd__nor2_2
+XFILLER_182_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55637_ _46003_/A _55648_/A VGND VGND VPWR VPWR _55637_/Y sky130_fd_sc_hd__nor2_2
+X_74471_ _74515_/A VGND VGND VPWR VPWR _74471_/X sky130_fd_sc_hd__buf_1
+XFILLER_261_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_21_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52849_ _61530_/A _52863_/B VGND VGND VPWR VPWR _52849_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_284_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40863_ _40497_/X _40855_/B VGND VGND VPWR VPWR _40863_/X sky130_fd_sc_hd__or2_2
+XFILLER_112_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71683_ _70553_/X _71683_/B VGND VGND VPWR VPWR _71683_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_189_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76210_ _76333_/A _76210_/B VGND VGND VPWR VPWR _76210_/X sky130_fd_sc_hd__or2_2
+XFILLER_442_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42602_ _42576_/X _42601_/X _42600_/Y _42589_/X VGND VGND VPWR VPWR _42602_/X sky130_fd_sc_hd__o22a_4
+XFILLER_540_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73422_ _73417_/X _73408_/B _81189_/Q VGND VGND VPWR VPWR _73424_/A sky130_fd_sc_hd__nand3_2
+XPHY_28757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46370_ _46373_/B VGND VGND VPWR VPWR _46371_/B sky130_fd_sc_hd__inv_8
+X_70634_ _70634_/A VGND VGND VPWR VPWR _70634_/X sky130_fd_sc_hd__buf_1
+X_58356_ _58516_/A _58356_/B _58355_/Y VGND VGND VPWR VPWR _58356_/Y sky130_fd_sc_hd__nor3_2
+X_77190_ _77190_/CLK _53794_/Y VGND VGND VPWR VPWR _59385_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43582_ _42580_/B _43575_/B VGND VGND VPWR VPWR _43583_/C sky130_fd_sc_hd__nor2_2
+XFILLER_163_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55568_ _55600_/A _55568_/B _55567_/Y VGND VGND VPWR VPWR _55568_/X sky130_fd_sc_hd__or3_2
+XFILLER_145_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40794_ _40797_/A _40797_/B _68307_/A VGND VGND VPWR VPWR _40795_/B sky130_fd_sc_hd__nand3_2
+XFILLER_223_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_505_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45321_ _45320_/Y _45321_/B VGND VGND VPWR VPWR _45321_/Y sky130_fd_sc_hd__nor2_2
+X_57307_ _57226_/A _57307_/B _57306_/Y VGND VGND VPWR VPWR _57307_/X sky130_fd_sc_hd__or3_2
+XFILLER_227_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76141_ _38281_/A _76156_/B VGND VGND VPWR VPWR _76143_/A sky130_fd_sc_hd__or2_2
+XPHY_17533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42533_ _68677_/A _42552_/B VGND VGND VPWR VPWR _42538_/A sky130_fd_sc_hd__nor2_2
+X_54519_ _76996_/Q _54533_/B VGND VGND VPWR VPWR _54519_/Y sky130_fd_sc_hd__nand2_2
+X_73353_ _73353_/A _73353_/B _81207_/Q VGND VGND VPWR VPWR _73353_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_163_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58287_ _58134_/A _58285_/Y _58287_/C VGND VGND VPWR VPWR _58287_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_360_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70565_ _70564_/X VGND VGND VPWR VPWR _70565_/Y sky130_fd_sc_hd__inv_8
+XFILLER_376_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55499_ _55599_/A VGND VGND VPWR VPWR _55551_/A sky130_fd_sc_hd__buf_1
+XPHY_17555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_403_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48040_ _48040_/A _48040_/B VGND VGND VPWR VPWR _48040_/X sky130_fd_sc_hd__or2_2
+XPHY_2452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72304_ _72274_/A VGND VGND VPWR VPWR _72310_/B sky130_fd_sc_hd__buf_1
+XPHY_16832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45252_ _44937_/A _45252_/B VGND VGND VPWR VPWR _45252_/X sky130_fd_sc_hd__or2_2
+XPHY_34200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57238_ _57637_/A VGND VGND VPWR VPWR _57238_/X sky130_fd_sc_hd__buf_1
+XFILLER_204_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76072_ _76068_/X _76071_/Y VGND VGND VPWR VPWR _76072_/Y sky130_fd_sc_hd__nand2_2
+XPHY_2463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42464_ _42755_/A VGND VGND VPWR VPWR _42465_/A sky130_fd_sc_hd__buf_1
+XFILLER_494_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73284_ _74961_/A _73284_/B VGND VGND VPWR VPWR _73284_/X sky130_fd_sc_hd__or2_2
+XPHY_34211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_329_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70496_ _70435_/X _70496_/B VGND VGND VPWR VPWR _70496_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44203_ _44022_/A _44203_/B VGND VGND VPWR VPWR _44203_/Y sky130_fd_sc_hd__nor2_2
+XPHY_16876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75023_ _75005_/A VGND VGND VPWR VPWR _75030_/A sky130_fd_sc_hd__buf_1
+X_79900_ _79902_/CLK _40320_/Y VGND VGND VPWR VPWR _66640_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_506_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_494_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41415_ _41395_/A VGND VGND VPWR VPWR _41418_/A sky130_fd_sc_hd__buf_1
+XFILLER_35_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72235_ _72235_/A _72241_/B _67455_/A VGND VGND VPWR VPWR _72235_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_385_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45183_ _45095_/A _45177_/Y _45183_/C VGND VGND VPWR VPWR _45184_/B sky130_fd_sc_hd__or3_2
+XPHY_33510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57169_ _80089_/Q _57006_/B VGND VGND VPWR VPWR _57171_/B sky130_fd_sc_hd__nor2_2
+XFILLER_373_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_538_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42395_ _42395_/A _42293_/A VGND VGND VPWR VPWR _42400_/A sky130_fd_sc_hd__or2_2
+XFILLER_167_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_655_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44134_ _44218_/A VGND VGND VPWR VPWR _44206_/A sky130_fd_sc_hd__buf_1
+XFILLER_592_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79831_ _79837_/CLK _40610_/Y VGND VGND VPWR VPWR _65842_/A sky130_fd_sc_hd__dfxtp_4
+X_41346_ _41341_/X _41332_/X _57456_/A VGND VGND VPWR VPWR _41347_/B sky130_fd_sc_hd__nand3_2
+XFILLER_721_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60180_ _60005_/A _60180_/B _60179_/Y VGND VGND VPWR VPWR _60180_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_354_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72166_ _72166_/A _72160_/X _81485_/Q VGND VGND VPWR VPWR _72166_/Y sky130_fd_sc_hd__nand3_2
+XPHY_23031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49991_ _49991_/A _50000_/B VGND VGND VPWR VPWR _49992_/B sky130_fd_sc_hd__or2_2
+XFILLER_475_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_616_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_332_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_516_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71117_ _71519_/A _70898_/A VGND VGND VPWR VPWR _71118_/B sky130_fd_sc_hd__nor2_2
+XPHY_32853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48942_ _48939_/Y _48942_/B VGND VGND VPWR VPWR _78464_/D sky130_fd_sc_hd__nand2_2
+XFILLER_295_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44065_ _43678_/X _44062_/Y _44065_/C VGND VGND VPWR VPWR _44066_/C sky130_fd_sc_hd__nor3_2
+XFILLER_10_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79762_ _79794_/CLK _79762_/D VGND VGND VPWR VPWR _64991_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_256_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41277_ _41277_/A _41277_/B _58839_/A VGND VGND VPWR VPWR _41278_/B sky130_fd_sc_hd__nand3_2
+XFILLER_694_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76974_ _76975_/CLK _54605_/Y VGND VGND VPWR VPWR _59286_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_390_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72097_ _72097_/A _72096_/Y _70940_/X _72084_/X VGND VGND VPWR VPWR _72097_/X sky130_fd_sc_hd__or4_2
+XFILLER_649_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_520_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_65_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43016_ _45048_/A VGND VGND VPWR VPWR _46089_/A sky130_fd_sc_hd__inv_8
+XFILLER_174_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78713_ _78734_/CLK _47961_/Y VGND VGND VPWR VPWR _63615_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40228_ _40225_/A _40225_/B _40228_/C VGND VGND VPWR VPWR _40228_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_516_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75925_ _75888_/A VGND VGND VPWR VPWR _75926_/B sky130_fd_sc_hd__buf_1
+XFILLER_61_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71048_ la_data_in[125] _71048_/B VGND VGND VPWR VPWR _71050_/A sky130_fd_sc_hd__nand2_2
+XFILLER_152_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_371_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48873_ _78481_/Q _48873_/B VGND VGND VPWR VPWR _48873_/Y sky130_fd_sc_hd__nand2_2
+XPHY_21640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79693_ _79694_/CLK _79693_/D VGND VGND VPWR VPWR _58771_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_256_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_417_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_501_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_611_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_135_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47824_ _47979_/A VGND VGND VPWR VPWR _47826_/A sky130_fd_sc_hd__buf_1
+XPHY_21673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78644_ _78660_/CLK _78644_/D VGND VGND VPWR VPWR _48231_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40159_ _40150_/X _40152_/X _79943_/Q VGND VGND VPWR VPWR _40159_/Y sky130_fd_sc_hd__nand3_2
+X_63870_ _47893_/A _63870_/B VGND VGND VPWR VPWR _63871_/C sky130_fd_sc_hd__nor2_2
+XPHY_21684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75856_ _75866_/A _75866_/B _68542_/A VGND VGND VPWR VPWR _75856_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_663_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_645_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_367_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62821_ _59675_/A VGND VGND VPWR VPWR _63463_/A sky130_fd_sc_hd__buf_1
+X_74807_ _75413_/A _74668_/X VGND VGND VPWR VPWR _74807_/X sky130_fd_sc_hd__or2_2
+XPHY_11194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47755_ _78765_/Q _47755_/B VGND VGND VPWR VPWR _47757_/A sky130_fd_sc_hd__nand2_2
+XFILLER_627_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78575_ _78580_/CLK _78575_/D VGND VGND VPWR VPWR _63299_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_484_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_469_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44967_ _44978_/A _44925_/A _44967_/C VGND VGND VPWR VPWR _44967_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_709_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75787_ _76029_/A _75780_/B VGND VGND VPWR VPWR _75789_/A sky130_fd_sc_hd__or2_2
+XFILLER_388_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72999_ _72989_/A _72988_/X _72999_/C VGND VGND VPWR VPWR _73003_/A sky130_fd_sc_hd__nand3_2
+XFILLER_510_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_130_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_705_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46706_ _46151_/B _46706_/B VGND VGND VPWR VPWR _46707_/B sky130_fd_sc_hd__or2_2
+XFILLER_631_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65540_ _80309_/Q _65540_/B VGND VGND VPWR VPWR _65540_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_310_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77526_ _77525_/CLK _52517_/Y VGND VGND VPWR VPWR _77526_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_250_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43918_ _43940_/A _43918_/B _43918_/C VGND VGND VPWR VPWR _43919_/C sky130_fd_sc_hd__nor3_2
+XFILLER_615_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62752_ _78315_/Q _62443_/B VGND VGND VPWR VPWR _62752_/Y sky130_fd_sc_hd__nor2_2
+X_74738_ _74738_/A _74747_/B VGND VGND VPWR VPWR _74740_/A sky130_fd_sc_hd__or2_2
+XFILLER_408_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_662_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47686_ _48001_/A VGND VGND VPWR VPWR _47686_/X sky130_fd_sc_hd__buf_1
+XFILLER_20_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44898_ _44562_/A VGND VGND VPWR VPWR _45464_/A sky130_fd_sc_hd__buf_1
+XFILLER_19_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_545_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_525_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_616_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_643_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61703_ _61539_/A _61701_/Y _61703_/C VGND VGND VPWR VPWR _61703_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_187_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49425_ _49367_/X _49399_/X VGND VGND VPWR VPWR _49425_/X sky130_fd_sc_hd__or2_2
+XFILLER_398_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46637_ _46637_/A _46637_/B VGND VGND VPWR VPWR _46637_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_630_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_150_0_u_core.clock clkbuf_8_75_0_u_core.clock/X VGND VGND VPWR VPWR _77799_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_65471_ _65471_/A _65471_/B _65471_/C VGND VGND VPWR VPWR _65477_/B sky130_fd_sc_hd__nor3_2
+XFILLER_482_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77457_ _77454_/CLK _52774_/Y VGND VGND VPWR VPWR _77457_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_53_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_603_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43849_ _43849_/A _43849_/B VGND VGND VPWR VPWR _43862_/A sky130_fd_sc_hd__nor2_2
+X_62683_ _62663_/Y _62683_/B VGND VGND VPWR VPWR _62683_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_605_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74669_ _74668_/X VGND VGND VPWR VPWR _74692_/B sky130_fd_sc_hd__buf_1
+XFILLER_425_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67210_ _66881_/A _67210_/B _67209_/Y VGND VGND VPWR VPWR _67210_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_463_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64422_ _44620_/A _65025_/B VGND VGND VPWR VPWR _64422_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_206_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76408_ _76406_/X _76408_/B VGND VGND VPWR VPWR _76408_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_631_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61634_ _53026_/A _61325_/B VGND VGND VPWR VPWR _61634_/Y sky130_fd_sc_hd__nor2_2
+X_49356_ _49354_/X _49355_/Y VGND VGND VPWR VPWR _78359_/D sky130_fd_sc_hd__nand2_2
+X_68190_ _68190_/A _67709_/B VGND VGND VPWR VPWR _68192_/B sky130_fd_sc_hd__nor2_2
+XPHY_29992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_379_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46568_ _42842_/X _46585_/B VGND VGND VPWR VPWR _46574_/B sky130_fd_sc_hd__nor2_2
+XFILLER_503_2959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77388_ _77387_/CLK _77388_/D VGND VGND VPWR VPWR _53026_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_107_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_597_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_146_1535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_421_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48307_ _48307_/A _48307_/B VGND VGND VPWR VPWR _78626_/D sky130_fd_sc_hd__nand2_2
+X_67141_ _81311_/Q _66971_/B VGND VGND VPWR VPWR _67141_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_261_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_233_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79127_ _76714_/CLK _79127_/D VGND VGND VPWR VPWR _79127_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_450_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45519_ _45514_/A _45517_/Y _45518_/Y VGND VGND VPWR VPWR _45519_/Y sky130_fd_sc_hd__nor3_2
+X_64353_ _64353_/A _64235_/B VGND VGND VPWR VPWR _64353_/Y sky130_fd_sc_hd__nor2_2
+X_76339_ _76339_/A _76338_/Y VGND VGND VPWR VPWR _80464_/D sky130_fd_sc_hd__nand2_2
+XFILLER_308_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61565_ _61263_/A _61565_/B _61564_/Y VGND VGND VPWR VPWR _61570_/B sky130_fd_sc_hd__nor3_2
+X_49287_ _49294_/A VGND VGND VPWR VPWR _49291_/B sky130_fd_sc_hd__buf_1
+XFILLER_33_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46499_ _41865_/A VGND VGND VPWR VPWR _46499_/Y sky130_fd_sc_hd__inv_8
+XFILLER_695_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_290 _46350_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_558_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_33_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_124_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39040_ _38539_/A _39047_/B VGND VGND VPWR VPWR _39040_/X sky130_fd_sc_hd__or2_2
+X_63304_ _63304_/A _63304_/B _63303_/Y VGND VGND VPWR VPWR _63304_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_653_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60516_ _60516_/A _60516_/B _60516_/C VGND VGND VPWR VPWR _60517_/C sky130_fd_sc_hd__nor3_2
+X_48238_ _63753_/A _48230_/X VGND VGND VPWR VPWR _48238_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_395_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67072_ _67072_/A _67072_/B VGND VGND VPWR VPWR _67115_/B sky130_fd_sc_hd__nor2_2
+XFILLER_343_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_206_0_u_core.clock clkbuf_8_206_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_413_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_79058_ _79315_/CLK _45960_/Y VGND VGND VPWR VPWR _79058_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_673_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64284_ _64840_/A VGND VGND VPWR VPWR _66657_/A sky130_fd_sc_hd__buf_1
+XFILLER_544_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61496_ _61344_/A _61492_/Y _61496_/C VGND VGND VPWR VPWR _61496_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_296_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_21_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_266_0_u_core.clock clkbuf_9_267_0_u_core.clock/A VGND VGND VPWR VPWR _81346_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_575_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_591_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_537_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_571_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66023_ _66846_/A VGND VGND VPWR VPWR _66362_/B sky130_fd_sc_hd__buf_1
+XFILLER_193_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78009_ _78006_/CLK _78009_/D VGND VGND VPWR VPWR _62325_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_517_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63235_ _78463_/Q _63389_/B VGND VGND VPWR VPWR _63237_/B sky130_fd_sc_hd__nor2_2
+XFILLER_146_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_200_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_539_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48169_ _48169_/A _48169_/B VGND VGND VPWR VPWR _48169_/Y sky130_fd_sc_hd__nand2_2
+X_60447_ _60289_/A _60445_/Y _60446_/Y VGND VGND VPWR VPWR _60447_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_320_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_337_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_375_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50200_ _50198_/Y _50199_/X VGND VGND VPWR VPWR _78136_/D sky130_fd_sc_hd__nand2_2
+XFILLER_200_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_81020_ _81025_/CLK _74088_/Y VGND VGND VPWR VPWR _74087_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_88_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_174_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_200_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_296_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_228_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51180_ _51152_/A _51192_/B VGND VGND VPWR VPWR _51180_/X sky130_fd_sc_hd__or2_2
+XFILLER_524_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63166_ _62547_/A _63155_/Y _63166_/C VGND VGND VPWR VPWR _63187_/A sky130_fd_sc_hd__nor3_2
+XFILLER_454_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60378_ _53503_/A _60377_/X VGND VGND VPWR VPWR _60378_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_458_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_315_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_701_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_530_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_454_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50131_ _50131_/A _50131_/B VGND VGND VPWR VPWR _78156_/D sky130_fd_sc_hd__nand2_2
+XFILLER_376_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62117_ _61646_/A _62117_/B _62116_/Y VGND VGND VPWR VPWR _62135_/A sky130_fd_sc_hd__nor3_2
+XFILLER_274_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39942_ _39942_/A _39941_/Y VGND VGND VPWR VPWR _80001_/D sky130_fd_sc_hd__nand2_2
+XFILLER_157_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67974_ _67974_/A _67974_/B _67974_/C VGND VGND VPWR VPWR _67975_/C sky130_fd_sc_hd__nor3_2
+XFILLER_375_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63097_ _63097_/A _62795_/B VGND VGND VPWR VPWR _63097_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_372_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_274_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_192_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_217_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69713_ _69617_/A VGND VGND VPWR VPWR _42084_/A sky130_fd_sc_hd__buf_1
+X_50062_ _48103_/X _50061_/X VGND VGND VPWR VPWR _50072_/A sky130_fd_sc_hd__or2_2
+X_66925_ _67406_/A _66925_/B _66924_/Y VGND VGND VPWR VPWR _66929_/B sky130_fd_sc_hd__nor3_2
+XFILLER_337_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62048_ _61890_/A _62048_/B _62047_/Y VGND VGND VPWR VPWR _62060_/B sky130_fd_sc_hd__nor3_2
+XFILLER_678_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39873_ _39871_/X _39872_/Y VGND VGND VPWR VPWR _80018_/D sky130_fd_sc_hd__nand2_2
+XFILLER_350_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_138_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_569_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_432_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_44_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_130_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38824_ _38822_/X _38823_/Y VGND VGND VPWR VPWR _80281_/D sky130_fd_sc_hd__nand2_2
+XFILLER_290_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69644_ _69743_/A _69644_/B VGND VGND VPWR VPWR _69644_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_44_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54870_ _59488_/A _54873_/B VGND VGND VPWR VPWR _54870_/Y sky130_fd_sc_hd__nand2_2
+X_66856_ _66837_/Y _66856_/B VGND VGND VPWR VPWR _66857_/B sky130_fd_sc_hd__nor2_2
+XFILLER_465_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_9_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_9_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_69_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_87_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53821_ _77182_/Q _53818_/B VGND VGND VPWR VPWR _53821_/Y sky130_fd_sc_hd__nand2_2
+X_65807_ _64959_/A VGND VGND VPWR VPWR _66160_/A sky130_fd_sc_hd__buf_1
+XFILLER_389_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38755_ _38793_/A VGND VGND VPWR VPWR _38770_/B sky130_fd_sc_hd__buf_1
+XFILLER_464_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69575_ _76679_/Q VGND VGND VPWR VPWR _69575_/Y sky130_fd_sc_hd__inv_8
+XFILLER_491_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66787_ _68434_/A VGND VGND VPWR VPWR _66787_/X sky130_fd_sc_hd__buf_1
+XFILLER_465_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_606_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63999_ _58879_/A _63999_/B _63998_/Y VGND VGND VPWR VPWR _63999_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_582_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_541_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56540_ _81297_/Q _56540_/B VGND VGND VPWR VPWR _56540_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_131_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68526_ _68526_/A _67864_/B VGND VGND VPWR VPWR _68528_/B sky130_fd_sc_hd__nor2_2
+X_80804_ _80751_/CLK _74986_/Y VGND VGND VPWR VPWR _67873_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_417_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_582_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_96_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53752_ _53667_/A _53758_/B VGND VGND VPWR VPWR _53753_/B sky130_fd_sc_hd__or2_2
+X_65738_ _65903_/A _65738_/B _65738_/C VGND VGND VPWR VPWR _65739_/C sky130_fd_sc_hd__nor3_2
+XFILLER_626_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50964_ _50815_/A VGND VGND VPWR VPWR _50965_/B sky130_fd_sc_hd__buf_1
+X_38686_ _38689_/A _38689_/B _80318_/Q VGND VGND VPWR VPWR _38686_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_723_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_283_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52703_ _52700_/Y _52703_/B VGND VGND VPWR VPWR _77476_/D sky130_fd_sc_hd__nand2_2
+XPHY_28009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80735_ _80734_/CLK _75245_/Y VGND VGND VPWR VPWR _75244_/C sky130_fd_sc_hd__dfxtp_4
+X_56471_ _38976_/C _56349_/B VGND VGND VPWR VPWR _56472_/C sky130_fd_sc_hd__nor2_2
+X_68457_ _68457_/A _68131_/B VGND VGND VPWR VPWR _68457_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_44_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53683_ _53683_/A _53682_/X VGND VGND VPWR VPWR _77220_/D sky130_fd_sc_hd__nand2_2
+XFILLER_168_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65669_ _65505_/A _65658_/Y _65669_/C VGND VGND VPWR VPWR _65670_/B sky130_fd_sc_hd__nor3_2
+XFILLER_408_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50895_ _50895_/A _50895_/B VGND VGND VPWR VPWR _77953_/D sky130_fd_sc_hd__nand2_2
+XFILLER_3_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_598_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_460_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58210_ _57974_/A _58210_/B _58209_/Y VGND VGND VPWR VPWR _58215_/B sky130_fd_sc_hd__nor3_2
+XFILLER_73_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55422_ _55422_/A VGND VGND VPWR VPWR _55426_/A sky130_fd_sc_hd__buf_1
+XFILLER_576_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67408_ _68231_/A VGND VGND VPWR VPWR _67750_/B sky130_fd_sc_hd__buf_1
+XFILLER_383_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52634_ _60623_/A _52638_/B VGND VGND VPWR VPWR _52634_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_326_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59190_ _59115_/A VGND VGND VPWR VPWR _62226_/A sky130_fd_sc_hd__buf_1
+XPHY_27319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_432_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_621_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80666_ _80637_/CLK _80666_/D VGND VGND VPWR VPWR _66215_/A sky130_fd_sc_hd__dfxtp_4
+X_68388_ _68388_/A _68563_/B VGND VGND VPWR VPWR _68388_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_459_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_410_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_224_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39307_ _39307_/A _39307_/B VGND VGND VPWR VPWR _39307_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_398_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58141_ _80325_/Q _58063_/B VGND VGND VPWR VPWR _58141_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_424_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_604_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_386_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55353_ _45178_/Y _55312_/X VGND VGND VPWR VPWR _55354_/B sky130_fd_sc_hd__nor2_2
+XFILLER_246_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_602_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67339_ _80288_/Q _67184_/B VGND VGND VPWR VPWR _67342_/B sky130_fd_sc_hd__nor2_2
+XFILLER_164_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52565_ _60912_/A _52555_/B VGND VGND VPWR VPWR _52565_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_326_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80597_ _80597_/CLK _75804_/Y VGND VGND VPWR VPWR _80597_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_439_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_399_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54304_ _54304_/A _54304_/B VGND VGND VPWR VPWR _77055_/D sky130_fd_sc_hd__nand2_2
+XPHY_16128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_502_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39238_ _39238_/A VGND VGND VPWR VPWR _39239_/A sky130_fd_sc_hd__buf_1
+X_51516_ _51516_/A _51519_/B VGND VGND VPWR VPWR _51516_/X sky130_fd_sc_hd__or2_2
+XPHY_16139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70350_ _70349_/Y _70337_/Y VGND VGND VPWR VPWR _70351_/A sky130_fd_sc_hd__or2_2
+XFILLER_576_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58072_ _81316_/Q _58222_/B VGND VGND VPWR VPWR _58074_/B sky130_fd_sc_hd__nor2_2
+XFILLER_558_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55284_ _55599_/A _55284_/B VGND VGND VPWR VPWR _55285_/C sky130_fd_sc_hd__nor2_2
+XPHY_15405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_199_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52496_ _52519_/B VGND VGND VPWR VPWR _52518_/B sky130_fd_sc_hd__buf_1
+XFILLER_719_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_138_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_691_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_437_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57023_ _56615_/A VGND VGND VPWR VPWR _57122_/A sky130_fd_sc_hd__buf_1
+XFILLER_184_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69009_ _69009_/A _68350_/B VGND VGND VPWR VPWR _69010_/C sky130_fd_sc_hd__nor2_2
+XPHY_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54235_ _77073_/Q _54239_/B VGND VGND VPWR VPWR _54235_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_459_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_528_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39169_ _39167_/X _39169_/B VGND VGND VPWR VPWR _80193_/D sky130_fd_sc_hd__nand2_2
+X_51447_ _51475_/A _51465_/B VGND VGND VPWR VPWR _51447_/X sky130_fd_sc_hd__or2_2
+XFILLER_222_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70281_ _70281_/A VGND VGND VPWR VPWR _70281_/X sky130_fd_sc_hd__buf_1
+XFILLER_279_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_22_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_123_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_535_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41200_ _41200_/A _41199_/Y VGND VGND VPWR VPWR _79683_/D sky130_fd_sc_hd__nand2_2
+XFILLER_49_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72020_ _69879_/A _72020_/B VGND VGND VPWR VPWR _72020_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_81218_ _81211_/CLK _81218_/D VGND VGND VPWR VPWR _67582_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_138_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_688_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54166_ _54163_/Y _54165_/X VGND VGND VPWR VPWR _77092_/D sky130_fd_sc_hd__nand2_2
+XFILLER_123_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42180_ _42432_/B VGND VGND VPWR VPWR _55878_/B sky130_fd_sc_hd__buf_1
+XPHY_14748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_418_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_354_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51378_ _51394_/B VGND VGND VPWR VPWR _51391_/B sky130_fd_sc_hd__buf_1
+XPHY_14759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_273_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41131_ _41256_/A _41131_/B VGND VGND VPWR VPWR _41131_/X sky130_fd_sc_hd__or2_2
+XFILLER_4_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_715_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53117_ _77362_/Q _53109_/X VGND VGND VPWR VPWR _53117_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_689_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_353_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50329_ _50329_/A _50329_/B VGND VGND VPWR VPWR _50329_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_84_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81149_ _81148_/CLK _81149_/D VGND VGND VPWR VPWR _73575_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_472_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_106_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_316_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54097_ _54695_/A VGND VGND VPWR VPWR _54098_/A sky130_fd_sc_hd__buf_1
+X_58974_ _59077_/A VGND VGND VPWR VPWR _58974_/X sky130_fd_sc_hd__buf_1
+XFILLER_101_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41062_ _41061_/X _41044_/B VGND VGND VPWR VPWR _41064_/A sky130_fd_sc_hd__or2_2
+XFILLER_689_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53048_ _53048_/A _53047_/X VGND VGND VPWR VPWR _53048_/Y sky130_fd_sc_hd__nand2_2
+X_57925_ _57925_/A _58249_/B VGND VGND VPWR VPWR _57928_/B sky130_fd_sc_hd__nor2_2
+XFILLER_136_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_583_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_106_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73971_ _73983_/A _73980_/B _81044_/Q VGND VGND VPWR VPWR _73971_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_669_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_27_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_685_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40013_ _40013_/A _40013_/B VGND VGND VPWR VPWR _40013_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_267_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75710_ _75696_/X _75713_/B _80622_/Q VGND VGND VPWR VPWR _75710_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_466_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_62_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72922_ _72922_/A VGND VGND VPWR VPWR _73944_/A sky130_fd_sc_hd__buf_1
+XFILLER_286_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45870_ _45667_/X VGND VGND VPWR VPWR _45885_/C sky130_fd_sc_hd__buf_1
+XFILLER_645_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57856_ _57531_/X _57854_/Y _57855_/Y VGND VGND VPWR VPWR _57856_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_587_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76690_ _79116_/CLK _76690_/D VGND VGND VPWR VPWR _70703_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_9388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_487_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_366_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_60_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44821_ _44639_/A VGND VGND VPWR VPWR _44821_/X sky130_fd_sc_hd__buf_1
+XPHY_8676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56807_ _56344_/A VGND VGND VPWR VPWR _56807_/X sky130_fd_sc_hd__buf_1
+X_75641_ _75641_/A _75641_/B VGND VGND VPWR VPWR _75641_/Y sky130_fd_sc_hd__nand2_2
+XPHY_20279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_583_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72853_ _72792_/X VGND VGND VPWR VPWR _72873_/A sky130_fd_sc_hd__buf_1
+XFILLER_97_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57787_ _80001_/Q _57634_/B VGND VGND VPWR VPWR _57787_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_130_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54999_ _54997_/Y _54999_/B VGND VGND VPWR VPWR _76867_/D sky130_fd_sc_hd__nand2_2
+XFILLER_47_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_624_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_644_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_663_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47540_ _64057_/A _47544_/B VGND VGND VPWR VPWR _47540_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_483_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59526_ _59687_/A _59523_/Y _59525_/Y VGND VGND VPWR VPWR _59530_/B sky130_fd_sc_hd__nor3_2
+X_71804_ _71804_/A _71675_/B VGND VGND VPWR VPWR _71804_/X sky130_fd_sc_hd__and2_2
+XFILLER_232_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78360_ _78332_/CLK _49350_/Y VGND VGND VPWR VPWR _62274_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_564_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44752_ _44639_/A VGND VGND VPWR VPWR _44752_/X sky130_fd_sc_hd__buf_1
+XFILLER_21_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56738_ _56200_/A VGND VGND VPWR VPWR _56738_/X sky130_fd_sc_hd__buf_1
+XFILLER_268_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75572_ _76057_/A _75572_/B VGND VGND VPWR VPWR _75572_/X sky130_fd_sc_hd__or2_2
+XFILLER_47_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41964_ _41964_/A VGND VGND VPWR VPWR _45071_/A sky130_fd_sc_hd__buf_1
+X_72784_ _72788_/A _72784_/B _66449_/A VGND VGND VPWR VPWR _72784_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_21_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_56_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_644_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77311_ _76882_/CLK _77311_/D VGND VGND VPWR VPWR _53336_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43703_ _43915_/A VGND VGND VPWR VPWR _43718_/A sky130_fd_sc_hd__inv_8
+XFILLER_624_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74523_ _65080_/A VGND VGND VPWR VPWR _74523_/Y sky130_fd_sc_hd__inv_8
+XFILLER_60_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40915_ _40408_/X _40915_/B VGND VGND VPWR VPWR _40918_/A sky130_fd_sc_hd__or2_2
+XPHY_29244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_403_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71735_ _71735_/A _71735_/B _71734_/Y VGND VGND VPWR VPWR _71735_/Y sky130_fd_sc_hd__nor3_2
+X_47471_ _63661_/A _47460_/B VGND VGND VPWR VPWR _47471_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_640_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59457_ _59457_/A VGND VGND VPWR VPWR _59460_/A sky130_fd_sc_hd__buf_1
+XFILLER_19_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78291_ _78281_/CLK _49623_/Y VGND VGND VPWR VPWR _62730_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_284_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44683_ _44683_/A _44757_/B VGND VGND VPWR VPWR _44742_/B sky130_fd_sc_hd__or2_2
+XPHY_28510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56669_ _56669_/A _56669_/B VGND VGND VPWR VPWR _56669_/Y sky130_fd_sc_hd__nor2_2
+XPHY_29255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41895_ _41887_/X _45006_/A _41883_/X _45427_/A VGND VGND VPWR VPWR _41895_/X sky130_fd_sc_hd__o22a_4
+XFILLER_423_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_41_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49210_ _63643_/A _49199_/B VGND VGND VPWR VPWR _49212_/A sky130_fd_sc_hd__nand2_2
+XFILLER_225_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_3035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46422_ _46422_/A _46434_/C VGND VGND VPWR VPWR _46422_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_613_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58408_ _56294_/A VGND VGND VPWR VPWR _58710_/A sky130_fd_sc_hd__buf_1
+XPHY_28543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77242_ _77274_/CLK _77242_/D VGND VGND VPWR VPWR _77242_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_700_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43634_ _43628_/X _43634_/B _43633_/Y VGND VGND VPWR VPWR _43634_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_186_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74454_ _74453_/Y _74450_/B VGND VGND VPWR VPWR _74454_/X sky130_fd_sc_hd__or2_2
+XFILLER_34_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40846_ _40843_/A _40843_/B _57079_/A VGND VGND VPWR VPWR _40847_/B sky130_fd_sc_hd__nand3_2
+XFILLER_600_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59388_ _77174_/Q _59388_/B VGND VGND VPWR VPWR _59390_/B sky130_fd_sc_hd__nor2_2
+XPHY_29299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71666_ _71642_/X _71649_/X _71650_/X _42678_/B VGND VGND VPWR VPWR _71667_/A sky130_fd_sc_hd__o22a_4
+XFILLER_182_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_601_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_321_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_477_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49141_ _49141_/A _49140_/X VGND VGND VPWR VPWR _49144_/A sky130_fd_sc_hd__nand2_2
+XFILLER_696_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73405_ _73403_/Y _73404_/X VGND VGND VPWR VPWR _73405_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_56_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58339_ _58339_/A _58339_/B _58338_/Y VGND VGND VPWR VPWR _58339_/X sky130_fd_sc_hd__or3_2
+XFILLER_308_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46353_ _45265_/A _47123_/A VGND VGND VPWR VPWR _46354_/D sky130_fd_sc_hd__nor2_2
+X_70617_ _70606_/A _70299_/B _70484_/C VGND VGND VPWR VPWR _70618_/C sky130_fd_sc_hd__nor3_2
+X_77173_ _77183_/CLK _53854_/Y VGND VGND VPWR VPWR _77173_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_16_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_403_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43565_ _43572_/A _43565_/B _43564_/Y VGND VGND VPWR VPWR _79330_/D sky130_fd_sc_hd__nor3_2
+XFILLER_598_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74385_ _74388_/A _74388_/B _80946_/Q VGND VGND VPWR VPWR _74385_/Y sky130_fd_sc_hd__nand3_2
+XPHY_18075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40777_ _40390_/A _40777_/B VGND VGND VPWR VPWR _40777_/X sky130_fd_sc_hd__or2_2
+XPHY_27864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71597_ _71597_/A _71651_/B VGND VGND VPWR VPWR _71598_/B sky130_fd_sc_hd__nor2_2
+XFILLER_125_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_301_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_203_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_677_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45304_ _44932_/A VGND VGND VPWR VPWR _45304_/X sky130_fd_sc_hd__buf_1
+XFILLER_163_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_188_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76124_ _76124_/A _76142_/B _67882_/A VGND VGND VPWR VPWR _76124_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_19_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42516_ _42546_/A _42516_/B VGND VGND VPWR VPWR _42520_/B sky130_fd_sc_hd__nor2_2
+X_49072_ _49072_/A _49225_/A VGND VGND VPWR VPWR _54815_/A sky130_fd_sc_hd__or2_2
+X_73336_ _75014_/A _73348_/B VGND VGND VPWR VPWR _73336_/X sky130_fd_sc_hd__or2_2
+X_61350_ _61350_/A _60883_/B VGND VGND VPWR VPWR _61351_/C sky130_fd_sc_hd__nor2_2
+XFILLER_231_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46284_ _46283_/X VGND VGND VPWR VPWR _46697_/B sky130_fd_sc_hd__inv_8
+XPHY_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70548_ _70934_/A VGND VGND VPWR VPWR _71653_/A sky130_fd_sc_hd__buf_1
+XFILLER_526_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_395_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43496_ _41719_/C _43496_/B VGND VGND VPWR VPWR _43497_/B sky130_fd_sc_hd__or2_2
+XFILLER_163_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_438_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_375_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_364_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_655_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48023_ _78698_/Q _48015_/X VGND VGND VPWR VPWR _48023_/Y sky130_fd_sc_hd__nand2_2
+X_60301_ _60301_/A _60141_/B VGND VGND VPWR VPWR _60301_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_338_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_364_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_128_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45235_ _45174_/A _45235_/B VGND VGND VPWR VPWR _45235_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_204_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76055_ _76054_/X _76045_/B _64880_/A VGND VGND VPWR VPWR _76055_/Y sky130_fd_sc_hd__nand3_2
+XPHY_2293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_572_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42447_ _72057_/A _42596_/A VGND VGND VPWR VPWR _42447_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_198_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61281_ _61242_/X _61280_/Y VGND VGND VPWR VPWR _61281_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_708_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73267_ _73382_/B VGND VGND VPWR VPWR _73268_/B sky130_fd_sc_hd__buf_1
+XFILLER_8_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70479_ _70478_/Y VGND VGND VPWR VPWR _70480_/B sky130_fd_sc_hd__inv_8
+XFILLER_477_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63020_ _63020_/A _63625_/B VGND VGND VPWR VPWR _63020_/Y sky130_fd_sc_hd__nor2_2
+X_75006_ _75020_/A _75006_/B _66890_/A VGND VGND VPWR VPWR _75008_/A sky130_fd_sc_hd__nand3_2
+XFILLER_458_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_395_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60232_ _53443_/A _60385_/B VGND VGND VPWR VPWR _60232_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_334_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_553_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72218_ _72274_/A VGND VGND VPWR VPWR _72241_/B sky130_fd_sc_hd__buf_1
+XPHY_15972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_454_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45166_ _79565_/Q _45166_/B VGND VGND VPWR VPWR _45166_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42378_ _42320_/X _42387_/A _42399_/A _42355_/Y VGND VGND VPWR VPWR _42379_/B sky130_fd_sc_hd__o22a_4
+XFILLER_89_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73198_ _73208_/A _73203_/B _66596_/A VGND VGND VPWR VPWR _73202_/A sky130_fd_sc_hd__nand3_2
+XPHY_34096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_651_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_116_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_633_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44117_ _44010_/A _44000_/B VGND VGND VPWR VPWR _44117_/Y sky130_fd_sc_hd__nor2_2
+X_79814_ _79809_/CLK _40676_/Y VGND VGND VPWR VPWR _68308_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_588_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_304_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41329_ _41321_/X _41315_/B _67290_/A VGND VGND VPWR VPWR _41330_/B sky130_fd_sc_hd__nand3_2
+XPHY_33384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60163_ _60163_/A VGND VGND VPWR VPWR _60322_/B sky130_fd_sc_hd__buf_1
+XFILLER_613_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72149_ _72137_/Y _72146_/X _69939_/X _72148_/Y VGND VGND VPWR VPWR _42465_/B sky130_fd_sc_hd__o22a_4
+XFILLER_7_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49974_ _49974_/A VGND VGND VPWR VPWR _50003_/A sky130_fd_sc_hd__buf_1
+X_45097_ _45097_/A _45096_/Y _45407_/A VGND VGND VPWR VPWR _45097_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_679_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_252_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_488_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_471_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_193_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44048_ _67201_/A _44047_/X VGND VGND VPWR VPWR _44049_/B sky130_fd_sc_hd__or2_4
+X_48925_ _48921_/Y _48924_/X VGND VGND VPWR VPWR _78468_/D sky130_fd_sc_hd__nand2_2
+XPHY_22160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79745_ _79750_/CLK _79745_/D VGND VGND VPWR VPWR _79745_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_32694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64971_ _64321_/X _64969_/Y _64970_/Y VGND VGND VPWR VPWR _64971_/Y sky130_fd_sc_hd__nor3_2
+X_60094_ _60094_/A VGND VGND VPWR VPWR _60095_/B sky130_fd_sc_hd__buf_1
+XFILLER_694_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76957_ _76939_/CLK _76957_/D VGND VGND VPWR VPWR _54663_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_22171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_345_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_291_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_174_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_647_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_313_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66710_ _80861_/Q _66710_/B VGND VGND VPWR VPWR _66711_/C sky130_fd_sc_hd__nor2_2
+XFILLER_45_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63922_ _59176_/A _63918_/Y _63922_/C VGND VGND VPWR VPWR _63922_/Y sky130_fd_sc_hd__nor3_2
+X_75908_ _75908_/A _75908_/B _66233_/A VGND VGND VPWR VPWR _75908_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_61_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48856_ _62925_/A _48838_/B VGND VGND VPWR VPWR _48858_/A sky130_fd_sc_hd__nand2_2
+XFILLER_3_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67690_ _44343_/Y _67855_/B VGND VGND VPWR VPWR _67690_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_33_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79676_ _79641_/CLK _79676_/D VGND VGND VPWR VPWR _57455_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_675_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76888_ _77318_/CLK _54925_/Y VGND VGND VPWR VPWR _59766_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_151_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_430_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_69_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_187_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47807_ _47805_/Y _47806_/X VGND VGND VPWR VPWR _78752_/D sky130_fd_sc_hd__nand2_2
+XFILLER_22_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_547_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66641_ _66155_/A _66639_/Y _66641_/C VGND VGND VPWR VPWR _66641_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_285_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_112_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78627_ _78667_/CLK _78627_/D VGND VGND VPWR VPWR _63887_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_446_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_662_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63853_ _59314_/A _63851_/Y _63852_/Y VGND VGND VPWR VPWR _63857_/B sky130_fd_sc_hd__nor3_2
+X_75839_ _75844_/A _75844_/B _75839_/C VGND VGND VPWR VPWR _75839_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_473_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48787_ _78503_/Q _48768_/B VGND VGND VPWR VPWR _48787_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_239_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45999_ _42795_/B _45798_/C VGND VGND VPWR VPWR _46000_/C sky130_fd_sc_hd__nor2_2
+XFILLER_113_1512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_254_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38540_ _38517_/A VGND VGND VPWR VPWR _38541_/B sky130_fd_sc_hd__buf_1
+XFILLER_361_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62804_ _60054_/A VGND VGND VPWR VPWR _62804_/X sky130_fd_sc_hd__buf_1
+XFILLER_269_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69360_ _69500_/A _69360_/B _69360_/C VGND VGND VPWR VPWR _69364_/B sky130_fd_sc_hd__nor3_2
+XFILLER_254_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47738_ _47738_/A _47738_/B VGND VGND VPWR VPWR _78771_/D sky130_fd_sc_hd__nand2_2
+X_66572_ _66572_/A _66572_/B VGND VGND VPWR VPWR _66573_/B sky130_fd_sc_hd__nor2_2
+XFILLER_61_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_427_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78558_ _77567_/CLK _78558_/D VGND VGND VPWR VPWR _48570_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_529_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_7_105_0_u_core.clock clkbuf_6_52_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_211_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_644_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63784_ _63190_/X _63784_/B _63784_/C VGND VGND VPWR VPWR _63800_/A sky130_fd_sc_hd__nor3_2
+XFILLER_642_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_349_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60996_ _52053_/A _60835_/B VGND VGND VPWR VPWR _60998_/B sky130_fd_sc_hd__nor2_2
+XFILLER_462_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_165_0_u_core.clock clkbuf_7_82_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_331_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_285_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_266_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_408_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68311_ _68311_/A _68311_/B VGND VGND VPWR VPWR _68314_/B sky130_fd_sc_hd__nor2_2
+XFILLER_662_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77509_ _76779_/CLK _52576_/Y VGND VGND VPWR VPWR _60446_/A sky130_fd_sc_hd__dfxtp_4
+X_65523_ _65523_/A _65523_/B VGND VGND VPWR VPWR _65524_/C sky130_fd_sc_hd__nor2_2
+XFILLER_169_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38471_ _38467_/X _38470_/Y VGND VGND VPWR VPWR _80366_/D sky130_fd_sc_hd__nand2_2
+XFILLER_506_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62735_ _62426_/A _62735_/B _62734_/Y VGND VGND VPWR VPWR _62736_/C sky130_fd_sc_hd__nor3_2
+XFILLER_96_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69291_ _64475_/X _69291_/B _69291_/C VGND VGND VPWR VPWR _69292_/C sky130_fd_sc_hd__nor3_2
+XFILLER_703_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_662_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47669_ _47829_/A VGND VGND VPWR VPWR _47707_/A sky130_fd_sc_hd__buf_1
+XFILLER_20_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78489_ _78464_/CLK _48846_/Y VGND VGND VPWR VPWR _63546_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_66_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_380_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49408_ _62588_/A _49401_/B VGND VGND VPWR VPWR _49411_/A sky130_fd_sc_hd__nand2_2
+X_80520_ _80518_/CLK _76105_/Y VGND VGND VPWR VPWR _80520_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_408_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68242_ _68242_/A _67920_/X VGND VGND VPWR VPWR _68242_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_19_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65454_ _65454_/A _65103_/B VGND VGND VPWR VPWR _65454_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_430_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50680_ _62325_/A _50668_/X VGND VGND VPWR VPWR _50682_/A sky130_fd_sc_hd__nand2_2
+XFILLER_603_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62666_ _77875_/Q _62344_/X VGND VGND VPWR VPWR _62666_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_213_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_511_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_605_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_91_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_430_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_124_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_700_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64405_ _66814_/A VGND VGND VPWR VPWR _64682_/B sky130_fd_sc_hd__buf_1
+XFILLER_341_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_206_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_601_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_368_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61617_ _60836_/A VGND VGND VPWR VPWR _62089_/B sky130_fd_sc_hd__buf_1
+XFILLER_421_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80451_ _80420_/CLK _76390_/Y VGND VGND VPWR VPWR _80451_/Q sky130_fd_sc_hd__dfxtp_4
+X_68173_ _67809_/X _68173_/B VGND VGND VPWR VPWR _68174_/C sky130_fd_sc_hd__nor2_2
+X_49339_ _42005_/Y _49331_/X _42007_/Y _49332_/X VGND VGND VPWR VPWR _49505_/A sky130_fd_sc_hd__o22a_4
+XFILLER_495_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65385_ _80629_/Q _65714_/B VGND VGND VPWR VPWR _65387_/B sky130_fd_sc_hd__nor2_2
+XFILLER_593_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_56_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62597_ _51094_/A _62128_/B VGND VGND VPWR VPWR _62598_/C sky130_fd_sc_hd__nor2_2
+XFILLER_368_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_163_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67124_ _67124_/A _67780_/B VGND VGND VPWR VPWR _67124_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_143_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52350_ _77571_/Q _52357_/B VGND VGND VPWR VPWR _52350_/Y sky130_fd_sc_hd__nand2_2
+X_64336_ _80239_/Q _69267_/B VGND VGND VPWR VPWR _64341_/B sky130_fd_sc_hd__nor2_2
+XFILLER_716_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_304_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61548_ _77492_/Q _61246_/B VGND VGND VPWR VPWR _61550_/B sky130_fd_sc_hd__nor2_2
+XFILLER_37_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80382_ _80384_/CLK _38411_/Y VGND VGND VPWR VPWR _66903_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_584_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_691_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39023_ _39060_/A VGND VGND VPWR VPWR _39038_/B sky130_fd_sc_hd__buf_1
+X_51301_ _60868_/A _51307_/B VGND VGND VPWR VPWR _51301_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_261_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_194_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_178_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67055_ _80575_/Q _67055_/B VGND VGND VPWR VPWR _67056_/C sky130_fd_sc_hd__nor2_2
+XFILLER_438_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52281_ _52306_/B VGND VGND VPWR VPWR _52281_/X sky130_fd_sc_hd__buf_1
+XFILLER_279_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64267_ _64448_/A VGND VGND VPWR VPWR _64267_/X sky130_fd_sc_hd__buf_1
+XFILLER_394_185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61479_ _61479_/A _61325_/B VGND VGND VPWR VPWR _61479_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_206_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_274_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_456_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_537_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54020_ _77128_/Q _54017_/B VGND VGND VPWR VPWR _54020_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_398_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66006_ _57079_/A _65518_/X VGND VGND VPWR VPWR _66009_/B sky130_fd_sc_hd__nor2_2
+XFILLER_525_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51232_ _53056_/A VGND VGND VPWR VPWR _51372_/A sky130_fd_sc_hd__buf_1
+X_63218_ _59668_/A VGND VGND VPWR VPWR _63530_/B sky130_fd_sc_hd__buf_1
+XFILLER_200_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_276_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_571_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64198_ _64198_/A _69302_/B VGND VGND VPWR VPWR _64199_/C sky130_fd_sc_hd__nor2_2
+XFILLER_88_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_309_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_89_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_351_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_337_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_571_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_454_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_713_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81003_ _81004_/CLK _74175_/Y VGND VGND VPWR VPWR _81003_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_274_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_235_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_174_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51163_ _61933_/A _51160_/B VGND VGND VPWR VPWR _51165_/A sky130_fd_sc_hd__nand2_2
+XFILLER_710_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63149_ _63149_/A _63149_/B VGND VGND VPWR VPWR _63149_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_200_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_239_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_419_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_323_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50114_ _62089_/A _50114_/B VGND VGND VPWR VPWR _50114_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_701_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39925_ _40285_/A _39921_/X VGND VGND VPWR VPWR _39928_/A sky130_fd_sc_hd__or2_2
+XFILLER_137_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67957_ _58096_/A _68610_/B VGND VGND VPWR VPWR _67958_/C sky130_fd_sc_hd__nor2_2
+X_55971_ _56084_/A _56085_/A VGND VGND VPWR VPWR _55971_/X sky130_fd_sc_hd__or2_2
+X_51094_ _51094_/A _51086_/X VGND VGND VPWR VPWR _51094_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_372_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_255_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_93_0_u_core.clock clkbuf_9_93_0_u_core.clock/A VGND VGND VPWR VPWR _76941_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_530_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_27_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_131_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57710_ _57629_/X _57710_/B _57709_/Y VGND VGND VPWR VPWR _57715_/B sky130_fd_sc_hd__nor3_2
+XFILLER_350_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_217_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50045_ _50042_/Y _50045_/B VGND VGND VPWR VPWR _50045_/Y sky130_fd_sc_hd__nand2_2
+X_54922_ _54920_/Y _54921_/X VGND VGND VPWR VPWR _54922_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_311_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66908_ _66908_/A VGND VGND VPWR VPWR _67391_/B sky130_fd_sc_hd__buf_1
+XFILLER_288_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39856_ _39833_/A VGND VGND VPWR VPWR _39857_/B sky130_fd_sc_hd__buf_1
+XFILLER_389_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58690_ _58824_/A _58690_/B _58690_/C VGND VGND VPWR VPWR _58694_/B sky130_fd_sc_hd__nor3_2
+XFILLER_88_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67888_ _80388_/Q _67888_/B VGND VGND VPWR VPWR _67888_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_63_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38807_ _38688_/A _38800_/B VGND VGND VPWR VPWR _38810_/A sky130_fd_sc_hd__or2_2
+XFILLER_477_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57641_ _57641_/A _57874_/B VGND VGND VPWR VPWR _57642_/C sky130_fd_sc_hd__nor2_2
+XFILLER_686_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69627_ _69627_/A _69625_/B _69625_/C _69622_/D VGND VGND VPWR VPWR _69627_/X sky130_fd_sc_hd__or4_2
+XPHY_7238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54853_ _54853_/A _54867_/B VGND VGND VPWR VPWR _54856_/A sky130_fd_sc_hd__nand2_2
+XFILLER_287_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66839_ _80061_/Q _66350_/X VGND VGND VPWR VPWR _66839_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_248_248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39787_ _39833_/A VGND VGND VPWR VPWR _39806_/B sky130_fd_sc_hd__buf_1
+XFILLER_44_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_135_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_29_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_448_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_626_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_653_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_79_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53804_ _53801_/Y _53803_/X VGND VGND VPWR VPWR _53804_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_271_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_5_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38738_ _38731_/X _38631_/B _38738_/C VGND VGND VPWR VPWR _38739_/B sky130_fd_sc_hd__nand3_2
+XFILLER_467_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_311_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57572_ _57173_/A VGND VGND VPWR VPWR _57575_/A sky130_fd_sc_hd__buf_1
+XFILLER_465_3095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69558_ _79012_/Q _69558_/B _41865_/A VGND VGND VPWR VPWR _69558_/X sky130_fd_sc_hd__and3_2
+XFILLER_680_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54784_ _54813_/A _54768_/A VGND VGND VPWR VPWR _54785_/B sky130_fd_sc_hd__or2_2
+XFILLER_79_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_84_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_229_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51996_ _51939_/A _51993_/B VGND VGND VPWR VPWR _51997_/B sky130_fd_sc_hd__or2_2
+XPHY_6559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_607_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59311_ _59478_/A VGND VGND VPWR VPWR _59314_/A sky130_fd_sc_hd__buf_1
+XFILLER_426_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56523_ _80049_/Q _56764_/B VGND VGND VPWR VPWR _56523_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_582_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68509_ _44733_/A _69002_/B VGND VGND VPWR VPWR _68509_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_281_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53735_ _49913_/A _53799_/B VGND VGND VPWR VPWR _53745_/A sky130_fd_sc_hd__or2_2
+XPHY_38329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38669_ _38669_/A _38669_/B VGND VGND VPWR VPWR _38669_/X sky130_fd_sc_hd__or2_2
+X_50947_ _50919_/A _50956_/B VGND VGND VPWR VPWR _50947_/X sky130_fd_sc_hd__or2_2
+XFILLER_245_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69489_ _69489_/A _69488_/Y VGND VGND VPWR VPWR _69490_/C sky130_fd_sc_hd__nor2_2
+XFILLER_348_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_187_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_22_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_461_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40700_ _40693_/A _40700_/B _67165_/A VGND VGND VPWR VPWR _40700_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_623_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59242_ _59242_/A _59241_/X VGND VGND VPWR VPWR _59246_/B sky130_fd_sc_hd__nor2_2
+X_71520_ _70454_/X _71520_/B VGND VGND VPWR VPWR _71520_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_461_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56454_ _57665_/A VGND VGND VPWR VPWR _56772_/B sky130_fd_sc_hd__buf_1
+X_80718_ _80685_/CLK _75309_/Y VGND VGND VPWR VPWR _69429_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_563_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_271_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_16_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53666_ _77224_/Q _53663_/B VGND VGND VPWR VPWR _53666_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_426_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_404_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41680_ _41680_/A VGND VGND VPWR VPWR _41680_/X sky130_fd_sc_hd__buf_1
+XPHY_37639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_140_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_322_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50878_ _50991_/A _50878_/B VGND VGND VPWR VPWR _50879_/B sky130_fd_sc_hd__or2_2
+XFILLER_0_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_227_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55405_ _55373_/A _55404_/Y VGND VGND VPWR VPWR _55405_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_610_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_341_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40631_ _40628_/X _40630_/Y VGND VGND VPWR VPWR _40631_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_623_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52617_ _77498_/Q _52607_/X VGND VGND VPWR VPWR _52621_/A sky130_fd_sc_hd__nand2_2
+XFILLER_266_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59173_ _59891_/A VGND VGND VPWR VPWR _59407_/B sky130_fd_sc_hd__buf_1
+XFILLER_51_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71451_ _70445_/A _71451_/B VGND VGND VPWR VPWR _71452_/B sky130_fd_sc_hd__or2_2
+XFILLER_407_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_142_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80649_ _80659_/CLK _80649_/D VGND VGND VPWR VPWR _68683_/A sky130_fd_sc_hd__dfxtp_4
+X_56385_ _56366_/X _56375_/Y _56384_/Y VGND VGND VPWR VPWR _56409_/A sky130_fd_sc_hd__nor3_2
+XPHY_26415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_0_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_576_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53597_ _53512_/A _53609_/B VGND VGND VPWR VPWR _53597_/X sky130_fd_sc_hd__or2_2
+XFILLER_402_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_420_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_305_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_26437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58124_ _58124_/A _58123_/Y VGND VGND VPWR VPWR _58124_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_16_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70402_ _70402_/A _70180_/B VGND VGND VPWR VPWR _70405_/B sky130_fd_sc_hd__nor2_2
+XFILLER_559_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43350_ _43350_/A _43354_/B _56647_/A VGND VGND VPWR VPWR _43350_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_220_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55336_ _55320_/A _55335_/Y VGND VGND VPWR VPWR _55336_/X sky130_fd_sc_hd__or2_2
+X_74170_ _74167_/X _74169_/Y VGND VGND VPWR VPWR _74170_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_519_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40562_ _40543_/A VGND VGND VPWR VPWR _40563_/B sky130_fd_sc_hd__buf_1
+XPHY_25714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52548_ _52548_/A _52548_/B VGND VGND VPWR VPWR _52548_/Y sky130_fd_sc_hd__nand2_2
+XPHY_26459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71382_ _42430_/B VGND VGND VPWR VPWR _71383_/B sky130_fd_sc_hd__buf_1
+XFILLER_298_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_235_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_9_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42301_ _42301_/A _42298_/Y _42300_/Y VGND VGND VPWR VPWR _42308_/A sky130_fd_sc_hd__nor3_2
+XFILLER_157_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73121_ _73023_/A VGND VGND VPWR VPWR _73216_/A sky130_fd_sc_hd__buf_1
+XPHY_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58055_ _56348_/A VGND VGND VPWR VPWR _58056_/B sky130_fd_sc_hd__buf_1
+X_70333_ _70330_/Y _70193_/X _70194_/X _70332_/X VGND VGND VPWR VPWR _70333_/X sky130_fd_sc_hd__o22a_4
+XFILLER_534_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43281_ _42964_/A VGND VGND VPWR VPWR _43281_/X sky130_fd_sc_hd__buf_1
+XFILLER_201_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_396_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55267_ _43502_/A _45028_/B VGND VGND VPWR VPWR _55267_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_617_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_593_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40493_ _40619_/A _40493_/B VGND VGND VPWR VPWR _40493_/X sky130_fd_sc_hd__or2_2
+X_52479_ _52566_/A _52487_/B VGND VGND VPWR VPWR _52479_/X sky130_fd_sc_hd__or2_2
+XFILLER_528_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_519_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_138_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_120_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45020_ _45020_/A VGND VGND VPWR VPWR _45236_/A sky130_fd_sc_hd__buf_1
+XFILLER_516_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_491_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57006_ _80087_/Q _57006_/B VGND VGND VPWR VPWR _57008_/B sky130_fd_sc_hd__nor2_2
+XFILLER_437_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_433_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42232_ wbs_dat_i[27] VGND VGND VPWR VPWR _42232_/Y sky130_fd_sc_hd__inv_8
+XFILLER_16_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54218_ _54218_/A _54218_/B VGND VGND VPWR VPWR _77077_/D sky130_fd_sc_hd__nand2_2
+XFILLER_292_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73052_ _73050_/Y _73051_/X VGND VGND VPWR VPWR _81278_/D sky130_fd_sc_hd__nand2_2
+XFILLER_300_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_177_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70264_ _70303_/A _70264_/B VGND VGND VPWR VPWR _70264_/X sky130_fd_sc_hd__or2_2
+XFILLER_554_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_652_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55198_ _79464_/Q _55197_/Y VGND VGND VPWR VPWR _55198_/Y sky130_fd_sc_hd__nor2_2
+XPHY_13800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_437_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_314_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72003_ _70964_/X _71427_/A _72003_/C VGND VGND VPWR VPWR _72003_/Y sky130_fd_sc_hd__nor3_2
+XPHY_13822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_390_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_299_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42163_ _71045_/A _71044_/X _71046_/A VGND VGND VPWR VPWR _42164_/B sky130_fd_sc_hd__and3_2
+XPHY_13833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54149_ _77096_/Q _54146_/B VGND VGND VPWR VPWR _54149_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_571_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77860_ _77836_/CLK _77860_/D VGND VGND VPWR VPWR _61651_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70195_ _79530_/Q VGND VGND VPWR VPWR _70195_/Y sky130_fd_sc_hd__inv_8
+XPHY_14589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_41114_ _41123_/A _41118_/B _65799_/A VGND VGND VPWR VPWR _41114_/Y sky130_fd_sc_hd__nand3_2
+XPHY_31234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_119_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76811_ _79174_/CLK _55429_/Y VGND VGND VPWR VPWR _71381_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_333_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_84_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46971_ _46955_/A _46971_/B VGND VGND VPWR VPWR _46977_/A sky130_fd_sc_hd__or2_2
+XPHY_13888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42094_ _42094_/A _42094_/B VGND VGND VPWR VPWR _42096_/A sky130_fd_sc_hd__nor2_2
+XFILLER_676_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_153_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58957_ _59184_/A VGND VGND VPWR VPWR _58979_/A sky130_fd_sc_hd__buf_1
+XPHY_30511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77791_ _77790_/CLK _77791_/D VGND VGND VPWR VPWR _60861_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_165_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_31267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_10_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48710_ _63823_/A _48706_/B VGND VGND VPWR VPWR _48712_/A sky130_fd_sc_hd__nand2_2
+XPHY_9152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79530_ _79119_/CLK _42082_/Y VGND VGND VPWR VPWR _79530_/Q sky130_fd_sc_hd__dfxtp_4
+X_41045_ _41036_/A _41030_/B _58257_/A VGND VGND VPWR VPWR _41046_/B sky130_fd_sc_hd__nand3_2
+X_45922_ _41647_/A _45930_/B VGND VGND VPWR VPWR _45924_/B sky130_fd_sc_hd__nor2_2
+XPHY_30544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57908_ _57672_/X _57908_/B _57907_/Y VGND VGND VPWR VPWR _57908_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_411_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76742_ _78586_/CLK _76742_/D VGND VGND VPWR VPWR _44952_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49690_ _49690_/A _49702_/B VGND VGND VPWR VPWR _49690_/X sky130_fd_sc_hd__or2_2
+X_73954_ _73954_/A _73954_/B VGND VGND VPWR VPWR _73954_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_45_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58888_ _62469_/A VGND VGND VPWR VPWR _63952_/A sky130_fd_sc_hd__buf_1
+XFILLER_685_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_322_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_23_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48641_ _78541_/Q _48614_/B VGND VGND VPWR VPWR _48641_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_548_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72905_ _73807_/A _72910_/B VGND VGND VPWR VPWR _72905_/X sky130_fd_sc_hd__or2_2
+XFILLER_295_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79461_ _79533_/CLK _42734_/Y VGND VGND VPWR VPWR _69996_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_212_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_428_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45853_ _45719_/B _45853_/B _45847_/C VGND VGND VPWR VPWR _45854_/C sky130_fd_sc_hd__nor3_2
+XFILLER_455_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57839_ _57597_/A _57836_/Y _57838_/Y VGND VGND VPWR VPWR _57839_/Y sky130_fd_sc_hd__nor3_2
+XPHY_30599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76673_ _76671_/CLK _76673_/D VGND VGND VPWR VPWR _76673_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_672_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73885_ _73643_/A _73885_/B VGND VGND VPWR VPWR _73885_/X sky130_fd_sc_hd__or2_2
+XFILLER_368_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_79_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78412_ _78405_/CLK _78412_/D VGND VGND VPWR VPWR _49141_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_114_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_181_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44804_ _76149_/A _44748_/B VGND VGND VPWR VPWR _44805_/B sky130_fd_sc_hd__or2_2
+X_75624_ _75624_/A VGND VGND VPWR VPWR _75643_/A sky130_fd_sc_hd__buf_1
+XFILLER_349_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72836_ _72832_/X _72836_/B VGND VGND VPWR VPWR _81326_/D sky130_fd_sc_hd__nand2_2
+X_60850_ _77767_/Q _60377_/X VGND VGND VPWR VPWR _60851_/C sky130_fd_sc_hd__nor2_2
+XPHY_7772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48572_ _48572_/A _48571_/X VGND VGND VPWR VPWR _78558_/D sky130_fd_sc_hd__nand2_2
+XFILLER_548_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_466_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79392_ _81285_/CLK _79392_/D VGND VGND VPWR VPWR _68260_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_626_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45784_ _42259_/Y _45752_/X _45668_/X _45783_/Y VGND VGND VPWR VPWR _45784_/X sky130_fd_sc_hd__o22a_4
+XPHY_39520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_94_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42996_ _42996_/A _42996_/B VGND VGND VPWR VPWR _79413_/D sky130_fd_sc_hd__nand2_2
+XFILLER_7_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_110_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_561_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_43_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47523_ _48001_/A VGND VGND VPWR VPWR _47621_/A sky130_fd_sc_hd__buf_1
+XFILLER_75_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59509_ _77039_/Q _59348_/B VGND VGND VPWR VPWR _59510_/C sky130_fd_sc_hd__nor2_2
+XFILLER_236_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78343_ _79164_/CLK _49420_/Y VGND VGND VPWR VPWR _62119_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_564_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44735_ _44724_/A _44732_/Y _44735_/C VGND VGND VPWR VPWR _79200_/D sky130_fd_sc_hd__nor3_2
+XFILLER_78_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75555_ _75555_/A _75555_/B VGND VGND VPWR VPWR _75555_/Y sky130_fd_sc_hd__nand2_2
+X_41947_ _41947_/A _41939_/Y _41947_/C VGND VGND VPWR VPWR _41947_/X sky130_fd_sc_hd__or3_2
+XPHY_39564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60781_ _77583_/Q _60781_/B VGND VGND VPWR VPWR _60781_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_21_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72767_ _72765_/X _72767_/B VGND VGND VPWR VPWR _81344_/D sky130_fd_sc_hd__nand2_2
+XFILLER_526_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_503_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_35_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62520_ _61891_/X _62518_/Y _62519_/Y VGND VGND VPWR VPWR _62524_/B sky130_fd_sc_hd__nor3_2
+XPHY_38852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74506_ _74505_/Y _74510_/B VGND VGND VPWR VPWR _74506_/X sky130_fd_sc_hd__or2_2
+XPHY_39597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_522_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47454_ _47454_/A VGND VGND VPWR VPWR _58990_/A sky130_fd_sc_hd__buf_1
+X_71718_ _69912_/Y _71718_/B VGND VGND VPWR VPWR _71718_/X sky130_fd_sc_hd__or2_2
+XFILLER_127_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78274_ _78277_/CLK _49691_/Y VGND VGND VPWR VPWR _49688_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_38863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44666_ _44659_/Y _44901_/A _44663_/X _44665_/X VGND VGND VPWR VPWR _44666_/X sky130_fd_sc_hd__or4_2
+X_75486_ _76131_/A VGND VGND VPWR VPWR _75876_/A sky130_fd_sc_hd__buf_1
+XPHY_29085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_331_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_688_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41878_ _41868_/X _41877_/X _45311_/A _41874_/X VGND VGND VPWR VPWR _41878_/X sky130_fd_sc_hd__o22a_4
+XPHY_38874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72698_ _72698_/A _72698_/B VGND VGND VPWR VPWR _81362_/D sky130_fd_sc_hd__nand2_2
+XFILLER_95_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46405_ _46398_/Y _46401_/Y _46405_/C _46404_/Y VGND VGND VPWR VPWR _46405_/X sky130_fd_sc_hd__or4_2
+XPHY_38896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77225_ _77223_/CLK _53665_/Y VGND VGND VPWR VPWR _77225_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_16_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43617_ _43544_/A VGND VGND VPWR VPWR _43617_/X sky130_fd_sc_hd__buf_1
+XFILLER_160_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62451_ _62363_/X _62451_/B _62450_/Y VGND VGND VPWR VPWR _62452_/B sky130_fd_sc_hd__nor3_2
+XFILLER_605_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74437_ _74434_/X _74436_/X VGND VGND VPWR VPWR _80935_/D sky130_fd_sc_hd__nand2_2
+XFILLER_397_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40829_ _40590_/A _40829_/B VGND VGND VPWR VPWR _40829_/X sky130_fd_sc_hd__or2_2
+XFILLER_600_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_108_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47385_ _47452_/A _47359_/X VGND VGND VPWR VPWR _47386_/B sky130_fd_sc_hd__or2_2
+XFILLER_700_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71649_ _71643_/X _71649_/B _71646_/Y _71649_/D VGND VGND VPWR VPWR _71649_/X sky130_fd_sc_hd__or4_2
+XFILLER_499_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_389_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44597_ _44360_/B VGND VGND VPWR VPWR _44598_/B sky130_fd_sc_hd__inv_8
+XFILLER_305_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_403_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_160_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_501_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61402_ _61402_/A _61402_/B _61402_/C VGND VGND VPWR VPWR _61406_/B sky130_fd_sc_hd__nor3_2
+X_49124_ _49211_/A _49120_/X VGND VGND VPWR VPWR _49125_/B sky130_fd_sc_hd__or2_2
+XFILLER_657_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46336_ _46336_/A VGND VGND VPWR VPWR _46336_/X sky130_fd_sc_hd__buf_1
+X_65170_ _64362_/X VGND VGND VPWR VPWR _65839_/B sky130_fd_sc_hd__buf_1
+XFILLER_520_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77156_ _77714_/CLK _53918_/Y VGND VGND VPWR VPWR _77156_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_676_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43548_ _43548_/A VGND VGND VPWR VPWR _43549_/A sky130_fd_sc_hd__buf_1
+XFILLER_594_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_559_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62382_ _49990_/A _62544_/B VGND VGND VPWR VPWR _62382_/Y sky130_fd_sc_hd__nor2_2
+XPHY_17160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74368_ _74371_/A _74371_/B _80951_/Q VGND VGND VPWR VPWR _74369_/B sky130_fd_sc_hd__nand3_2
+XFILLER_241_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_176_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_223_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_353_0_u_core.clock clkbuf_9_353_0_u_core.clock/A VGND VGND VPWR VPWR _77663_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_403_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_438_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64121_ _64121_/A VGND VGND VPWR VPWR _68027_/A sky130_fd_sc_hd__buf_1
+XFILLER_553_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76107_ _76254_/A _76085_/X VGND VGND VPWR VPWR _76107_/X sky130_fd_sc_hd__or2_2
+XFILLER_121_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61333_ _77802_/Q _61171_/B VGND VGND VPWR VPWR _61334_/C sky130_fd_sc_hd__nor2_2
+XFILLER_657_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73319_ _73319_/A _73318_/X VGND VGND VPWR VPWR _81217_/D sky130_fd_sc_hd__nand2_2
+X_49055_ _49052_/Y _49054_/X VGND VGND VPWR VPWR _49055_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_15_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_337_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46267_ _41783_/Y _41981_/A VGND VGND VPWR VPWR _46268_/B sky130_fd_sc_hd__nor2_2
+XFILLER_416_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77087_ _77379_/CLK _77087_/D VGND VGND VPWR VPWR _59473_/A sky130_fd_sc_hd__dfxtp_4
+X_43479_ _43476_/A _43476_/B _56380_/A VGND VGND VPWR VPWR _43479_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_31_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74299_ _74242_/X VGND VGND VPWR VPWR _74308_/A sky130_fd_sc_hd__buf_1
+XFILLER_340_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_714_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48006_ _48037_/A _48006_/B VGND VGND VPWR VPWR _48007_/B sky130_fd_sc_hd__or2_2
+XPHY_16492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45218_ _45218_/A _45218_/B VGND VGND VPWR VPWR _45218_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_201_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64052_ _59767_/A _64050_/Y _64051_/Y VGND VGND VPWR VPWR _64053_/C sky130_fd_sc_hd__nor3_2
+X_76038_ _76038_/A _76027_/B _65732_/A VGND VGND VPWR VPWR _76038_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_592_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_395_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61264_ _77602_/Q _61106_/B VGND VGND VPWR VPWR _61264_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_518_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46198_ _43212_/A _46696_/A _46197_/X VGND VGND VPWR VPWR _46208_/B sky130_fd_sc_hd__a21oi_4
+XFILLER_473_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_297_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_184_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_318_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_305_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63003_ _63003_/A _63003_/B _63003_/C VGND VGND VPWR VPWR _63004_/C sky130_fd_sc_hd__nor3_2
+XFILLER_89_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60215_ _60215_/A _59892_/B VGND VGND VPWR VPWR _60215_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_102_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45149_ _45061_/A _45148_/Y VGND VGND VPWR VPWR _45149_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68860_ _68860_/A _68855_/Y _68859_/Y VGND VGND VPWR VPWR _68868_/B sky130_fd_sc_hd__nor3_2
+XFILLER_553_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_293_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_553_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_360_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61195_ _61195_/A _61194_/Y VGND VGND VPWR VPWR _61196_/B sky130_fd_sc_hd__nor2_2
+XFILLER_67_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_306_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_217_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_469_0_u_core.clock clkbuf_9_469_0_u_core.clock/A VGND VGND VPWR VPWR _80862_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_360_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67811_ _67811_/A _67473_/B VGND VGND VPWR VPWR _67811_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_695_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_488_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60146_ _76987_/Q _60146_/B VGND VGND VPWR VPWR _60149_/B sky130_fd_sc_hd__nor2_2
+XFILLER_171_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49957_ _78201_/Q _49961_/B VGND VGND VPWR VPWR _49957_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68791_ _65497_/A VGND VGND VPWR VPWR _68791_/X sky130_fd_sc_hd__buf_1
+XFILLER_131_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_271_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_236_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_332_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77989_ _78494_/CLK _50758_/Y VGND VGND VPWR VPWR _77989_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_32491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39710_ _39656_/A VGND VGND VPWR VPWR _39710_/X sky130_fd_sc_hd__buf_1
+XFILLER_531_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_711_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_115_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_449_3035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48908_ _63236_/A _48893_/B VGND VGND VPWR VPWR _48910_/A sky130_fd_sc_hd__nand2_2
+XFILLER_664_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67742_ _67742_/A _67742_/B VGND VGND VPWR VPWR _67742_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_531_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79728_ _80013_/CLK _40996_/Y VGND VGND VPWR VPWR _64624_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_609_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60077_ _60077_/A _59757_/B VGND VGND VPWR VPWR _60079_/B sky130_fd_sc_hd__nor2_2
+X_64954_ _64954_/A _64629_/X VGND VGND VPWR VPWR _64954_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_97_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49888_ _49917_/A _49906_/B VGND VGND VPWR VPWR _49888_/X sky130_fd_sc_hd__or2_2
+XFILLER_171_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_312_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_386_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_447_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_474_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_468_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_45_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_297_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_98_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39641_ _39633_/A _39653_/B _58367_/A VGND VGND VPWR VPWR _39641_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_367_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63905_ _63905_/A _59213_/B VGND VGND VPWR VPWR _63905_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_691_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67673_ _66027_/A VGND VGND VPWR VPWR _67676_/A sky130_fd_sc_hd__buf_1
+XFILLER_468_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_449_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48839_ _48839_/A _48838_/X VGND VGND VPWR VPWR _48839_/Y sky130_fd_sc_hd__nand2_2
+X_79659_ _79599_/CLK _41290_/Y VGND VGND VPWR VPWR _58638_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_41_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_707_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64885_ _64885_/A _65580_/B VGND VGND VPWR VPWR _64886_/C sky130_fd_sc_hd__nor2_2
+XFILLER_85_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_681_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_140_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_301_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69412_ _69552_/A _69412_/B _69412_/C VGND VGND VPWR VPWR _69413_/B sky130_fd_sc_hd__nor3_2
+XFILLER_445_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_187_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66624_ _66464_/X _66622_/Y _66624_/C VGND VGND VPWR VPWR _66628_/B sky130_fd_sc_hd__nor3_2
+XFILLER_548_2992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51850_ _51850_/A _51850_/B VGND VGND VPWR VPWR _51850_/X sky130_fd_sc_hd__or2_2
+X_39572_ _39629_/A VGND VGND VPWR VPWR _39572_/X sky130_fd_sc_hd__buf_1
+XFILLER_642_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_3071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_3022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63836_ _63836_/A _63705_/B VGND VGND VPWR VPWR _63836_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_39_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_529_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_384_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_560_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_113_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_2845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38523_ _38523_/A _38523_/B _67726_/A VGND VGND VPWR VPWR _38523_/Y sky130_fd_sc_hd__nand3_2
+X_50801_ _50830_/A _50807_/B VGND VGND VPWR VPWR _50801_/X sky130_fd_sc_hd__or2_2
+XFILLER_564_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69343_ _72718_/C _69343_/B VGND VGND VPWR VPWR _69345_/B sky130_fd_sc_hd__nor2_2
+XFILLER_254_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66555_ _66555_/A _66555_/B VGND VGND VPWR VPWR _66557_/B sky130_fd_sc_hd__nor2_2
+XFILLER_607_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_93_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51781_ _51809_/A _51790_/B VGND VGND VPWR VPWR _51781_/X sky130_fd_sc_hd__or2_2
+XFILLER_408_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_623_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63767_ _63636_/A _63759_/Y _63766_/Y VGND VGND VPWR VPWR _63767_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60979_ _60979_/A _60665_/B VGND VGND VPWR VPWR _60979_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_423_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_427_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_78_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53520_ _77263_/Q _53523_/B VGND VGND VPWR VPWR _53520_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_282_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65506_ _65488_/Y _65506_/B VGND VGND VPWR VPWR _65506_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_705_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_589_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38454_ _38454_/A _38443_/B _64890_/A VGND VGND VPWR VPWR _38454_/Y sky130_fd_sc_hd__nand3_2
+X_62718_ _62718_/A _62717_/Y VGND VGND VPWR VPWR _62719_/B sky130_fd_sc_hd__nor2_2
+X_50732_ _50731_/X VGND VGND VPWR VPWR _50732_/X sky130_fd_sc_hd__buf_1
+XFILLER_243_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_282_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69274_ _68670_/A _69266_/Y _69274_/C VGND VGND VPWR VPWR _69275_/B sky130_fd_sc_hd__nor3_2
+XPHY_3708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66486_ _66155_/A _66483_/Y _66485_/Y VGND VGND VPWR VPWR _66486_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_241_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63698_ _63698_/A _59064_/X VGND VGND VPWR VPWR _63700_/B sky130_fd_sc_hd__nor2_2
+XFILLER_415_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_387_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_525_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80503_ _80473_/CLK _80503_/D VGND VGND VPWR VPWR _65737_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_599_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68225_ _68392_/A _68223_/Y _68225_/C VGND VGND VPWR VPWR _68226_/C sky130_fd_sc_hd__nor3_2
+XFILLER_380_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_306_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_620_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53451_ _53451_/A _53448_/B VGND VGND VPWR VPWR _53451_/X sky130_fd_sc_hd__or2_2
+XFILLER_94_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65437_ _74124_/C _64914_/B VGND VGND VPWR VPWR _65437_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_39_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38385_ _38392_/A _38385_/B _38385_/C VGND VGND VPWR VPWR _38385_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_478_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_306_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50663_ _61693_/A _50663_/B VGND VGND VPWR VPWR _50665_/A sky130_fd_sc_hd__nand2_2
+XFILLER_263_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62649_ _62180_/X _62647_/Y _62648_/Y VGND VGND VPWR VPWR _62649_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_243_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_81483_ _81470_/CLK _81483_/D VGND VGND VPWR VPWR _69088_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_659_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_74_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_126_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_397_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52402_ _52402_/A _52413_/B VGND VGND VPWR VPWR _52405_/A sky130_fd_sc_hd__nand2_2
+XFILLER_456_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_206_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56170_ _45147_/Y _56157_/X VGND VGND VPWR VPWR _56171_/B sky130_fd_sc_hd__nor2_2
+X_80434_ _80465_/CLK _38214_/Y VGND VGND VPWR VPWR _64893_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_557_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68156_ _58133_/A _68156_/B VGND VGND VPWR VPWR _68158_/B sky130_fd_sc_hd__nor2_2
+XFILLER_718_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65368_ _66197_/A VGND VGND VPWR VPWR _65699_/B sky130_fd_sc_hd__buf_1
+XFILLER_397_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53382_ _53405_/B VGND VGND VPWR VPWR _53401_/B sky130_fd_sc_hd__buf_1
+XFILLER_21_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_577_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50594_ _50594_/A _50594_/B VGND VGND VPWR VPWR _78034_/D sky130_fd_sc_hd__nand2_2
+XFILLER_378_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_328_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_16_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67107_ _72770_/C _67106_/X VGND VGND VPWR VPWR _67110_/B sky130_fd_sc_hd__nor2_2
+X_55121_ _55121_/A VGND VGND VPWR VPWR _55128_/B sky130_fd_sc_hd__inv_8
+XFILLER_356_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64319_ _67494_/A VGND VGND VPWR VPWR _69404_/A sky130_fd_sc_hd__buf_1
+X_52333_ _52181_/A VGND VGND VPWR VPWR _52334_/A sky130_fd_sc_hd__buf_1
+XFILLER_516_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_573_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80365_ _80367_/CLK _38476_/Y VGND VGND VPWR VPWR _69308_/A sky130_fd_sc_hd__dfxtp_4
+X_68087_ _65452_/A VGND VGND VPWR VPWR _68747_/A sky130_fd_sc_hd__buf_1
+XFILLER_198_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_1613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_358_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65299_ _65299_/A _64781_/B VGND VGND VPWR VPWR _65301_/B sky130_fd_sc_hd__nor2_2
+XFILLER_590_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_355_336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_178_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39006_ _39012_/A _39017_/B _39006_/C VGND VGND VPWR VPWR _39006_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_301_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_391_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55052_ _55050_/X _55052_/B VGND VGND VPWR VPWR _76852_/D sky130_fd_sc_hd__or2_2
+X_67038_ _66877_/A _67038_/B _67038_/C VGND VGND VPWR VPWR _67043_/B sky130_fd_sc_hd__nor3_2
+XFILLER_393_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_653_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_317_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52264_ _52172_/A _52267_/B VGND VGND VPWR VPWR _52264_/X sky130_fd_sc_hd__or2_2
+XPHY_23619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80296_ _80346_/CLK _38769_/Y VGND VGND VPWR VPWR _80296_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_11_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_516_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_370_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_699_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54003_ _54001_/Y _54002_/X VGND VGND VPWR VPWR _77133_/D sky130_fd_sc_hd__nand2_2
+XFILLER_356_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_370_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51215_ _51213_/Y _51215_/B VGND VGND VPWR VPWR _77864_/D sky130_fd_sc_hd__nand2_2
+XPHY_13129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59860_ _59376_/A _59858_/Y _59859_/Y VGND VGND VPWR VPWR _59864_/B sky130_fd_sc_hd__nor3_2
+XFILLER_200_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52195_ _52220_/B VGND VGND VPWR VPWR _52195_/X sky130_fd_sc_hd__buf_1
+XFILLER_237_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_119_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_254_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_454_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58811_ _58610_/A _58811_/B _58810_/Y VGND VGND VPWR VPWR _58812_/B sky130_fd_sc_hd__nor3_2
+XFILLER_713_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51146_ _51143_/Y _51145_/X VGND VGND VPWR VPWR _51146_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_159_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_658_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59791_ _76921_/Q _59632_/B VGND VGND VPWR VPWR _59793_/B sky130_fd_sc_hd__nor2_2
+XFILLER_172_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_432_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_11_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68989_ _68989_/A VGND VGND VPWR VPWR _68990_/B sky130_fd_sc_hd__buf_1
+XFILLER_66_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_467_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39908_ _39907_/X _39904_/B _68966_/A VGND VGND VPWR VPWR _39908_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_137_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_176_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58742_ _80301_/Q _58464_/B VGND VGND VPWR VPWR _58742_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_412_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_333_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_277_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_24_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55954_ _55894_/X _55954_/B VGND VGND VPWR VPWR _55955_/B sky130_fd_sc_hd__nor2_2
+X_51077_ _51019_/A _51080_/B VGND VGND VPWR VPWR _51078_/B sky130_fd_sc_hd__or2_2
+XFILLER_432_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_313_1619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_311_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_137_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_673_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_6_18_0_u_core.clock clkbuf_5_9_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_37_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_7_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50028_ _50028_/A _50028_/B VGND VGND VPWR VPWR _50028_/X sky130_fd_sc_hd__or2_2
+XFILLER_477_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54905_ _54961_/A _54889_/A VGND VGND VPWR VPWR _54905_/X sky130_fd_sc_hd__or2_2
+XFILLER_277_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_437_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39839_ _39843_/A _39834_/B _80025_/Q VGND VGND VPWR VPWR _39839_/Y sky130_fd_sc_hd__nand3_2
+X_70951_ _71845_/A _70950_/Y VGND VGND VPWR VPWR _70951_/Y sky130_fd_sc_hd__nor2_2
+X_58673_ _58373_/A _58673_/B _58672_/Y VGND VGND VPWR VPWR _58673_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_654_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55885_ _69690_/A _55885_/B _55885_/C VGND VGND VPWR VPWR _55890_/C sky130_fd_sc_hd__and3_2
+XFILLER_627_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_713_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_48_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_opt_10_u_core.clock _79559_/CLK VGND VGND VPWR VPWR _79007_/CLK sky130_fd_sc_hd__clkbuf_16
+XFILLER_508_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_213_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_95_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_277_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57624_ _57705_/A _57623_/Y VGND VGND VPWR VPWR _57624_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_292_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42850_ _42843_/A _42849_/X VGND VGND VPWR VPWR _42851_/C sky130_fd_sc_hd__and2_2
+XFILLER_582_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54836_ _53332_/A VGND VGND VPWR VPWR _54952_/A sky130_fd_sc_hd__buf_1
+XFILLER_2_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73670_ _73652_/A VGND VGND VPWR VPWR _73671_/B sky130_fd_sc_hd__buf_1
+XFILLER_189_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70882_ _70882_/A VGND VGND VPWR VPWR _70882_/X sky130_fd_sc_hd__buf_1
+XFILLER_330_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_40_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_185_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_660_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_29_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41801_ _41804_/B _41801_/B VGND VGND VPWR VPWR _41801_/X sky130_fd_sc_hd__or2_2
+XFILLER_79_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72621_ _72619_/X _72621_/B VGND VGND VPWR VPWR _81383_/D sky130_fd_sc_hd__nand2_2
+XFILLER_324_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_426_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57555_ _57715_/A _57549_/Y _57555_/C VGND VGND VPWR VPWR _57563_/A sky130_fd_sc_hd__nor3_2
+XPHY_38115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_660_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54767_ _76930_/Q _54771_/B VGND VGND VPWR VPWR _54767_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_265_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42781_ _42747_/X _70764_/X _70763_/X _42748_/X VGND VGND VPWR VPWR _42781_/X sky130_fd_sc_hd__o22a_4
+XFILLER_166_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51979_ _61467_/A _51989_/B VGND VGND VPWR VPWR _51981_/A sky130_fd_sc_hd__nand2_2
+XPHY_6389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_245_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_281_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_480_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44520_ _44520_/A _44520_/B VGND VGND VPWR VPWR _79222_/D sky130_fd_sc_hd__nor2_2
+X_56506_ _79825_/Q _56752_/B VGND VGND VPWR VPWR _56507_/C sky130_fd_sc_hd__nor2_2
+XPHY_5666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75340_ _75337_/X _75340_/B VGND VGND VPWR VPWR _80710_/D sky130_fd_sc_hd__nand2_2
+XFILLER_205_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_260_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41732_ _45859_/A VGND VGND VPWR VPWR _46251_/A sky130_fd_sc_hd__inv_8
+XPHY_37414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53718_ _53715_/Y _53717_/X VGND VGND VPWR VPWR _53718_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72552_ _72673_/A _72549_/B VGND VGND VPWR VPWR _72554_/A sky130_fd_sc_hd__or2_2
+XFILLER_328_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57486_ _57567_/A _57486_/B _57486_/C VGND VGND VPWR VPWR _57490_/B sky130_fd_sc_hd__nor3_2
+XFILLER_151_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54698_ _54698_/A _54694_/B VGND VGND VPWR VPWR _54701_/A sky130_fd_sc_hd__nand2_2
+XFILLER_233_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_658_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59225_ _59034_/X VGND VGND VPWR VPWR _59226_/A sky130_fd_sc_hd__buf_1
+X_71503_ _71224_/X _71488_/X _71240_/X _42624_/B VGND VGND VPWR VPWR _71504_/A sky130_fd_sc_hd__o22a_4
+XPHY_36713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44451_ _44343_/Y _44450_/X VGND VGND VPWR VPWR _44451_/X sky130_fd_sc_hd__or2_2
+XPHY_4976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56437_ _57650_/A VGND VGND VPWR VPWR _56440_/A sky130_fd_sc_hd__buf_1
+XFILLER_421_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_658_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_75271_ _75391_/A _75271_/B VGND VGND VPWR VPWR _75271_/X sky130_fd_sc_hd__or2_2
+X_41663_ _41663_/A _41663_/B _41663_/C VGND VGND VPWR VPWR _41664_/B sky130_fd_sc_hd__or3_2
+XPHY_36724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53649_ _53649_/A _53649_/B VGND VGND VPWR VPWR _53649_/Y sky130_fd_sc_hd__nand2_2
+XPHY_37469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72483_ _72472_/A _72472_/B _72483_/C VGND VGND VPWR VPWR _72484_/B sky130_fd_sc_hd__nand3_2
+XPHY_4987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_598_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_474_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77010_ _78386_/CLK _77010_/D VGND VGND VPWR VPWR _59954_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_341_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43402_ _43395_/A _43387_/B _43402_/C VGND VGND VPWR VPWR _43403_/B sky130_fd_sc_hd__nand3_2
+XFILLER_224_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74222_ _74222_/A _74221_/Y VGND VGND VPWR VPWR _74222_/Y sky130_fd_sc_hd__nand2_2
+Xclkbuf_2_1_1_u_core.clock clkbuf_2_1_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_2_1_2_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_35_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40614_ _40611_/X _40613_/Y VGND VGND VPWR VPWR _79830_/D sky130_fd_sc_hd__nand2_2
+XFILLER_126_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71434_ _71434_/A _42926_/A VGND VGND VPWR VPWR _71434_/X sky130_fd_sc_hd__or2_2
+X_59156_ _59155_/X VGND VGND VPWR VPWR _59402_/A sky130_fd_sc_hd__buf_1
+X_47170_ _47135_/A VGND VGND VPWR VPWR _47171_/C sky130_fd_sc_hd__buf_1
+XFILLER_51_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_51_0_u_core.clock clkbuf_7_51_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_51_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_693_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44382_ _44382_/A VGND VGND VPWR VPWR _44513_/C sky130_fd_sc_hd__buf_1
+XPHY_26245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56368_ _58509_/A VGND VGND VPWR VPWR _56375_/A sky130_fd_sc_hd__buf_1
+XFILLER_654_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_38_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41594_ _41103_/A _41582_/X VGND VGND VPWR VPWR _41596_/A sky130_fd_sc_hd__or2_2
+XFILLER_575_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_122_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_26267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_224_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46121_ _43089_/Y _78959_/Q VGND VGND VPWR VPWR _46122_/B sky130_fd_sc_hd__or2_2
+XFILLER_595_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58107_ _79973_/Q _57944_/X VGND VGND VPWR VPWR _58107_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_474_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43333_ _43333_/A _43337_/B _57053_/A VGND VGND VPWR VPWR _43333_/Y sky130_fd_sc_hd__nand3_2
+XPHY_15010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55319_ _55296_/X _55318_/Y VGND VGND VPWR VPWR _55319_/Y sky130_fd_sc_hd__nor2_2
+X_74153_ _74165_/A _73998_/B _81007_/Q VGND VGND VPWR VPWR _74154_/B sky130_fd_sc_hd__nand3_2
+XFILLER_107_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40545_ _40541_/X _40544_/Y VGND VGND VPWR VPWR _79849_/D sky130_fd_sc_hd__nand2_2
+XFILLER_197_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59087_ _59087_/A VGND VGND VPWR VPWR _59088_/A sky130_fd_sc_hd__buf_1
+X_71365_ _71423_/A _71364_/X VGND VGND VPWR VPWR _71365_/Y sky130_fd_sc_hd__nor2_2
+XPHY_15021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_590_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56299_ _80207_/Q _56447_/B VGND VGND VPWR VPWR _56303_/B sky130_fd_sc_hd__nor2_2
+XPHY_24810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73104_ _73102_/Y _73103_/X VGND VGND VPWR VPWR _73104_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_652_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_374_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46052_ _45658_/X VGND VGND VPWR VPWR _46053_/D sky130_fd_sc_hd__inv_8
+X_58038_ _67992_/A _58038_/B VGND VGND VPWR VPWR _58041_/B sky130_fd_sc_hd__nor2_2
+X_70316_ _76705_/Q VGND VGND VPWR VPWR _70318_/A sky130_fd_sc_hd__inv_8
+XFILLER_519_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_157_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43264_ _43264_/A _43263_/Y VGND VGND VPWR VPWR _43264_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_196_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74084_ _74755_/A VGND VGND VPWR VPWR _74086_/A sky130_fd_sc_hd__buf_1
+XPHY_15065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78961_ _78067_/CLK _46772_/Y VGND VGND VPWR VPWR _78961_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_576_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40476_ _40471_/X _40466_/X _66011_/A VGND VGND VPWR VPWR _40477_/B sky130_fd_sc_hd__nand3_2
+XPHY_25599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71296_ _74028_/A VGND VGND VPWR VPWR _39137_/A sky130_fd_sc_hd__buf_1
+XFILLER_357_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_276_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45003_ _76726_/Q VGND VGND VPWR VPWR _45003_/Y sky130_fd_sc_hd__inv_8
+XFILLER_218_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42215_ _42213_/Y _42249_/B VGND VGND VPWR VPWR _42219_/A sky130_fd_sc_hd__nor2_2
+XFILLER_120_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73035_ _73024_/X _73028_/X _67585_/A VGND VGND VPWR VPWR _73035_/Y sky130_fd_sc_hd__nand3_2
+X_77912_ _77920_/CLK _77912_/D VGND VGND VPWR VPWR _62171_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_177_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70247_ _70201_/X _70202_/Y VGND VGND VPWR VPWR _70247_/X sky130_fd_sc_hd__or2_2
+XPHY_14364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43195_ _43195_/A VGND VGND VPWR VPWR _43195_/Y sky130_fd_sc_hd__inv_8
+XFILLER_516_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78892_ _78897_/CLK _47184_/Y VGND VGND VPWR VPWR _78892_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_14375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_154_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_103_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60000_ _60334_/A _59998_/Y _60000_/C VGND VGND VPWR VPWR _60001_/C sky130_fd_sc_hd__nor3_2
+XFILLER_126_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49811_ _49811_/A _49811_/B VGND VGND VPWR VPWR _49811_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_49_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_677_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42146_ _42146_/A _42139_/B VGND VGND VPWR VPWR _42149_/A sky130_fd_sc_hd__nor2_2
+XFILLER_687_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77843_ _77840_/CLK _51318_/Y VGND VGND VPWR VPWR _77843_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_173_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70178_ _70161_/X _70176_/X VGND VGND VPWR VPWR _70178_/X sky130_fd_sc_hd__and2_2
+XFILLER_113_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_233_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59989_ _58921_/X VGND VGND VPWR VPWR _59990_/A sky130_fd_sc_hd__buf_1
+XPHY_12940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_665_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49742_ _49714_/A _49760_/B VGND VGND VPWR VPWR _49743_/B sky130_fd_sc_hd__or2_2
+XFILLER_84_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_330_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_292_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46954_ _46920_/X _71546_/B _71487_/B _46937_/X VGND VGND VPWR VPWR _46955_/B sky130_fd_sc_hd__o22a_4
+X_42077_ _69625_/A _42077_/B VGND VGND VPWR VPWR _42077_/Y sky130_fd_sc_hd__nor2_2
+X_77774_ _77799_/CLK _77774_/D VGND VGND VPWR VPWR _60701_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_64_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74986_ _74986_/A _74985_/X VGND VGND VPWR VPWR _74986_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_335_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_42_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_468_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79513_ _79518_/CLK _42149_/Y VGND VGND VPWR VPWR _42146_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_80_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_673_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41028_ _41000_/X VGND VGND VPWR VPWR _41028_/X sky130_fd_sc_hd__buf_1
+X_45905_ _41857_/Y _45815_/A _45780_/Y _45710_/X VGND VGND VPWR VPWR _45905_/X sky130_fd_sc_hd__o22a_4
+XPHY_30374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76725_ _79470_/CLK _76725_/D VGND VGND VPWR VPWR _76725_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_279_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_143_0_u_core.clock clkbuf_8_71_0_u_core.clock/X VGND VGND VPWR VPWR _79268_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_95_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49673_ _49787_/A _49673_/B VGND VGND VPWR VPWR _49673_/X sky130_fd_sc_hd__or2_2
+X_61951_ _61951_/A _61801_/B VGND VGND VPWR VPWR _61951_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_669_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73937_ _73814_/A _73923_/B VGND VGND VPWR VPWR _73939_/A sky130_fd_sc_hd__or2_2
+XFILLER_450_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46885_ _46036_/A VGND VGND VPWR VPWR _46972_/A sky130_fd_sc_hd__buf_1
+XFILLER_188_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_691_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_548_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_3030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_31_0_u_core.clock clkbuf_7_15_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_63_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_231_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_583_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60902_ _60902_/A _60436_/B VGND VGND VPWR VPWR _60904_/B sky130_fd_sc_hd__nor2_2
+XFILLER_114_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48624_ _48620_/Y _48623_/X VGND VGND VPWR VPWR _48624_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_509_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79444_ _79557_/CLK _79444_/D VGND VGND VPWR VPWR _42878_/A sky130_fd_sc_hd__dfxtp_4
+X_45836_ _70405_/X VGND VGND VPWR VPWR _45836_/Y sky130_fd_sc_hd__inv_8
+X_64670_ _39727_/C _65179_/B VGND VGND VPWR VPWR _64673_/B sky130_fd_sc_hd__nor2_2
+XFILLER_295_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76656_ _79353_/CLK _76656_/D VGND VGND VPWR VPWR _76656_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_472_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61882_ _61708_/X _61872_/Y _61881_/Y VGND VGND VPWR VPWR _61882_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_643_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73868_ _74276_/B VGND VGND VPWR VPWR _76224_/A sky130_fd_sc_hd__buf_1
+XFILLER_209_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_646_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_252_0_u_core.clock clkbuf_8_253_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_505_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_645_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_114_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_76_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63621_ _63483_/A _63621_/B _63621_/C VGND VGND VPWR VPWR _63637_/A sky130_fd_sc_hd__nor3_2
+X_75607_ _75607_/A _75599_/X VGND VGND VPWR VPWR _75607_/X sky130_fd_sc_hd__or2_2
+XFILLER_463_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60833_ _60833_/A VGND VGND VPWR VPWR _60838_/A sky130_fd_sc_hd__buf_1
+XFILLER_114_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72819_ _72817_/X _72818_/Y VGND VGND VPWR VPWR _81330_/D sky130_fd_sc_hd__nand2_2
+XFILLER_283_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_97_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48555_ _48586_/A _48552_/B VGND VGND VPWR VPWR _48556_/B sky130_fd_sc_hd__or2_2
+XFILLER_446_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79375_ _79342_/CLK _43342_/Y VGND VGND VPWR VPWR _56896_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_409_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_624_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45767_ _45767_/A _45767_/B _45766_/Y VGND VGND VPWR VPWR _45767_/Y sky130_fd_sc_hd__nor3_2
+XPHY_39350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76587_ VGND VGND VPWR VPWR _76587_/HI la_data_out[83] sky130_fd_sc_hd__conb_1
+XFILLER_229_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42979_ _42968_/A _42978_/X _65498_/A VGND VGND VPWR VPWR _42980_/B sky130_fd_sc_hd__nand3_2
+XFILLER_79_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73799_ _73762_/A VGND VGND VPWR VPWR _73804_/B sky130_fd_sc_hd__buf_1
+XFILLER_443_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_307_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_660_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47506_ _47320_/A VGND VGND VPWR VPWR _47988_/A sky130_fd_sc_hd__buf_1
+X_66340_ _66828_/A _66340_/B _66340_/C VGND VGND VPWR VPWR _66349_/B sky130_fd_sc_hd__nor3_2
+XFILLER_208_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78326_ _78823_/CLK _49481_/Y VGND VGND VPWR VPWR _61968_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_236_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44718_ _44716_/X _44717_/X VGND VGND VPWR VPWR _44718_/X sky130_fd_sc_hd__and2_2
+XFILLER_1_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_700_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63552_ _63552_/A _59005_/B VGND VGND VPWR VPWR _63552_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_1_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75538_ _75913_/A _75518_/B VGND VGND VPWR VPWR _75540_/A sky130_fd_sc_hd__or2_2
+XFILLER_349_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_342_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60764_ _77359_/Q _60143_/B VGND VGND VPWR VPWR _60765_/C sky130_fd_sc_hd__nor2_2
+XFILLER_307_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_286_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48486_ _48330_/A VGND VGND VPWR VPWR _48486_/X sky130_fd_sc_hd__buf_1
+XFILLER_676_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_444_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_259_0_u_core.clock clkbuf_9_259_0_u_core.clock/A VGND VGND VPWR VPWR _77283_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_381_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45698_ _45694_/Y _45697_/Y VGND VGND VPWR VPWR _45699_/B sky130_fd_sc_hd__nor2_2
+XFILLER_224_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_698_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62503_ _61873_/X _62501_/Y _62502_/Y VGND VGND VPWR VPWR _62503_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_224_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47437_ _47437_/A _47436_/X VGND VGND VPWR VPWR _47437_/X sky130_fd_sc_hd__or2_2
+XFILLER_34_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66271_ _66271_/A _65770_/B VGND VGND VPWR VPWR _66271_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_127_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_346_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78257_ _78756_/CLK _49750_/Y VGND VGND VPWR VPWR _78257_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_38693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44649_ _44776_/A VGND VGND VPWR VPWR _44681_/A sky130_fd_sc_hd__inv_8
+XFILLER_676_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63483_ _63483_/A _63474_/Y _63483_/C VGND VGND VPWR VPWR _63499_/A sky130_fd_sc_hd__nor3_2
+X_75469_ _75478_/A _75473_/B _80678_/Q VGND VGND VPWR VPWR _75469_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_559_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60695_ _60695_/A _60377_/X VGND VGND VPWR VPWR _60696_/C sky130_fd_sc_hd__nor2_2
+XFILLER_672_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68010_ _68010_/A _68008_/Y _68010_/C VGND VGND VPWR VPWR _68014_/B sky130_fd_sc_hd__nor3_2
+XPHY_28192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65222_ _65392_/A _65222_/B _65221_/Y VGND VGND VPWR VPWR _65236_/B sky130_fd_sc_hd__nor3_2
+X_77208_ _77230_/CLK _53724_/Y VGND VGND VPWR VPWR _59699_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_225_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_75_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62434_ _61813_/A _62432_/Y _62434_/C VGND VGND VPWR VPWR _62435_/C sky130_fd_sc_hd__nor3_2
+XPHY_37992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47368_ _63786_/A _47365_/B VGND VGND VPWR VPWR _47371_/A sky130_fd_sc_hd__nand2_2
+XFILLER_362_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78188_ _78191_/CLK _78188_/D VGND VGND VPWR VPWR _50007_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_492_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_600_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_554_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49107_ _49107_/A _49074_/A VGND VGND VPWR VPWR _49107_/X sky130_fd_sc_hd__or2_2
+XFILLER_590_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46319_ _45087_/A _46319_/B VGND VGND VPWR VPWR _46322_/B sky130_fd_sc_hd__nor2_2
+XFILLER_717_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65153_ _38966_/C _65501_/B VGND VGND VPWR VPWR _65156_/B sky130_fd_sc_hd__nor2_2
+XFILLER_320_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77139_ _77139_/CLK _77139_/D VGND VGND VPWR VPWR _60203_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_34_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_527_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62365_ _62365_/A VGND VGND VPWR VPWR _62531_/B sky130_fd_sc_hd__buf_1
+XFILLER_337_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47299_ _47299_/A VGND VGND VPWR VPWR _47343_/B sky130_fd_sc_hd__buf_1
+XFILLER_715_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_713_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_416_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64104_ _44620_/B _65025_/B VGND VGND VPWR VPWR _64116_/A sky130_fd_sc_hd__nor2_2
+XFILLER_337_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61316_ _61316_/A _61316_/B VGND VGND VPWR VPWR _61317_/B sky130_fd_sc_hd__nor2_2
+X_49038_ _49036_/Y _49037_/X VGND VGND VPWR VPWR _78438_/D sky130_fd_sc_hd__nand2_2
+X_80150_ _80121_/CLK _80150_/D VGND VGND VPWR VPWR _65691_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_293_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_121_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65084_ _64915_/A _65084_/B _65084_/C VGND VGND VPWR VPWR _65085_/C sky130_fd_sc_hd__nor3_2
+XFILLER_457_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69961_ _69960_/X VGND VGND VPWR VPWR _70388_/A sky130_fd_sc_hd__buf_1
+XFILLER_275_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62296_ _50800_/A _61986_/X VGND VGND VPWR VPWR _62296_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_542_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_474_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_318_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_11_0_u_core.clock clkbuf_8_5_0_u_core.clock/X VGND VGND VPWR VPWR _78535_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_635_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_380_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_541_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68912_ _65452_/A VGND VGND VPWR VPWR _69478_/A sky130_fd_sc_hd__buf_1
+X_64035_ _64035_/A _59210_/B VGND VGND VPWR VPWR _64035_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_258_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_533_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_395_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61247_ _77498_/Q _60936_/B VGND VGND VPWR VPWR _61248_/C sky130_fd_sc_hd__nor2_2
+X_80081_ _80104_/CLK _80081_/D VGND VGND VPWR VPWR _80081_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_473_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69892_ _69891_/X VGND VGND VPWR VPWR _69893_/A sky130_fd_sc_hd__buf_1
+XFILLER_334_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_711_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51000_ _62641_/A _50994_/X VGND VGND VPWR VPWR _51000_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_105_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_321_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68843_ _68843_/A _68677_/Y _68681_/X _68842_/Y VGND VGND VPWR VPWR _76711_/D sky130_fd_sc_hd__or4_2
+XFILLER_553_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61178_ _60396_/X VGND VGND VPWR VPWR _61179_/B sky130_fd_sc_hd__buf_1
+XFILLER_568_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_116_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_511_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_67_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_47_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_271_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_550_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_467_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60129_ _53563_/A _59646_/B VGND VGND VPWR VPWR _60131_/B sky130_fd_sc_hd__nor2_2
+XFILLER_271_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_41_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_132_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68774_ _58499_/A _69080_/B VGND VGND VPWR VPWR _68776_/B sky130_fd_sc_hd__nor2_2
+XFILLER_291_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65986_ _65986_/A _66153_/B VGND VGND VPWR VPWR _65986_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_28_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_648_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_59_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_676_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67725_ _67550_/A _67725_/B _67725_/C VGND VGND VPWR VPWR _67736_/B sky130_fd_sc_hd__nor3_2
+XFILLER_386_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_330_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52951_ _53007_/A _52960_/B VGND VGND VPWR VPWR _52952_/B sky130_fd_sc_hd__or2_2
+X_64937_ _64776_/A _64937_/B VGND VGND VPWR VPWR _64937_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_636_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_112_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_609_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80983_ _81013_/CLK _80983_/D VGND VGND VPWR VPWR _80983_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_301_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_629_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51902_ _51930_/A _51911_/B VGND VGND VPWR VPWR _51902_/X sky130_fd_sc_hd__or2_2
+XFILLER_474_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39624_ _39608_/A _39633_/B _58668_/A VGND VGND VPWR VPWR _39624_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_274_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_448_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_269_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_86_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_566_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55670_ _55688_/A _55670_/B VGND VGND VPWR VPWR _55671_/C sky130_fd_sc_hd__nor2_2
+X_67656_ _79842_/Q _67824_/B VGND VGND VPWR VPWR _67656_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_683_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_347_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52882_ _52882_/A _52892_/B VGND VGND VPWR VPWR _52884_/A sky130_fd_sc_hd__nand2_2
+XFILLER_284_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64868_ _65392_/A _64868_/B _64868_/C VGND VGND VPWR VPWR _64879_/B sky130_fd_sc_hd__nor3_2
+XFILLER_624_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54621_ _54618_/Y _54621_/B VGND VGND VPWR VPWR _76970_/D sky130_fd_sc_hd__nand2_2
+XFILLER_547_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66607_ _66122_/A _66602_/Y _66606_/Y VGND VGND VPWR VPWR _66607_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_167_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51833_ _61292_/A _51840_/B VGND VGND VPWR VPWR _51836_/A sky130_fd_sc_hd__nand2_2
+X_39555_ _39518_/A VGND VGND VPWR VPWR _39559_/B sky130_fd_sc_hd__buf_1
+X_63819_ _78603_/Q _63819_/B VGND VGND VPWR VPWR _63821_/B sky130_fd_sc_hd__nor2_2
+XFILLER_427_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_3076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_462_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67587_ _67580_/X _67587_/B _67586_/Y VGND VGND VPWR VPWR _67587_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_605_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_269_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64799_ _80945_/Q _64799_/B VGND VGND VPWR VPWR _64799_/Y sky130_fd_sc_hd__nor2_2
+XPHY_4206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_22_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_642_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_2_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_462_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_481_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38506_ _76111_/A VGND VGND VPWR VPWR _38508_/A sky130_fd_sc_hd__buf_1
+XFILLER_415_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57340_ _57340_/A VGND VGND VPWR VPWR _57411_/B sky130_fd_sc_hd__buf_1
+XFILLER_148_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_108 _64517_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_247_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69326_ _69326_/A _69188_/B VGND VGND VPWR VPWR _69326_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_484_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_724_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54552_ _54552_/A _54551_/X VGND VGND VPWR VPWR _54552_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_364_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66538_ _75519_/C _66538_/B VGND VGND VPWR VPWR _66538_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_215_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_119 _42157_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_167_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51764_ _60505_/A _51761_/B VGND VGND VPWR VPWR _51764_/Y sky130_fd_sc_hd__nand2_2
+X_39486_ _39484_/X _39486_/B VGND VGND VPWR VPWR _80113_/D sky130_fd_sc_hd__nand2_2
+XPHY_4239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_282_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_588_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53503_ _53503_/A _53514_/B VGND VGND VPWR VPWR _53503_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_399_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38437_ _38434_/X _38436_/Y VGND VGND VPWR VPWR _80375_/D sky130_fd_sc_hd__nand2_2
+XFILLER_241_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50715_ _62185_/A _50696_/X VGND VGND VPWR VPWR _50715_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_560_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_431_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57271_ _57348_/A _57271_/B VGND VGND VPWR VPWR _57272_/C sky130_fd_sc_hd__nor2_2
+XFILLER_282_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_74_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69257_ _68646_/X _69255_/Y _69256_/Y VGND VGND VPWR VPWR _69258_/C sky130_fd_sc_hd__nor3_2
+XPHY_3538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54483_ _54483_/A _54477_/B VGND VGND VPWR VPWR _54483_/X sky130_fd_sc_hd__or2_2
+X_66469_ _57373_/A _66469_/B VGND VGND VPWR VPWR _66470_/C sky130_fd_sc_hd__nor2_2
+XFILLER_404_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51695_ _51580_/A _51685_/X VGND VGND VPWR VPWR _51696_/B sky130_fd_sc_hd__or2_2
+XPHY_3549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_70_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59010_ _64021_/A _59010_/B _59010_/C VGND VGND VPWR VPWR _59033_/B sky130_fd_sc_hd__nor3_2
+XFILLER_306_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_401_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68208_ _67550_/A _68208_/B _68207_/Y VGND VGND VPWR VPWR _68217_/B sky130_fd_sc_hd__nor3_2
+XFILLER_577_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56222_ _56275_/A VGND VGND VPWR VPWR _57729_/A sky130_fd_sc_hd__buf_1
+XFILLER_380_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_620_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53434_ _53434_/A _53433_/X VGND VGND VPWR VPWR _77285_/D sky130_fd_sc_hd__nand2_2
+XFILLER_39_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38368_ _38246_/A _38373_/B VGND VGND VPWR VPWR _38372_/A sky130_fd_sc_hd__or2_2
+X_50646_ _50646_/A _50645_/X VGND VGND VPWR VPWR _50646_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_697_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69188_ _69188_/A _69188_/B VGND VGND VPWR VPWR _69188_/Y sky130_fd_sc_hd__nor2_2
+X_81466_ _81326_/CLK _72276_/Y VGND VGND VPWR VPWR _66315_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_573_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56153_ _56153_/A _45207_/B VGND VGND VPWR VPWR _56162_/B sky130_fd_sc_hd__nor2_2
+X_80417_ _80414_/CLK _38280_/Y VGND VGND VPWR VPWR _80417_/Q sky130_fd_sc_hd__dfxtp_4
+X_68139_ _68118_/Y _68139_/B VGND VGND VPWR VPWR _68139_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_601_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53365_ _53451_/A _53371_/B VGND VGND VPWR VPWR _53366_/B sky130_fd_sc_hd__or2_2
+XPHY_34629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_572_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50577_ _50577_/A _50576_/X VGND VGND VPWR VPWR _50577_/Y sky130_fd_sc_hd__nand2_2
+X_38299_ _76165_/A _38296_/B VGND VGND VPWR VPWR _38301_/A sky130_fd_sc_hd__or2_2
+XFILLER_718_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81397_ _81397_/CLK _72566_/Y VGND VGND VPWR VPWR _65453_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_278_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_1588 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55104_ _55104_/A _55176_/A VGND VGND VPWR VPWR _55105_/B sky130_fd_sc_hd__or2_2
+XFILLER_384_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_344_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40330_ _40328_/X _40329_/Y VGND VGND VPWR VPWR _40330_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_298_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52316_ _52763_/A VGND VGND VPWR VPWR _52316_/X sky130_fd_sc_hd__buf_1
+XFILLER_577_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71150_ _71150_/A _71149_/Y VGND VGND VPWR VPWR _71151_/D sky130_fd_sc_hd__nor2_2
+XFILLER_182_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80348_ _80393_/CLK _38556_/Y VGND VGND VPWR VPWR _66564_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_23405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56084_ _56084_/A VGND VGND VPWR VPWR _56087_/A sky130_fd_sc_hd__buf_1
+XFILLER_516_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_309_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53296_ _53210_/A _53293_/B VGND VGND VPWR VPWR _53296_/X sky130_fd_sc_hd__or2_2
+XPHY_33939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70101_ _70100_/X VGND VGND VPWR VPWR _70101_/Y sky130_fd_sc_hd__inv_8
+XFILLER_6_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55035_ _76856_/Q _55020_/X VGND VGND VPWR VPWR _55035_/Y sky130_fd_sc_hd__nand2_2
+X_59912_ _59912_/A _59912_/B _59912_/C VGND VGND VPWR VPWR _59929_/A sky130_fd_sc_hd__nor3_2
+XFILLER_154_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40261_ _40298_/A VGND VGND VPWR VPWR _40261_/X sky130_fd_sc_hd__buf_1
+XFILLER_343_339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_554_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52247_ _77597_/Q _52247_/B VGND VGND VPWR VPWR _52249_/A sky130_fd_sc_hd__nand2_2
+XFILLER_68_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71081_ _71394_/A VGND VGND VPWR VPWR _71081_/X sky130_fd_sc_hd__buf_1
+XFILLER_324_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_30_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80279_ _80279_/CLK _38832_/Y VGND VGND VPWR VPWR _80279_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_356_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_139_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_159_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42000_ _45807_/A VGND VGND VPWR VPWR _42000_/Y sky130_fd_sc_hd__inv_8
+XFILLER_686_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70032_ _69969_/A VGND VGND VPWR VPWR _70033_/A sky130_fd_sc_hd__inv_8
+XFILLER_427_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59843_ _77097_/Q _59843_/B VGND VGND VPWR VPWR _59845_/B sky130_fd_sc_hd__nor2_2
+XFILLER_174_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_614_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52178_ _52178_/A _52177_/X VGND VGND VPWR VPWR _52178_/Y sky130_fd_sc_hd__nand2_2
+X_40192_ _40202_/A _40202_/B _79934_/Q VGND VGND VPWR VPWR _40192_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_371_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_40 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_687_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_330_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_254_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_150_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74840_ _74877_/A VGND VGND VPWR VPWR _74856_/A sky130_fd_sc_hd__buf_1
+XFILLER_312_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51129_ _62260_/A _51119_/B VGND VGND VPWR VPWR _51131_/A sky130_fd_sc_hd__nand2_2
+XPHY_11524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_81_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59774_ _59273_/A _59774_/B VGND VGND VPWR VPWR _59775_/C sky130_fd_sc_hd__nor2_2
+XFILLER_434_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56986_ _79927_/Q _56742_/X VGND VGND VPWR VPWR _56987_/C sky130_fd_sc_hd__nor2_2
+XFILLER_268_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_1_1_3_u_core.clock clkbuf_1_1_2_u_core.clock/X VGND VGND VPWR VPWR clkbuf_2_3_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_1_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_450_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_137_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58725_ _69391_/A _58658_/B VGND VGND VPWR VPWR _58727_/B sky130_fd_sc_hd__nor2_2
+XFILLER_1_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43951_ _43951_/A _43949_/Y _43950_/Y VGND VGND VPWR VPWR _43951_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_666_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55937_ _56199_/A VGND VGND VPWR VPWR _56209_/B sky130_fd_sc_hd__inv_8
+XFILLER_24_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74771_ _74725_/A VGND VGND VPWR VPWR _74776_/A sky130_fd_sc_hd__buf_1
+XPHY_11579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71983_ _71981_/X _71983_/B VGND VGND VPWR VPWR _81490_/D sky130_fd_sc_hd__nand2_2
+XFILLER_369_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_215_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_673_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_7_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_428_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_231_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_5_1_0_u_core.clock clkbuf_5_1_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_5_1_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_76510_ VGND VGND VPWR VPWR _76510_/HI la_data_out[2] sky130_fd_sc_hd__conb_1
+X_42902_ _42902_/A _42902_/B VGND VGND VPWR VPWR _42902_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_111_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_670_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73722_ _73965_/A _73722_/B VGND VGND VPWR VPWR _73722_/X sky130_fd_sc_hd__or2_2
+XFILLER_428_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58656_ _69255_/A _58439_/B VGND VGND VPWR VPWR _58657_/C sky130_fd_sc_hd__nor2_2
+X_46670_ _46670_/A _46664_/X VGND VGND VPWR VPWR _46670_/Y sky130_fd_sc_hd__nor2_2
+X_70934_ _70934_/A _70933_/X VGND VGND VPWR VPWR _70935_/B sky130_fd_sc_hd__nor2_2
+XPHY_10878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77490_ _77474_/CLK _77490_/D VGND VGND VPWR VPWR _61246_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_330_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43882_ _43880_/A _43890_/A VGND VGND VPWR VPWR _43883_/B sky130_fd_sc_hd__or2_2
+XPHY_6120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55868_ _42070_/A VGND VGND VPWR VPWR _55880_/A sky130_fd_sc_hd__buf_1
+XPHY_10889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_426_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_213_1561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_276_195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_625_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45621_ _45618_/A _45621_/B _45620_/Y VGND VGND VPWR VPWR _45621_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_599_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76441_ VGND VGND VPWR VPWR _76441_/HI io_oeb[1] sky130_fd_sc_hd__conb_1
+XPHY_6153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57607_ _66951_/A _57527_/X VGND VGND VPWR VPWR _57607_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_580_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42833_ _41798_/Y _41975_/A VGND VGND VPWR VPWR _42833_/X sky130_fd_sc_hd__or2_2
+XFILLER_188_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54819_ _54828_/A VGND VGND VPWR VPWR _54843_/B sky130_fd_sc_hd__buf_1
+XFILLER_267_2959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73653_ _73656_/A _73656_/B _81129_/Q VGND VGND VPWR VPWR _73654_/B sky130_fd_sc_hd__nand3_2
+XFILLER_608_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58587_ _58264_/X _58587_/B _58587_/C VGND VGND VPWR VPWR _58595_/A sky130_fd_sc_hd__nor3_2
+X_70865_ _70865_/A _70865_/B _70864_/Y VGND VGND VPWR VPWR _70865_/X sky130_fd_sc_hd__or3_2
+XFILLER_723_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_368 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55799_ _51145_/A _55817_/B VGND VGND VPWR VPWR _55800_/B sky130_fd_sc_hd__or2_2
+XPHY_6175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_642_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_504_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_79_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48340_ _48398_/A _48340_/B VGND VGND VPWR VPWR _48341_/B sky130_fd_sc_hd__or2_2
+XPHY_5452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72604_ _72296_/X VGND VGND VPWR VPWR _72678_/A sky130_fd_sc_hd__buf_1
+XFILLER_382_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79160_ _79160_/CLK _45380_/Y VGND VGND VPWR VPWR _69580_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_248_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_480_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45552_ _45496_/A VGND VGND VPWR VPWR _45552_/X sky130_fd_sc_hd__buf_1
+XPHY_37200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57538_ _57223_/A _57534_/Y _57538_/C VGND VGND VPWR VPWR _57539_/B sky130_fd_sc_hd__nor3_2
+X_76372_ _76370_/X _76371_/Y VGND VGND VPWR VPWR _80456_/D sky130_fd_sc_hd__nand2_2
+XFILLER_379_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_55_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_543_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42764_ _42764_/A VGND VGND VPWR VPWR _65204_/A sky130_fd_sc_hd__inv_8
+XFILLER_94_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_166_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73584_ _73947_/A _73588_/B VGND VGND VPWR VPWR _73587_/A sky130_fd_sc_hd__or2_2
+XFILLER_441_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_57_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70796_ _69652_/Y _69902_/Y _69890_/A _70795_/X VGND VGND VPWR VPWR _70796_/X sky130_fd_sc_hd__o22a_4
+XFILLER_233_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_440_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78111_ _78589_/CLK _50304_/Y VGND VGND VPWR VPWR _62046_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_272_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_17_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44503_ _74737_/A _44503_/B VGND VGND VPWR VPWR _44504_/C sky130_fd_sc_hd__nor2_2
+XPHY_4751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75323_ _75334_/A _75323_/B _80714_/Q VGND VGND VPWR VPWR _75324_/B sky130_fd_sc_hd__nand3_2
+XFILLER_568_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41715_ _46002_/A _55264_/A VGND VGND VPWR VPWR _55629_/A sky130_fd_sc_hd__nor2_2
+XFILLER_144_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48271_ _78633_/Q _48259_/X VGND VGND VPWR VPWR _48273_/A sky130_fd_sc_hd__nand2_2
+X_72535_ _72542_/A _72542_/B _72535_/C VGND VGND VPWR VPWR _72536_/B sky130_fd_sc_hd__nand3_2
+XFILLER_388_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_379_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79091_ _76798_/CLK _45833_/Y VGND VGND VPWR VPWR _79091_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_504_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_2_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45483_ _45471_/A _45483_/B _45482_/Y VGND VGND VPWR VPWR _45483_/Y sky130_fd_sc_hd__nor3_2
+XPHY_37255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57469_ _57386_/A _57469_/B _57469_/C VGND VGND VPWR VPWR _57473_/B sky130_fd_sc_hd__nor3_2
+XPHY_4773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42695_ _45615_/A VGND VGND VPWR VPWR _66208_/A sky130_fd_sc_hd__inv_8
+XFILLER_70_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_18_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47222_ _46450_/Y _46451_/Y VGND VGND VPWR VPWR _47223_/B sky130_fd_sc_hd__nor2_2
+XFILLER_422_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59208_ _59196_/A VGND VGND VPWR VPWR _59421_/A sky130_fd_sc_hd__buf_1
+XFILLER_701_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78042_ _78048_/CLK _78042_/D VGND VGND VPWR VPWR _62504_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_232_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44434_ _44419_/C VGND VGND VPWR VPWR _44443_/A sky130_fd_sc_hd__inv_8
+XPHY_26020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75254_ _75247_/A _75247_/B _80732_/Q VGND VGND VPWR VPWR _75255_/B sky130_fd_sc_hd__nand3_2
+XFILLER_619_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_70_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_658_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_583_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41646_ _41646_/A _41646_/B VGND VGND VPWR VPWR _41646_/X sky130_fd_sc_hd__or2_2
+XFILLER_220_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60480_ _77581_/Q _59985_/B VGND VGND VPWR VPWR _60482_/B sky130_fd_sc_hd__nor2_2
+XPHY_37299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72466_ _73265_/A _72466_/B VGND VGND VPWR VPWR _72467_/A sky130_fd_sc_hd__or2_2
+XPHY_26031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_226_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_440_0_u_core.clock clkbuf_9_441_0_u_core.clock/A VGND VGND VPWR VPWR _80101_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_36565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_379_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_207_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_26053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_53_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_41_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_38_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74205_ _74205_/A _74192_/B VGND VGND VPWR VPWR _74208_/A sky130_fd_sc_hd__or2_2
+XFILLER_347_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_1589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47153_ _47153_/A _47165_/B _47153_/C VGND VGND VPWR VPWR _47153_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_220_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_693_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59139_ _77125_/Q _59717_/B VGND VGND VPWR VPWR _59143_/B sky130_fd_sc_hd__nor2_2
+XFILLER_376_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71417_ _70351_/X _71416_/Y VGND VGND VPWR VPWR _71417_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_591_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44365_ _44350_/Y _44364_/X VGND VGND VPWR VPWR _44365_/X sky130_fd_sc_hd__or2_2
+XFILLER_724_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75185_ _75206_/A VGND VGND VPWR VPWR _75186_/A sky130_fd_sc_hd__buf_1
+XPHY_26075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41577_ _41566_/X _41573_/X _66955_/A VGND VGND VPWR VPWR _41577_/Y sky130_fd_sc_hd__nand3_2
+XPHY_35864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72397_ _72394_/X _72397_/B VGND VGND VPWR VPWR _72397_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_109_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_717_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_203_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_671_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_419_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_658_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46104_ _43064_/Y _43075_/B _46108_/A VGND VGND VPWR VPWR _46104_/Y sky130_fd_sc_hd__nor3_2
+XPHY_35886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43316_ _43316_/A _43315_/Y VGND VGND VPWR VPWR _79382_/D sky130_fd_sc_hd__nand2_2
+X_74136_ _74136_/A _73994_/A VGND VGND VPWR VPWR _74136_/X sky130_fd_sc_hd__or2_2
+X_62150_ _62150_/A _62149_/X VGND VGND VPWR VPWR _62150_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_122_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40528_ _40526_/X _40527_/Y VGND VGND VPWR VPWR _40528_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_524_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71348_ _71346_/X _71347_/Y VGND VGND VPWR VPWR _71348_/Y sky130_fd_sc_hd__nand2_2
+X_47084_ _46836_/A _72049_/B _71989_/B _46835_/X VGND VGND VPWR VPWR _47085_/B sky130_fd_sc_hd__o22a_4
+XFILLER_201_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44296_ _44296_/A _44132_/A VGND VGND VPWR VPWR _44301_/B sky130_fd_sc_hd__nor2_2
+XPHY_24640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79993_ _80121_/CLK _79993_/D VGND VGND VPWR VPWR _79993_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_346_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_354_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61101_ _61101_/A _61091_/Y _61100_/Y VGND VGND VPWR VPWR _61101_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_29_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46035_ _46035_/A VGND VGND VPWR VPWR _46036_/A sky130_fd_sc_hd__inv_8
+XFILLER_537_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43247_ _43359_/B VGND VGND VPWR VPWR _43248_/B sky130_fd_sc_hd__buf_1
+XFILLER_196_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62081_ _78135_/Q _62081_/B VGND VGND VPWR VPWR _62083_/B sky130_fd_sc_hd__nor2_2
+XFILLER_393_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74067_ _74067_/A _74047_/B _74067_/C VGND VGND VPWR VPWR _74068_/B sky130_fd_sc_hd__nand3_2
+XFILLER_259_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78944_ _78903_/CLK _78944_/D VGND VGND VPWR VPWR _46175_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_667_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40459_ _40457_/X _40459_/B VGND VGND VPWR VPWR _79868_/D sky130_fd_sc_hd__nand2_2
+XFILLER_452_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71279_ _71419_/A _71279_/B _71278_/Y VGND VGND VPWR VPWR _71279_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_275_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_190_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_335_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61032_ _61032_/A _61032_/B _61032_/C VGND VGND VPWR VPWR _61033_/C sky130_fd_sc_hd__nor3_2
+XPHY_23972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73018_ _73016_/Y _73018_/B VGND VGND VPWR VPWR _81287_/D sky130_fd_sc_hd__nand2_2
+XFILLER_589_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_299_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43178_ _43178_/A _46168_/B VGND VGND VPWR VPWR _43204_/B sky130_fd_sc_hd__nor2_2
+XPHY_13460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78875_ _78988_/Q _78875_/D _47229_/X VGND VGND VPWR VPWR _41865_/B sky130_fd_sc_hd__dfrtp_4
+XFILLER_343_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42129_ _42129_/A _42126_/B VGND VGND VPWR VPWR _42129_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_708_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77826_ _77755_/CLK _77826_/D VGND VGND VPWR VPWR _51377_/A sky130_fd_sc_hd__dfxtp_4
+X_65840_ _65840_/A _65840_/B _65839_/Y VGND VGND VPWR VPWR _65840_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_29_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_299_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_511_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47986_ _47983_/Y _47985_/X VGND VGND VPWR VPWR _78707_/D sky130_fd_sc_hd__nand2_2
+XPHY_12770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_158_0_u_core.clock clkbuf_7_79_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_317_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_116_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_231_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49725_ _49723_/Y _49724_/X VGND VGND VPWR VPWR _78264_/D sky130_fd_sc_hd__nand2_2
+XPHY_30160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46937_ _46846_/A VGND VGND VPWR VPWR _46937_/X sky130_fd_sc_hd__buf_1
+XFILLER_618_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65771_ _81207_/Q _65616_/B VGND VGND VPWR VPWR _65771_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_141_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_648_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77757_ _76882_/CLK _51645_/Y VGND VGND VPWR VPWR _60538_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_136_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62983_ _78605_/Q _62983_/B VGND VGND VPWR VPWR _62985_/B sky130_fd_sc_hd__nor2_2
+XFILLER_206_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74969_ _75005_/A VGND VGND VPWR VPWR _74984_/A sky130_fd_sc_hd__buf_1
+XFILLER_626_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_445_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_288_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67510_ _67191_/A _67502_/Y _67510_/C VGND VGND VPWR VPWR _67511_/B sky130_fd_sc_hd__nor3_2
+XFILLER_313_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_256_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_250_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64722_ _66401_/A VGND VGND VPWR VPWR _65406_/B sky130_fd_sc_hd__buf_1
+XFILLER_604_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76708_ _76708_/CLK _76708_/D VGND VGND VPWR VPWR _70258_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_468_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61934_ _61782_/A _61934_/B _61933_/Y VGND VGND VPWR VPWR _61934_/Y sky130_fd_sc_hd__nor3_2
+X_49656_ _49677_/B VGND VGND VPWR VPWR _49673_/B sky130_fd_sc_hd__buf_1
+X_68490_ _80167_/Q _68004_/B VGND VGND VPWR VPWR _68491_/C sky130_fd_sc_hd__nor2_2
+XFILLER_528_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46868_ _78937_/Q _46878_/B VGND VGND VPWR VPWR _46872_/B sky130_fd_sc_hd__nor2_2
+XFILLER_706_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77688_ _77679_/CLK _77688_/D VGND VGND VPWR VPWR _60982_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_481_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_168_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_23_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48607_ _48287_/A VGND VGND VPWR VPWR _48704_/A sky130_fd_sc_hd__buf_1
+XFILLER_282_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67441_ _57847_/A _67779_/B VGND VGND VPWR VPWR _67441_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_661_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79427_ _79425_/CLK _42947_/Y VGND VGND VPWR VPWR _66975_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_643_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45819_ _45818_/Y _45810_/B VGND VGND VPWR VPWR _45820_/B sky130_fd_sc_hd__nor2_2
+XFILLER_661_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64653_ _56421_/A _64186_/B VGND VGND VPWR VPWR _64653_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_271_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_58_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76639_ _79174_/CLK _55855_/Y VGND VGND VPWR VPWR _44922_/A sky130_fd_sc_hd__dfxtp_4
+X_61865_ _61397_/A _61865_/B VGND VGND VPWR VPWR _61904_/B sky130_fd_sc_hd__nor2_2
+X_49587_ _62894_/A _49587_/B VGND VGND VPWR VPWR _49590_/A sky130_fd_sc_hd__nand2_2
+XFILLER_82_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_608_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_526_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46799_ _46148_/B _46799_/B VGND VGND VPWR VPWR _46800_/B sky130_fd_sc_hd__nor2_2
+XFILLER_424_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39340_ _39304_/A VGND VGND VPWR VPWR _39340_/X sky130_fd_sc_hd__buf_1
+XFILLER_481_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63604_ _63588_/Y _63603_/Y VGND VGND VPWR VPWR _63604_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_604_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60816_ _61597_/A VGND VGND VPWR VPWR _60821_/A sky130_fd_sc_hd__buf_1
+X_48538_ _63303_/A _48523_/B VGND VGND VPWR VPWR _48540_/A sky130_fd_sc_hd__nand2_2
+X_67372_ _80769_/Q _67372_/B VGND VGND VPWR VPWR _67372_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_561_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_509_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79358_ _79589_/CLK _79358_/D VGND VGND VPWR VPWR _67943_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64584_ _64584_/A _64923_/B VGND VGND VPWR VPWR _64584_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_361_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_329_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61796_ _78277_/Q _61795_/X VGND VGND VPWR VPWR _61799_/B sky130_fd_sc_hd__nor2_2
+XFILLER_282_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_659_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_209_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69111_ _68972_/A _69107_/Y _69111_/C VGND VGND VPWR VPWR _69119_/B sky130_fd_sc_hd__nor3_2
+XFILLER_702_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66323_ _66323_/A _66153_/B VGND VGND VPWR VPWR _66323_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_329_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78309_ _78809_/CLK _49556_/Y VGND VGND VPWR VPWR _61818_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_613_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39271_ _38862_/X VGND VGND VPWR VPWR _39271_/X sky130_fd_sc_hd__buf_1
+XFILLER_298_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_1_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63535_ _63222_/X _63533_/Y _63535_/C VGND VGND VPWR VPWR _63535_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_244_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_60747_ _77671_/Q _60281_/B VGND VGND VPWR VPWR _60748_/C sky130_fd_sc_hd__nor2_2
+X_48469_ _78585_/Q _48455_/B VGND VGND VPWR VPWR _48472_/A sky130_fd_sc_hd__nand2_2
+XFILLER_71_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79289_ _79285_/CLK _79289_/D VGND VGND VPWR VPWR _43850_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_422_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_149_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38222_ _38210_/A _76353_/B _38222_/C VGND VGND VPWR VPWR _38223_/B sky130_fd_sc_hd__nand3_2
+XFILLER_369_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50500_ _50409_/X _50499_/X VGND VGND VPWR VPWR _50500_/X sky130_fd_sc_hd__or2_2
+XFILLER_694_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69042_ _68888_/X _69042_/B _69042_/C VGND VGND VPWR VPWR _69046_/B sky130_fd_sc_hd__nor3_2
+XFILLER_498_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81320_ _81301_/CLK _81320_/D VGND VGND VPWR VPWR _68618_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_457_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_229_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66254_ _73586_/C _66253_/X VGND VGND VPWR VPWR _66255_/C sky130_fd_sc_hd__nor2_2
+XFILLER_601_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51480_ _51477_/Y _51479_/X VGND VGND VPWR VPWR _51480_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_260_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63466_ _63606_/A _63466_/B _63465_/Y VGND VGND VPWR VPWR _63541_/A sky130_fd_sc_hd__nor3_2
+XFILLER_574_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_385_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_127_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60678_ _59882_/A VGND VGND VPWR VPWR _60830_/B sky130_fd_sc_hd__buf_1
+XFILLER_384_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_555_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_574_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65205_ _65205_/A VGND VGND VPWR VPWR _65872_/B sky130_fd_sc_hd__buf_1
+XFILLER_307_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50431_ _50430_/X _50401_/A VGND VGND VPWR VPWR _50431_/X sky130_fd_sc_hd__or2_2
+X_62417_ _62417_/A _62417_/B _62416_/Y VGND VGND VPWR VPWR _62427_/B sky130_fd_sc_hd__nor3_2
+XFILLER_50_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81251_ _81251_/CLK _81251_/D VGND VGND VPWR VPWR _67758_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_672_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_637_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66185_ _80089_/Q _66185_/B VGND VGND VPWR VPWR _66186_/C sky130_fd_sc_hd__nor2_2
+XFILLER_127_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_554_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_375_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63397_ _78448_/Q _62929_/B VGND VGND VPWR VPWR _63399_/B sky130_fd_sc_hd__nor2_2
+XFILLER_422_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_492_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80202_ _80200_/CLK _39125_/Y VGND VGND VPWR VPWR _68992_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_394_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53150_ _41805_/Y _53148_/X _41806_/Y _53149_/X VGND VGND VPWR VPWR _53316_/A sky130_fd_sc_hd__o22a_4
+XFILLER_88_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65136_ _56702_/A _65311_/B VGND VGND VPWR VPWR _65136_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_359_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50362_ _50276_/A _50350_/B VGND VGND VPWR VPWR _50363_/B sky130_fd_sc_hd__or2_2
+XFILLER_572_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62348_ _62348_/A _61732_/B VGND VGND VPWR VPWR _62348_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_177_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81182_ _81201_/CLK _73450_/Y VGND VGND VPWR VPWR _81182_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_301_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_34_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_453_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_672_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_86_0_u_core.clock clkbuf_9_87_0_u_core.clock/A VGND VGND VPWR VPWR _77422_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_336_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_457_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52101_ _52101_/A _52100_/X VGND VGND VPWR VPWR _52101_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_30_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80133_ _80163_/CLK _39414_/Y VGND VGND VPWR VPWR _39413_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53081_ _53106_/B VGND VGND VPWR VPWR _53081_/X sky130_fd_sc_hd__buf_1
+XFILLER_277_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65067_ _65255_/A _65067_/B _65067_/C VGND VGND VPWR VPWR _65068_/B sky130_fd_sc_hd__nor3_2
+XFILLER_542_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69944_ _70815_/B VGND VGND VPWR VPWR _69945_/A sky130_fd_sc_hd__buf_1
+XFILLER_375_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50293_ _50283_/X VGND VGND VPWR VPWR _50293_/X sky130_fd_sc_hd__buf_1
+XFILLER_258_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_507_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62279_ _62279_/A _61657_/B VGND VGND VPWR VPWR _62279_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_689_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_416_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_498_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_392_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_134_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52032_ _52029_/Y _52031_/X VGND VGND VPWR VPWR _52032_/Y sky130_fd_sc_hd__nand2_2
+X_64018_ _78628_/Q _63887_/B VGND VGND VPWR VPWR _64020_/B sky130_fd_sc_hd__nor2_2
+XFILLER_688_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_611_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80064_ _80094_/CLK _80064_/D VGND VGND VPWR VPWR _67332_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69875_ _69740_/Y VGND VGND VPWR VPWR _69875_/X sky130_fd_sc_hd__buf_1
+XFILLER_711_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_82_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_254_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56840_ _56839_/X VGND VGND VPWR VPWR _57076_/B sky130_fd_sc_hd__buf_1
+X_68826_ _68003_/A VGND VGND VPWR VPWR _68827_/B sky130_fd_sc_hd__buf_1
+XPHY_20609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38986_ _38986_/A _38998_/B _80238_/Q VGND VGND VPWR VPWR _38986_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_321_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_47_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_271_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_585_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_334_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56771_ _80308_/Q _56606_/B VGND VGND VPWR VPWR _56771_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_8_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68757_ _68249_/X _68757_/B _68756_/Y VGND VGND VPWR VPWR _68758_/C sky130_fd_sc_hd__nor3_2
+XFILLER_116_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53983_ _53921_/A _53980_/B VGND VGND VPWR VPWR _53984_/B sky130_fd_sc_hd__or2_2
+XFILLER_28_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65969_ _64282_/A VGND VGND VPWR VPWR _65970_/B sky130_fd_sc_hd__buf_1
+XFILLER_263_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_429_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_210_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_59_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58510_ _39759_/C _58510_/B VGND VGND VPWR VPWR _58512_/B sky130_fd_sc_hd__nor2_2
+XFILLER_566_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_247_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67708_ _66884_/A VGND VGND VPWR VPWR _67709_/B sky130_fd_sc_hd__buf_1
+XFILLER_386_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55722_ _55559_/A _55722_/B VGND VGND VPWR VPWR _55722_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_154_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52934_ _53047_/A _52930_/B VGND VGND VPWR VPWR _52934_/X sky130_fd_sc_hd__or2_2
+X_59490_ _59327_/A _59488_/Y _59490_/C VGND VGND VPWR VPWR _59490_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_301_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68688_ _75208_/C _68187_/B VGND VGND VPWR VPWR _68689_/C sky130_fd_sc_hd__nor2_2
+X_80966_ _80969_/CLK _80966_/D VGND VGND VPWR VPWR _74312_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_636_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_3085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39607_ _39629_/A VGND VGND VPWR VPWR _39608_/A sky130_fd_sc_hd__buf_1
+XFILLER_210_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_609_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58441_ _57643_/A VGND VGND VPWR VPWR _58660_/A sky130_fd_sc_hd__buf_1
+XFILLER_273_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55653_ _55653_/A _55652_/Y VGND VGND VPWR VPWR _76795_/D sky130_fd_sc_hd__or2_2
+XFILLER_186_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67639_ _67974_/A _67639_/B _67639_/C VGND VGND VPWR VPWR _67640_/C sky130_fd_sc_hd__nor3_2
+XFILLER_382_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52865_ _52865_/A _52864_/X VGND VGND VPWR VPWR _52865_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_720_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80897_ _80902_/CLK _74599_/Y VGND VGND VPWR VPWR _80897_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_449_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_661_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_661_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54604_ _54661_/A _54601_/B VGND VGND VPWR VPWR _54604_/X sky130_fd_sc_hd__or2_2
+XPHY_28917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51816_ _51814_/Y _51815_/X VGND VGND VPWR VPWR _51816_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_462_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58372_ _58372_/A _58138_/X VGND VGND VPWR VPWR _58373_/C sky130_fd_sc_hd__nor2_2
+X_39538_ _39534_/X _39538_/B VGND VGND VPWR VPWR _80100_/D sky130_fd_sc_hd__nand2_2
+XFILLER_364_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70650_ _70501_/Y _70544_/Y _70650_/C VGND VGND VPWR VPWR _70651_/C sky130_fd_sc_hd__nor3_2
+XPHY_28928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55584_ _55584_/A _55583_/X VGND VGND VPWR VPWR _55584_/X sky130_fd_sc_hd__and2_2
+XFILLER_167_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52796_ _52793_/Y _52795_/X VGND VGND VPWR VPWR _77452_/D sky130_fd_sc_hd__nand2_2
+XPHY_28939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_422_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_423_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_247_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69309_ _69309_/A _68878_/B VGND VGND VPWR VPWR _69310_/C sky130_fd_sc_hd__nor2_2
+X_57323_ _66502_/A _57645_/B VGND VGND VPWR VPWR _57323_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_39_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_128_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54535_ _54652_/A _54535_/B VGND VGND VPWR VPWR _54535_/X sky130_fd_sc_hd__or2_2
+XFILLER_505_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_227_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51747_ _51747_/A _51746_/X VGND VGND VPWR VPWR _77731_/D sky130_fd_sc_hd__nand2_2
+X_39469_ _39467_/X _39469_/B VGND VGND VPWR VPWR _39469_/Y sky130_fd_sc_hd__nand2_2
+XPHY_17704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70581_ _70589_/A VGND VGND VPWR VPWR _70582_/A sky130_fd_sc_hd__buf_1
+XFILLER_431_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_282_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_208_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_43 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_93_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_282_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_54 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41500_ _41503_/A _41503_/B _79602_/Q VGND VGND VPWR VPWR _41500_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_41_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72320_ _72299_/A _72310_/B _64962_/A VGND VGND VPWR VPWR _72321_/B sky130_fd_sc_hd__nand3_2
+XFILLER_423_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_65 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_214_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57254_ _57567_/A _57252_/Y _57253_/Y VGND VGND VPWR VPWR _57254_/Y sky130_fd_sc_hd__nor3_2
+XPHY_35105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81518_ _79439_/CLK _81518_/D VGND VGND VPWR VPWR _69507_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42480_ _42589_/A VGND VGND VPWR VPWR _42480_/X sky130_fd_sc_hd__buf_1
+X_54466_ _60124_/A _54476_/B VGND VGND VPWR VPWR _54468_/A sky130_fd_sc_hd__nand2_2
+XFILLER_30_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_204_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51678_ _51675_/X VGND VGND VPWR VPWR _51700_/B sky130_fd_sc_hd__buf_1
+XPHY_87 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_36_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_577_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56205_ _56205_/A _56209_/B VGND VGND VPWR VPWR _56205_/X sky130_fd_sc_hd__or2_2
+XPHY_2656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53417_ _53417_/A VGND VGND VPWR VPWR _53421_/B sky130_fd_sc_hd__buf_1
+X_41431_ _41418_/A _41418_/B _41431_/C VGND VGND VPWR VPWR _41432_/B sky130_fd_sc_hd__nand3_2
+XPHY_35149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72251_ _72251_/A _72233_/X VGND VGND VPWR VPWR _72251_/X sky130_fd_sc_hd__or2_2
+XPHY_2667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50629_ _62031_/A _50635_/B VGND VGND VPWR VPWR _50631_/A sky130_fd_sc_hd__nand2_2
+XPHY_34415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57185_ _80953_/Q _57275_/B VGND VGND VPWR VPWR _57186_/C sky130_fd_sc_hd__nor2_2
+X_81449_ _81203_/CLK _81449_/D VGND VGND VPWR VPWR _68751_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_161_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_714_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54397_ _54695_/A VGND VGND VPWR VPWR _54483_/A sky130_fd_sc_hd__buf_1
+XFILLER_288_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_35_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_557_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_167_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_243_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_3076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_557_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_10_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71202_ _71717_/A _71160_/B _71201_/Y VGND VGND VPWR VPWR _71202_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_671_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44150_ _44014_/A _44132_/X VGND VGND VPWR VPWR _44150_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56136_ _45293_/Y _56121_/X VGND VGND VPWR VPWR _56137_/B sky130_fd_sc_hd__nor2_2
+XFILLER_538_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41362_ _41286_/X VGND VGND VPWR VPWR _41376_/B sky130_fd_sc_hd__buf_1
+XPHY_33714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53348_ _53647_/A VGND VGND VPWR VPWR _53463_/A sky130_fd_sc_hd__buf_1
+XPHY_34459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72182_ _72728_/A _72176_/X VGND VGND VPWR VPWR _72182_/X sky130_fd_sc_hd__or2_2
+XFILLER_276_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_182_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43101_ _43095_/X _43101_/B VGND VGND VPWR VPWR _43102_/B sky130_fd_sc_hd__nand2_2
+XFILLER_139_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_653_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40313_ _40313_/A _40313_/B VGND VGND VPWR VPWR _40313_/X sky130_fd_sc_hd__or2_2
+XFILLER_259_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_436_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71133_ _71115_/X _71118_/Y _71122_/Y _71132_/X VGND VGND VPWR VPWR _42518_/B sky130_fd_sc_hd__o22a_4
+XFILLER_711_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_690_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44081_ _43834_/A _44063_/A _44080_/X VGND VGND VPWR VPWR _44082_/C sky130_fd_sc_hd__and3_2
+X_56067_ _55526_/B _56082_/B VGND VGND VPWR VPWR _56067_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_551_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_4_4_1_u_core.clock clkbuf_4_4_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_5_9_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_41_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53279_ _53279_/A _53278_/X VGND VGND VPWR VPWR _53280_/A sky130_fd_sc_hd__or2_2
+X_41293_ _41291_/X _41293_/B VGND VGND VPWR VPWR _41293_/Y sky130_fd_sc_hd__nand2_2
+XPHY_33769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76990_ _76947_/CLK _76990_/D VGND VGND VPWR VPWR _59341_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_573_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_332_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_100_1536 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55018_ _55016_/Y _55017_/X VGND VGND VPWR VPWR _76861_/D sky130_fd_sc_hd__nand2_2
+XFILLER_65_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43032_ _43030_/B VGND VGND VPWR VPWR _43033_/B sky130_fd_sc_hd__inv_8
+XPHY_12000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40244_ _40004_/A _40236_/B VGND VGND VPWR VPWR _40246_/A sky130_fd_sc_hd__or2_2
+XFILLER_13_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75941_ _75982_/A VGND VGND VPWR VPWR _75941_/X sky130_fd_sc_hd__buf_1
+XPHY_22534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71064_ _69875_/X VGND VGND VPWR VPWR _71148_/B sky130_fd_sc_hd__buf_1
+XPHY_12011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_324_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_688_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_4_7_0_u_core.clock clkbuf_4_7_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_4_7_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_297_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70015_ _70793_/A _70015_/B VGND VGND VPWR VPWR _70016_/B sky130_fd_sc_hd__nor2_2
+XFILLER_686_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47840_ _47840_/A _47839_/X VGND VGND VPWR VPWR _47840_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59826_ _59817_/X _59822_/Y _59826_/C VGND VGND VPWR VPWR _59839_/B sky130_fd_sc_hd__nor3_2
+XFILLER_137_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78660_ _78660_/CLK _78660_/D VGND VGND VPWR VPWR _48173_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_12055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40175_ _40173_/X _40174_/Y VGND VGND VPWR VPWR _79939_/D sky130_fd_sc_hd__nand2_2
+XFILLER_512_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75872_ _75868_/X _75872_/B VGND VGND VPWR VPWR _80580_/D sky130_fd_sc_hd__nand2_2
+XPHY_22589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_662_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77611_ _77065_/CLK _77611_/D VGND VGND VPWR VPWR _61422_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_215_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_510_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74823_ _75183_/A _74831_/B VGND VGND VPWR VPWR _74823_/X sky130_fd_sc_hd__or2_2
+XFILLER_412_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_230_0_u_core.clock clkbuf_9_231_0_u_core.clock/A VGND VGND VPWR VPWR _79713_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_674_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59757_ _77336_/Q _59757_/B VGND VGND VPWR VPWR _59757_/Y sky130_fd_sc_hd__nor2_2
+XPHY_21888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47771_ _47768_/Y _47770_/X VGND VGND VPWR VPWR _78762_/D sky130_fd_sc_hd__nand2_2
+XFILLER_133_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78591_ _78836_/CLK _78591_/D VGND VGND VPWR VPWR _78591_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_412_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44983_ _44980_/A VGND VGND VPWR VPWR _44983_/X sky130_fd_sc_hd__buf_1
+XFILLER_250_1525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56969_ _56798_/X _56969_/B _56968_/Y VGND VGND VPWR VPWR _56969_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_254_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_365_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_61_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_529_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_77_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49510_ _49510_/A VGND VGND VPWR VPWR _49813_/A sky130_fd_sc_hd__buf_1
+XFILLER_232_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_323_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46722_ _46754_/A _46721_/Y VGND VGND VPWR VPWR _46722_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_150_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58708_ _69220_/A _58708_/B VGND VGND VPWR VPWR _58708_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_725_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77542_ _78535_/CLK _52453_/Y VGND VGND VPWR VPWR _77542_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_666_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43934_ _79278_/Q _43934_/B VGND VGND VPWR VPWR _43941_/B sky130_fd_sc_hd__nor2_2
+XFILLER_369_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74754_ _74752_/X _74753_/Y VGND VGND VPWR VPWR _80861_/D sky130_fd_sc_hd__nand2_2
+XFILLER_4_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_447_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59688_ _59688_/A VGND VGND VPWR VPWR _60334_/A sky130_fd_sc_hd__buf_1
+X_71966_ _70754_/X _71721_/A VGND VGND VPWR VPWR _71969_/B sky130_fd_sc_hd__nor2_2
+XFILLER_615_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_549_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_185_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_289_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49441_ _49341_/X _49444_/B VGND VGND VPWR VPWR _49441_/X sky130_fd_sc_hd__or2_2
+X_73705_ _73630_/A VGND VGND VPWR VPWR _73720_/A sky130_fd_sc_hd__buf_1
+XFILLER_454_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46653_ _45403_/B _46652_/Y VGND VGND VPWR VPWR _46653_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_111_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58639_ _58329_/X _58639_/B _58639_/C VGND VGND VPWR VPWR _58643_/B sky130_fd_sc_hd__nor3_2
+XFILLER_293_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70917_ _71631_/A _70917_/B _70555_/A _70934_/A VGND VGND VPWR VPWR _70917_/X sky130_fd_sc_hd__or4_2
+X_77473_ _77444_/CLK _77473_/D VGND VGND VPWR VPWR _52711_/A sky130_fd_sc_hd__dfxtp_4
+X_43865_ _43871_/A _43865_/B _43864_/Y VGND VGND VPWR VPWR _79288_/D sky130_fd_sc_hd__nor3_2
+XFILLER_647_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74685_ _74733_/A VGND VGND VPWR VPWR _74685_/X sky130_fd_sc_hd__buf_1
+XFILLER_265_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71897_ _71235_/X _71897_/B VGND VGND VPWR VPWR _71897_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_627_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79212_ _81206_/CLK _44602_/Y VGND VGND VPWR VPWR _79212_/Q sky130_fd_sc_hd__dfxtp_4
+X_45604_ _71636_/A _45609_/B VGND VGND VPWR VPWR _45605_/C sky130_fd_sc_hd__nor2_2
+X_76424_ _76421_/X _76423_/Y VGND VGND VPWR VPWR _80442_/D sky130_fd_sc_hd__nand2_2
+XFILLER_463_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_59_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42816_ _42628_/A _42811_/A _42755_/A VGND VGND VPWR VPWR _42817_/B sky130_fd_sc_hd__or3_2
+X_73636_ _73644_/A _73644_/B _69318_/A VGND VGND VPWR VPWR _73637_/B sky130_fd_sc_hd__nand3_2
+X_61650_ _61180_/A _61648_/Y _61650_/C VGND VGND VPWR VPWR _61650_/Y sky130_fd_sc_hd__nor3_2
+X_49372_ _49397_/B VGND VGND VPWR VPWR _49372_/X sky130_fd_sc_hd__buf_1
+XFILLER_707_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1071 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_308_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46584_ _46584_/A _46584_/B _46583_/Y VGND VGND VPWR VPWR _46584_/X sky130_fd_sc_hd__or3_2
+XFILLER_18_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70848_ _70848_/A VGND VGND VPWR VPWR _70848_/X sky130_fd_sc_hd__buf_1
+XFILLER_244_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_562_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_346_0_u_core.clock clkbuf_9_347_0_u_core.clock/A VGND VGND VPWR VPWR _78127_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_45_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43796_ _43948_/A VGND VGND VPWR VPWR _43897_/C sky130_fd_sc_hd__buf_1
+XPHY_5260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_441_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_703_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_445_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_326_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48323_ _63172_/A _48328_/B VGND VGND VPWR VPWR _48327_/A sky130_fd_sc_hd__nand2_2
+X_60601_ _60601_/A _60288_/B VGND VGND VPWR VPWR _60602_/C sky130_fd_sc_hd__nor2_2
+XPHY_5282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79143_ _79518_/CLK _45531_/Y VGND VGND VPWR VPWR _70407_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_163_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45535_ _44970_/A _45533_/Y _45534_/Y VGND VGND VPWR VPWR _45535_/Y sky130_fd_sc_hd__nor3_2
+XPHY_37030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_660_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76355_ _76371_/A _76360_/B _69174_/A VGND VGND VPWR VPWR _76356_/B sky130_fd_sc_hd__nand3_2
+XPHY_19673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42747_ _42457_/A VGND VGND VPWR VPWR _42747_/X sky130_fd_sc_hd__buf_1
+XFILLER_209_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_699_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61581_ _61581_/A _61581_/B _61580_/Y VGND VGND VPWR VPWR _61581_/Y sky130_fd_sc_hd__nor3_2
+X_73567_ _73530_/A VGND VGND VPWR VPWR _73568_/B sky130_fd_sc_hd__buf_1
+XFILLER_445_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_422_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70779_ _70033_/A _70770_/X _69969_/A _70778_/X VGND VGND VPWR VPWR _70779_/X sky130_fd_sc_hd__o22a_4
+XFILLER_505_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_410_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_450 _60236_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_597_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_382_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_578_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_461 _59536_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_127_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63320_ _62693_/A _63314_/Y _63320_/C VGND VGND VPWR VPWR _63328_/B sky130_fd_sc_hd__nor3_2
+XFILLER_610_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75306_ _75326_/A VGND VGND VPWR VPWR _75413_/B sky130_fd_sc_hd__buf_1
+XFILLER_220_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_472 _64495_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_410_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_35_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48254_ _63015_/A _48254_/B VGND VGND VPWR VPWR _48254_/Y sky130_fd_sc_hd__nand2_2
+X_60532_ _60841_/A _60532_/B _60531_/Y VGND VGND VPWR VPWR _60532_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_367_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_339_409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72518_ _72516_/X _72517_/Y VGND VGND VPWR VPWR _81410_/D sky130_fd_sc_hd__nand2_2
+XFILLER_379_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79074_ _79074_/CLK _45904_/Y VGND VGND VPWR VPWR _41849_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_568_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_483 _67063_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_31_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45466_ _69625_/A _45489_/B VGND VGND VPWR VPWR _45471_/B sky130_fd_sc_hd__nor2_2
+XPHY_37085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76286_ _76283_/X _76285_/Y VGND VGND VPWR VPWR _80479_/D sky130_fd_sc_hd__nand2_2
+XFILLER_556_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42678_ _42636_/A _42678_/B VGND VGND VPWR VPWR _42678_/X sky130_fd_sc_hd__and2_2
+XFILLER_555_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73498_ _72329_/A _73501_/B VGND VGND VPWR VPWR _73498_/X sky130_fd_sc_hd__or2_2
+XANTENNA_494 _64362_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_18_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_72_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47205_ _46419_/B _47205_/B VGND VGND VPWR VPWR _47206_/B sky130_fd_sc_hd__nor2_2
+XFILLER_204_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78025_ _78048_/CLK _78025_/D VGND VGND VPWR VPWR _62333_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44417_ _44442_/A _44371_/X VGND VGND VPWR VPWR _44417_/X sky130_fd_sc_hd__or2_2
+XFILLER_32_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75237_ _75235_/X _75236_/Y VGND VGND VPWR VPWR _75237_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_458_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63251_ _78599_/Q _63251_/B VGND VGND VPWR VPWR _63253_/B sky130_fd_sc_hd__nor2_2
+XFILLER_376_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_70_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_441_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41629_ _41269_/A _41629_/B VGND VGND VPWR VPWR _41629_/X sky130_fd_sc_hd__or2_2
+XFILLER_319_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_19 io_in[29] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_202_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48185_ _48214_/A _48194_/B VGND VGND VPWR VPWR _48186_/B sky130_fd_sc_hd__or2_2
+X_60463_ _60463_/A _60143_/B VGND VGND VPWR VPWR _60464_/C sky130_fd_sc_hd__nor2_2
+XFILLER_536_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72449_ _72313_/X _72442_/B VGND VGND VPWR VPWR _72452_/A sky130_fd_sc_hd__or2_2
+XFILLER_714_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45397_ _45397_/A _45397_/B VGND VGND VPWR VPWR _45397_/X sky130_fd_sc_hd__or2_2
+XFILLER_50_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_398_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62202_ _62202_/A _61732_/B VGND VGND VPWR VPWR _62202_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_556_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_347_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47136_ _46318_/A _47140_/A VGND VGND VPWR VPWR _47136_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_174_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44348_ _79219_/Q VGND VGND VPWR VPWR _44367_/A sky130_fd_sc_hd__inv_8
+XFILLER_319_155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_302_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63182_ _63182_/A _63494_/B VGND VGND VPWR VPWR _63184_/B sky130_fd_sc_hd__nor2_2
+X_75168_ _75168_/A _75167_/Y VGND VGND VPWR VPWR _80755_/D sky130_fd_sc_hd__nand2_2
+XFILLER_564_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_655_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60394_ _60394_/A VGND VGND VPWR VPWR _60395_/B sky130_fd_sc_hd__buf_1
+XFILLER_307_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_651_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_278_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62133_ _61666_/A _62133_/B _62133_/C VGND VGND VPWR VPWR _62134_/C sky130_fd_sc_hd__nor3_2
+XPHY_34982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74119_ _74116_/X _74118_/Y VGND VGND VPWR VPWR _74119_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_157_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47067_ _47067_/A _47067_/B VGND VGND VPWR VPWR _47068_/B sky130_fd_sc_hd__and2_2
+XPHY_34993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44279_ _44521_/A VGND VGND VPWR VPWR _44285_/A sky130_fd_sc_hd__buf_1
+X_75099_ _75103_/A _75096_/B _68039_/A VGND VGND VPWR VPWR _75100_/B sky130_fd_sc_hd__nand3_2
+XPHY_24470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79976_ _79944_/CLK _79976_/D VGND VGND VPWR VPWR _79976_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_334_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67990_ _67822_/A _67988_/Y _67989_/Y VGND VGND VPWR VPWR _67990_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_63_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_354_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_315_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_9_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_255_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46018_ _46018_/A VGND VGND VPWR VPWR _46848_/A sky130_fd_sc_hd__buf_1
+XFILLER_474_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62064_ _49903_/A _62064_/B VGND VGND VPWR VPWR _62066_/B sky130_fd_sc_hd__nor2_2
+X_66941_ _81342_/Q _66285_/B VGND VGND VPWR VPWR _66943_/B sky130_fd_sc_hd__nor2_2
+XFILLER_376_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_337_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78927_ _78929_/CLK _46961_/Y VGND VGND VPWR VPWR _71516_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_515_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_534_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_233_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_638_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61015_ _77776_/Q _61167_/B VGND VGND VPWR VPWR _61018_/B sky130_fd_sc_hd__nor2_2
+XFILLER_86_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38840_ _38590_/X _38829_/X VGND VGND VPWR VPWR _38840_/X sky130_fd_sc_hd__or2_2
+XFILLER_370_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_141_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69660_ _69660_/A VGND VGND VPWR VPWR _69724_/B sky130_fd_sc_hd__inv_8
+XFILLER_667_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_288_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_585_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66872_ _64247_/A VGND VGND VPWR VPWR _66872_/X sky130_fd_sc_hd__buf_1
+XFILLER_350_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78858_ _78860_/CLK _78858_/D VGND VGND VPWR VPWR _63786_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_530_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68611_ _68611_/A _68611_/B _68610_/Y VGND VGND VPWR VPWR _68611_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_619_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_708_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65823_ _66160_/A _65815_/Y _65823_/C VGND VGND VPWR VPWR _65824_/B sky130_fd_sc_hd__nor3_2
+XFILLER_303_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_389_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77809_ _77814_/CLK _77809_/D VGND VGND VPWR VPWR _61190_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_29_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38771_ _38361_/A VGND VGND VPWR VPWR _38790_/A sky130_fd_sc_hd__buf_1
+XFILLER_214_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69591_ _69587_/A VGND VGND VPWR VPWR _69593_/A sky130_fd_sc_hd__buf_1
+XFILLER_649_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_563_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47969_ _47851_/A _47956_/X VGND VGND VPWR VPWR _47969_/X sky130_fd_sc_hd__or2_2
+XFILLER_464_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78789_ _77891_/CLK _47657_/Y VGND VGND VPWR VPWR _63045_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_96_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49708_ _49710_/A VGND VGND VPWR VPWR _49708_/X sky130_fd_sc_hd__buf_1
+XFILLER_268_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80820_ _80766_/CLK _74923_/Y VGND VGND VPWR VPWR _65227_/A sky130_fd_sc_hd__dfxtp_4
+X_68542_ _68542_/A _68369_/B VGND VGND VPWR VPWR _68543_/C sky130_fd_sc_hd__nor2_2
+XFILLER_528_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65754_ _81047_/Q _65601_/B VGND VGND VPWR VPWR _65756_/B sky130_fd_sc_hd__nor2_2
+XFILLER_383_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_288_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_151_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50980_ _50980_/A _50979_/X VGND VGND VPWR VPWR _77929_/D sky130_fd_sc_hd__nand2_2
+XFILLER_331_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62966_ _78501_/Q _62966_/B VGND VGND VPWR VPWR _62967_/C sky130_fd_sc_hd__nor2_2
+XFILLER_618_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_445_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_684_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_528_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_481_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64705_ _65034_/A _64705_/B _64704_/Y VGND VGND VPWR VPWR _64710_/B sky130_fd_sc_hd__nor3_2
+XFILLER_567_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_680_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61917_ _50027_/A _62075_/B VGND VGND VPWR VPWR _61917_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_383_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49639_ _49639_/A _49638_/X VGND VGND VPWR VPWR _78286_/D sky130_fd_sc_hd__nand2_2
+XFILLER_256_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_662_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80751_ _80751_/CLK _80751_/D VGND VGND VPWR VPWR _75179_/C sky130_fd_sc_hd__dfxtp_4
+X_68473_ _79975_/Q _68304_/B VGND VGND VPWR VPWR _68473_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_563_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65685_ _66013_/A _65681_/Y _65684_/Y VGND VGND VPWR VPWR _65685_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_256_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_56_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62897_ _62426_/A _62897_/B _62896_/Y VGND VGND VPWR VPWR _62898_/C sky130_fd_sc_hd__nor3_2
+XFILLER_7_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_83_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_149_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_481_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67424_ _67424_/A _66769_/B VGND VGND VPWR VPWR _67425_/C sky130_fd_sc_hd__nor2_2
+XFILLER_246_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_348_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52650_ _52650_/A _52650_/B VGND VGND VPWR VPWR _52650_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_678_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64636_ _64305_/A _64634_/Y _64635_/Y VGND VGND VPWR VPWR _64636_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_244_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_661_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61848_ _62310_/A _61845_/Y _61848_/C VGND VGND VPWR VPWR _61855_/B sky130_fd_sc_hd__nor3_2
+XFILLER_383_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80682_ _80659_/CLK _80682_/D VGND VGND VPWR VPWR _68854_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_266_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_502_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_129_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39323_ _39190_/A _39323_/B VGND VGND VPWR VPWR _39323_/X sky130_fd_sc_hd__or2_2
+X_51601_ _51568_/A _51613_/B VGND VGND VPWR VPWR _51602_/B sky130_fd_sc_hd__or2_2
+XFILLER_77_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_0_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_559_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67355_ _67355_/A _67517_/B VGND VGND VPWR VPWR _67355_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_721_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52581_ _52578_/X VGND VGND VPWR VPWR _52603_/B sky130_fd_sc_hd__buf_1
+X_64567_ _68569_/A VGND VGND VPWR VPWR _69331_/A sky130_fd_sc_hd__buf_1
+XFILLER_541_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61779_ _61931_/A _61777_/Y _61779_/C VGND VGND VPWR VPWR _61783_/B sky130_fd_sc_hd__nor3_2
+XFILLER_360_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_252_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_23_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54320_ _59998_/A _54313_/B VGND VGND VPWR VPWR _54323_/A sky130_fd_sc_hd__nand2_2
+XFILLER_149_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66306_ _40961_/C _65967_/B VGND VGND VPWR VPWR _66307_/C sky130_fd_sc_hd__nor2_2
+X_51532_ _51532_/A _51527_/X VGND VGND VPWR VPWR _51532_/Y sky130_fd_sc_hd__nand2_2
+X_39254_ _39254_/A _39262_/B VGND VGND VPWR VPWR _39254_/X sky130_fd_sc_hd__or2_2
+XFILLER_75_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63518_ _60833_/A VGND VGND VPWR VPWR _63518_/X sky130_fd_sc_hd__buf_1
+XFILLER_702_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_55_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67286_ _64255_/X VGND VGND VPWR VPWR _67286_/X sky130_fd_sc_hd__buf_1
+XFILLER_339_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_146_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64498_ _67223_/A VGND VGND VPWR VPWR _64727_/B sky130_fd_sc_hd__buf_1
+XFILLER_558_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_205_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_279_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38205_ _38205_/A _76433_/B VGND VGND VPWR VPWR _38205_/X sky130_fd_sc_hd__or2_2
+XFILLER_574_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69025_ _68365_/X _69025_/B _69025_/C VGND VGND VPWR VPWR _69025_/Y sky130_fd_sc_hd__nor3_2
+X_81303_ _81301_/CLK _72944_/Y VGND VGND VPWR VPWR _81303_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_539_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54251_ _54251_/A _54243_/B VGND VGND VPWR VPWR _54254_/A sky130_fd_sc_hd__nand2_2
+XFILLER_279_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66237_ _66726_/A _66235_/Y _66237_/C VGND VGND VPWR VPWR _66237_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_479_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_539_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39185_ _39185_/A _39166_/X VGND VGND VPWR VPWR _39185_/X sky130_fd_sc_hd__or2_2
+XFILLER_377_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_338_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51463_ _51519_/A _51450_/X VGND VGND VPWR VPWR _51463_/X sky130_fd_sc_hd__or2_2
+XFILLER_196_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_656_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63449_ _63449_/A _63854_/B VGND VGND VPWR VPWR _63449_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_570_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_539_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_539_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_457_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_437_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53202_ _53202_/A _53209_/B VGND VGND VPWR VPWR _53205_/A sky130_fd_sc_hd__nand2_2
+XFILLER_650_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50414_ _50861_/A VGND VGND VPWR VPWR _50414_/X sky130_fd_sc_hd__buf_1
+XFILLER_339_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81234_ _81201_/CLK _73248_/Y VGND VGND VPWR VPWR _81234_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_326_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54182_ _54182_/A _54182_/B VGND VGND VPWR VPWR _77087_/D sky130_fd_sc_hd__nand2_2
+XPHY_14908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66168_ _79929_/Q _66498_/B VGND VGND VPWR VPWR _66170_/B sky130_fd_sc_hd__nor2_2
+XFILLER_539_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51394_ _51394_/A _51394_/B VGND VGND VPWR VPWR _51394_/X sky130_fd_sc_hd__or2_2
+XFILLER_320_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_637_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_203_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_691_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_140_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53133_ _60463_/A _53133_/B VGND VGND VPWR VPWR _53135_/A sky130_fd_sc_hd__nand2_2
+XFILLER_88_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65119_ _64949_/A _65115_/Y _65119_/C VGND VGND VPWR VPWR _65119_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_590_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50345_ _62626_/A _50341_/B VGND VGND VPWR VPWR _50345_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_88_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81165_ _81166_/CLK _81165_/D VGND VGND VPWR VPWR _69319_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_457_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58990_ _58990_/A VGND VGND VPWR VPWR _59100_/A sky130_fd_sc_hd__buf_1
+XFILLER_381_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_513_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66099_ _65430_/A _66095_/Y _66099_/C VGND VGND VPWR VPWR _66108_/B sky130_fd_sc_hd__nor3_2
+XFILLER_453_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80116_ _80101_/CLK _80116_/D VGND VGND VPWR VPWR _65356_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_394_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_372_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_552_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53064_ _53064_/A _53063_/X VGND VGND VPWR VPWR _53064_/Y sky130_fd_sc_hd__nand2_2
+X_57941_ _57543_/X _57899_/X _57940_/X _74457_/Y _57626_/X VGND VGND VPWR VPWR _76663_/D
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_69_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69927_ _69926_/X VGND VGND VPWR VPWR _46066_/B sky130_fd_sc_hd__inv_8
+XFILLER_333_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50276_ _50276_/A _50261_/B VGND VGND VPWR VPWR _50276_/X sky130_fd_sc_hd__or2_2
+XFILLER_353_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81096_ _80790_/CLK _73777_/Y VGND VGND VPWR VPWR _81096_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_530_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_3_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_340_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_657_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52015_ _52048_/A _52027_/B VGND VGND VPWR VPWR _52015_/X sky130_fd_sc_hd__or2_2
+XFILLER_615_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80047_ _80109_/CLK _80047_/D VGND VGND VPWR VPWR _39730_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_290_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57872_ _57872_/A _57872_/B _57872_/C VGND VGND VPWR VPWR _57872_/Y sky130_fd_sc_hd__nor3_2
+XPHY_30929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69858_ _38618_/A _74662_/C _75825_/C VGND VGND VPWR VPWR _69859_/B sky130_fd_sc_hd__or3_2
+XPHY_8803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_726_3125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_87_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59611_ _59611_/A _59611_/B _59610_/Y VGND VGND VPWR VPWR _59612_/B sky130_fd_sc_hd__nor3_2
+XPHY_20428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_412_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_82_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56823_ _56659_/A _56823_/B _56822_/Y VGND VGND VPWR VPWR _56823_/X sky130_fd_sc_hd__or3_2
+X_68809_ _68972_/A _68805_/Y _68809_/C VGND VGND VPWR VPWR _68818_/B sky130_fd_sc_hd__nor3_2
+XFILLER_656_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_314_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38969_ _38963_/A _38959_/X _38969_/C VGND VGND VPWR VPWR _38969_/Y sky130_fd_sc_hd__nand3_2
+X_69789_ _69593_/A _69644_/Y VGND VGND VPWR VPWR _69789_/Y sky130_fd_sc_hd__nor2_2
+XPHY_8858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_468_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_349_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_581_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_247_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_5_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59542_ _59383_/A _59542_/B _59541_/Y VGND VGND VPWR VPWR _59543_/C sky130_fd_sc_hd__nor3_2
+XFILLER_436_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71820_ _70857_/B _71819_/X _71337_/A VGND VGND VPWR VPWR _71820_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_251_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56754_ _56754_/A _56508_/X VGND VGND VPWR VPWR _56756_/B sky130_fd_sc_hd__nor2_2
+XFILLER_287_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_468_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41980_ _41980_/A VGND VGND VPWR VPWR _41981_/A sky130_fd_sc_hd__buf_1
+XFILLER_683_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53966_ _53964_/Y _53965_/X VGND VGND VPWR VPWR _53966_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_21_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_483_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55705_ _44988_/Y _55705_/B VGND VGND VPWR VPWR _55707_/B sky130_fd_sc_hd__nor2_2
+XFILLER_232_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52917_ _52938_/B VGND VGND VPWR VPWR _52930_/B sky130_fd_sc_hd__buf_1
+X_40931_ _40931_/A _40930_/Y VGND VGND VPWR VPWR _79746_/D sky130_fd_sc_hd__nand2_2
+XPHY_29404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71751_ _47016_/A VGND VGND VPWR VPWR _71752_/B sky130_fd_sc_hd__inv_8
+XFILLER_483_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59473_ _59473_/A _59797_/B VGND VGND VPWR VPWR _59477_/B sky130_fd_sc_hd__nor2_2
+XFILLER_274_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_210_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80949_ _80914_/CLK _80949_/D VGND VGND VPWR VPWR _80949_/Q sky130_fd_sc_hd__dfxtp_4
+X_56685_ _57009_/A _56681_/Y _56685_/C VGND VGND VPWR VPWR _56685_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_275_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53897_ _77161_/Q _53885_/X VGND VGND VPWR VPWR _53899_/A sky130_fd_sc_hd__nand2_2
+XFILLER_366_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_661_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_622_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70702_ _70701_/X VGND VGND VPWR VPWR _70710_/A sky130_fd_sc_hd__inv_8
+XFILLER_609_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58424_ _56412_/A VGND VGND VPWR VPWR _58424_/X sky130_fd_sc_hd__buf_1
+XPHY_28703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_44_0_u_core.clock clkbuf_7_45_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_88_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_640_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_262_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_95_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43650_ _71950_/A _43650_/B VGND VGND VPWR VPWR _43652_/B sky130_fd_sc_hd__nor2_2
+XFILLER_189_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55636_ _55250_/X _55635_/Y VGND VGND VPWR VPWR _55648_/A sky130_fd_sc_hd__nor2_2
+X_74470_ _67085_/A VGND VGND VPWR VPWR _74470_/Y sky130_fd_sc_hd__inv_8
+XFILLER_542_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40862_ _40862_/A _40862_/B VGND VGND VPWR VPWR _79764_/D sky130_fd_sc_hd__nand2_2
+XFILLER_74_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52848_ _52848_/A VGND VGND VPWR VPWR _52863_/B sky130_fd_sc_hd__buf_1
+XFILLER_93_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71682_ _71490_/A _70933_/A VGND VGND VPWR VPWR _71683_/B sky130_fd_sc_hd__nor2_2
+XFILLER_598_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_383_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_43_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42601_ _42586_/X _42600_/Y _70333_/X _42587_/X VGND VGND VPWR VPWR _42601_/X sky130_fd_sc_hd__o22a_4
+XFILLER_562_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73421_ _73421_/A _73420_/X VGND VGND VPWR VPWR _73421_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58355_ _68647_/A _58273_/B VGND VGND VPWR VPWR _58355_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_261_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70633_ _70631_/Y _70633_/B VGND VGND VPWR VPWR _70634_/A sky130_fd_sc_hd__or2_2
+XFILLER_596_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_4_0_u_core.clock clkbuf_7_5_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_9_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_696_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43581_ _79325_/Q _43588_/B VGND VGND VPWR VPWR _43581_/Y sky130_fd_sc_hd__nor2_2
+X_55567_ _55551_/A _45197_/B VGND VGND VPWR VPWR _55567_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_167_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_42_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52779_ _77455_/Q _52787_/B VGND VGND VPWR VPWR _52779_/Y sky130_fd_sc_hd__nand2_2
+X_40793_ _40408_/X _40807_/B VGND VGND VPWR VPWR _40793_/X sky130_fd_sc_hd__or2_2
+XFILLER_215_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57306_ _57540_/A _57305_/Y VGND VGND VPWR VPWR _57306_/Y sky130_fd_sc_hd__nor2_2
+X_45320_ _45320_/A VGND VGND VPWR VPWR _45320_/Y sky130_fd_sc_hd__inv_8
+XFILLER_223_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76140_ _76140_/A VGND VGND VPWR VPWR _38281_/A sky130_fd_sc_hd__buf_1
+XFILLER_442_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_360_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54518_ _54530_/A VGND VGND VPWR VPWR _54533_/B sky130_fd_sc_hd__buf_1
+XFILLER_325_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42532_ _45560_/A VGND VGND VPWR VPWR _68677_/A sky130_fd_sc_hd__inv_8
+XFILLER_677_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73352_ _73352_/A _73351_/X VGND VGND VPWR VPWR _73352_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_265_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58286_ _58286_/A _58056_/B VGND VGND VPWR VPWR _58287_/C sky130_fd_sc_hd__nor2_2
+X_70564_ _70563_/X VGND VGND VPWR VPWR _70564_/X sky130_fd_sc_hd__buf_1
+XFILLER_657_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55498_ _45077_/Y _55550_/B VGND VGND VPWR VPWR _55501_/B sky130_fd_sc_hd__nor2_2
+XFILLER_242_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_407_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72303_ _72806_/A _72295_/B VGND VGND VPWR VPWR _72306_/A sky130_fd_sc_hd__or2_2
+XFILLER_449_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_348_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45251_ _45223_/A _45250_/Y VGND VGND VPWR VPWR _45252_/B sky130_fd_sc_hd__nor2_2
+XFILLER_635_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57237_ _57316_/A _57237_/B _57237_/C VGND VGND VPWR VPWR _57249_/A sky130_fd_sc_hd__nor3_2
+XFILLER_35_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_666_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76071_ _76054_/X _76091_/B _80526_/Q VGND VGND VPWR VPWR _76071_/Y sky130_fd_sc_hd__nand3_2
+XPHY_16833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42463_ _42553_/A _42462_/X VGND VGND VPWR VPWR _42463_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_187_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_592_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54449_ _54477_/A _54455_/B VGND VGND VPWR VPWR _54450_/B sky130_fd_sc_hd__or2_2
+XFILLER_638_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73283_ _73268_/A _73268_/B _68910_/A VGND VGND VPWR VPWR _73285_/A sky130_fd_sc_hd__nand3_2
+XPHY_34201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70495_ _70484_/A _70495_/B VGND VGND VPWR VPWR _70496_/B sky130_fd_sc_hd__nand2_2
+XFILLER_204_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44202_ _66535_/A _44190_/X VGND VGND VPWR VPWR _44203_/B sky130_fd_sc_hd__nor2_2
+XPHY_2486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75022_ _75020_/Y _75021_/X VGND VGND VPWR VPWR _75022_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_690_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41414_ _41294_/A _41408_/B VGND VGND VPWR VPWR _41419_/A sky130_fd_sc_hd__or2_2
+XFILLER_204_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72234_ _72234_/A _72233_/X VGND VGND VPWR VPWR _72234_/X sky130_fd_sc_hd__or2_2
+XFILLER_401_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57168_ _56851_/X _57166_/Y _57168_/C VGND VGND VPWR VPWR _57168_/Y sky130_fd_sc_hd__nor3_2
+X_45182_ _45182_/A _45181_/Y VGND VGND VPWR VPWR _45183_/C sky130_fd_sc_hd__nor2_2
+XFILLER_221_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42394_ _42382_/X VGND VGND VPWR VPWR _42394_/X sky130_fd_sc_hd__buf_1
+XFILLER_706_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_158_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44133_ _44133_/A _44132_/X VGND VGND VPWR VPWR _44139_/B sky130_fd_sc_hd__nor2_2
+XFILLER_278_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79830_ _79837_/CLK _79830_/D VGND VGND VPWR VPWR _65682_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_10_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56119_ _56083_/A VGND VGND VPWR VPWR _56144_/A sky130_fd_sc_hd__buf_1
+XFILLER_436_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_651_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41345_ _41090_/A _41358_/B VGND VGND VPWR VPWR _41347_/A sky130_fd_sc_hd__or2_2
+XPHY_33544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72165_ _72165_/A _72331_/B VGND VGND VPWR VPWR _72165_/X sky130_fd_sc_hd__or2_2
+XPHY_23021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49990_ _49990_/A _49986_/B VGND VGND VPWR VPWR _49992_/A sky130_fd_sc_hd__nand2_2
+XFILLER_372_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57099_ _57091_/Y _57099_/B VGND VGND VPWR VPWR _57100_/B sky130_fd_sc_hd__nor2_2
+XFILLER_376_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_7_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_682_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_570_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71116_ _71489_/A VGND VGND VPWR VPWR _71519_/A sky130_fd_sc_hd__buf_1
+XFILLER_373_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48941_ _49060_/A _48937_/B VGND VGND VPWR VPWR _48942_/B sky130_fd_sc_hd__or2_2
+X_44064_ _44064_/A _44074_/B _69423_/A VGND VGND VPWR VPWR _44065_/C sky130_fd_sc_hd__and3_2
+Xclkbuf_9_136_0_u_core.clock clkbuf_8_68_0_u_core.clock/X VGND VGND VPWR VPWR _79518_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_505_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79761_ _79794_/CLK _40871_/Y VGND VGND VPWR VPWR _64824_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_722_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41276_ _41389_/B VGND VGND VPWR VPWR _41277_/B sky130_fd_sc_hd__buf_1
+XFILLER_117_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76973_ _76975_/CLK _54608_/Y VGND VGND VPWR VPWR _58933_/A sky130_fd_sc_hd__dfxtp_4
+X_72096_ _71975_/A _72007_/A _72036_/C _72111_/A VGND VGND VPWR VPWR _72096_/Y sky130_fd_sc_hd__nand4_2
+XFILLER_100_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43015_ _79503_/Q VGND VGND VPWR VPWR _43015_/Y sky130_fd_sc_hd__inv_8
+Xclkbuf_8_24_0_u_core.clock clkbuf_8_24_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_49_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_502_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78712_ _78741_/CLK _47964_/Y VGND VGND VPWR VPWR _47962_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_459_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40227_ _40348_/A _40216_/B VGND VGND VPWR VPWR _40229_/A sky130_fd_sc_hd__or2_2
+XFILLER_319_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75924_ _75982_/A VGND VGND VPWR VPWR _75926_/A sky130_fd_sc_hd__buf_1
+XFILLER_520_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71047_ la_oen[125] VGND VGND VPWR VPWR _71048_/B sky130_fd_sc_hd__inv_8
+XFILLER_65_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48872_ _48869_/Y _48871_/X VGND VGND VPWR VPWR _78482_/D sky130_fd_sc_hd__nand2_2
+XPHY_22375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79692_ _79694_/CLK _41165_/Y VGND VGND VPWR VPWR _69224_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_152_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_3070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_648_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_245_0_u_core.clock clkbuf_8_245_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_491_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_486_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_124_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_151_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_688_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47823_ _78748_/Q _47823_/B VGND VGND VPWR VPWR _47823_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_234_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59809_ _76905_/Q _59809_/B VGND VGND VPWR VPWR _59809_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_26_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78643_ _76917_/CLK _78643_/D VGND VGND VPWR VPWR _63884_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_297_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40158_ _40277_/A _40142_/B VGND VGND VPWR VPWR _40158_/X sky130_fd_sc_hd__or2_2
+XFILLER_272_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75855_ _75458_/A _75842_/X VGND VGND VPWR VPWR _75855_/X sky130_fd_sc_hd__or2_2
+XFILLER_567_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_250_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_469_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62820_ _62489_/X _62820_/B _62819_/Y VGND VGND VPWR VPWR _62820_/Y sky130_fd_sc_hd__nor3_2
+X_74806_ _74806_/A VGND VGND VPWR VPWR _75413_/A sky130_fd_sc_hd__buf_1
+XFILLER_267_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47754_ _47752_/Y _47753_/X VGND VGND VPWR VPWR _47754_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_238_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78574_ _78580_/CLK _78574_/D VGND VGND VPWR VPWR _63133_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_11195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44966_ _70012_/A _44978_/C VGND VGND VPWR VPWR _44967_/C sky130_fd_sc_hd__nor2_2
+X_40089_ _39970_/A _40079_/B VGND VGND VPWR VPWR _40091_/A sky130_fd_sc_hd__or2_2
+XFILLER_387_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75786_ _75786_/A _75785_/Y VGND VGND VPWR VPWR _80602_/D sky130_fd_sc_hd__nand2_2
+XPHY_20984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_61_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_607_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_586_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_289_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72998_ _72996_/Y _72998_/B VGND VGND VPWR VPWR _72998_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_447_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_61_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46705_ _46163_/B _46705_/B VGND VGND VPWR VPWR _46706_/B sky130_fd_sc_hd__or2_2
+XFILLER_113_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77525_ _77525_/CLK _52520_/Y VGND VGND VPWR VPWR _60470_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_608_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43917_ _72932_/A _43931_/B VGND VGND VPWR VPWR _43918_/C sky130_fd_sc_hd__nor2_2
+X_74737_ _74737_/A VGND VGND VPWR VPWR _74738_/A sky130_fd_sc_hd__buf_1
+XFILLER_293_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62751_ _62281_/A _62749_/Y _62751_/C VGND VGND VPWR VPWR _62755_/B sky130_fd_sc_hd__nor3_2
+XFILLER_705_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71949_ _71947_/X _71949_/B VGND VGND VPWR VPWR _71949_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_348_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47685_ _47685_/A _47666_/B VGND VGND VPWR VPWR _47685_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_42_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_564_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44897_ _44897_/A _44897_/B VGND VGND VPWR VPWR _44897_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_4_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_265_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_384_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_281_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61702_ _61702_/A _61538_/B VGND VGND VPWR VPWR _61703_/C sky130_fd_sc_hd__nor2_2
+X_49424_ _61807_/A _49424_/B VGND VGND VPWR VPWR _49424_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_447_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_640_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_408_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46636_ _46636_/A _46635_/X VGND VGND VPWR VPWR _46649_/B sky130_fd_sc_hd__or2_2
+XFILLER_670_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65470_ _43460_/C _64944_/B VGND VGND VPWR VPWR _65471_/C sky130_fd_sc_hd__nor2_2
+XFILLER_267_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77456_ _77454_/CLK _77456_/D VGND VGND VPWR VPWR _77456_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_39_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43848_ _43850_/A _43848_/B VGND VGND VPWR VPWR _43848_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_625_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62682_ _62060_/A _62682_/B _62681_/Y VGND VGND VPWR VPWR _62683_/B sky130_fd_sc_hd__nor3_2
+XFILLER_643_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74668_ _74696_/A VGND VGND VPWR VPWR _74668_/X sky130_fd_sc_hd__buf_1
+XFILLER_679_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_630_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64421_ _64421_/A _65024_/B VGND VGND VPWR VPWR _64421_/Y sky130_fd_sc_hd__nor2_2
+X_76407_ _76397_/A _76419_/B _66909_/A VGND VGND VPWR VPWR _76408_/B sky130_fd_sc_hd__nand3_2
+XFILLER_34_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_443_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61633_ _51677_/A _61323_/B VGND VGND VPWR VPWR _61633_/Y sky130_fd_sc_hd__nor2_2
+X_49355_ _78359_/Q _49355_/B VGND VGND VPWR VPWR _49355_/Y sky130_fd_sc_hd__nand2_2
+XPHY_29982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73619_ _73740_/A _73509_/X VGND VGND VPWR VPWR _73621_/A sky130_fd_sc_hd__or2_2
+XFILLER_361_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_343_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_234_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46567_ _46567_/A VGND VGND VPWR VPWR _46585_/B sky130_fd_sc_hd__buf_1
+XFILLER_228_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77387_ _77387_/CLK _53032_/Y VGND VGND VPWR VPWR _61479_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_29993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_406_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_343_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43779_ _43769_/A _43779_/B VGND VGND VPWR VPWR _43780_/B sky130_fd_sc_hd__nor2_2
+XPHY_5090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74599_ _74596_/X _74599_/B VGND VGND VPWR VPWR _74599_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_343_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_61_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_45_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_379_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_378_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_72_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_500_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48306_ _48306_/A _48316_/B VGND VGND VPWR VPWR _48307_/B sky130_fd_sc_hd__or2_2
+XPHY_19492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67140_ _74340_/C _66803_/X VGND VGND VPWR VPWR _67142_/B sky130_fd_sc_hd__nor2_2
+XFILLER_308_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_178_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79126_ _76700_/CLK _45599_/Y VGND VGND VPWR VPWR _45596_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_410_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45518_ _45492_/A _45391_/B _45513_/C VGND VGND VPWR VPWR _45518_/Y sky130_fd_sc_hd__nor3_2
+X_76338_ _76334_/X _76234_/B _76338_/C VGND VGND VPWR VPWR _76338_/Y sky130_fd_sc_hd__nand3_2
+X_64352_ _64351_/X VGND VGND VPWR VPWR _64822_/A sky130_fd_sc_hd__buf_1
+X_61564_ _61564_/A _61563_/X VGND VGND VPWR VPWR _61564_/Y sky130_fd_sc_hd__nor2_2
+X_49286_ _78372_/Q _49286_/B VGND VGND VPWR VPWR _49286_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_59_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46498_ _46617_/A _69558_/B VGND VGND VPWR VPWR _46504_/A sky130_fd_sc_hd__or2_2
+XFILLER_222_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_233_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_280 _42408_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_498_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_291 _49226_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_578_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63303_ _63303_/A _62837_/X VGND VGND VPWR VPWR _63303_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_695_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60515_ _60027_/A _60513_/Y _60515_/C VGND VGND VPWR VPWR _60516_/C sky130_fd_sc_hd__nor3_2
+X_48237_ _48237_/A _48236_/X VGND VGND VPWR VPWR _78643_/D sky130_fd_sc_hd__nand2_2
+X_67071_ _67071_/A _67071_/B VGND VGND VPWR VPWR _67072_/B sky130_fd_sc_hd__nor2_2
+XFILLER_576_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_261_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79057_ _79057_/CLK _79057_/D VGND VGND VPWR VPWR _45961_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_178_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45449_ _45444_/A _45096_/B _45449_/C VGND VGND VPWR VPWR _45449_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64283_ _64283_/A _64283_/B VGND VGND VPWR VPWR _64283_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_124_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76269_ _38271_/A _76272_/B VGND VGND VPWR VPWR _76271_/A sky130_fd_sc_hd__or2_2
+X_61495_ _61032_/A _61493_/Y _61495_/C VGND VGND VPWR VPWR _61496_/C sky130_fd_sc_hd__nor3_2
+XFILLER_18_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_673_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_656_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66022_ _66022_/A _66022_/B _66021_/Y VGND VGND VPWR VPWR _66032_/B sky130_fd_sc_hd__nor3_2
+XFILLER_72_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78008_ _78006_/CLK _78008_/D VGND VGND VPWR VPWR _62181_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_575_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_187_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_458_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63234_ _59155_/X VGND VGND VPWR VPWR _63810_/A sky130_fd_sc_hd__buf_1
+XFILLER_726_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60446_ _60446_/A _60288_/B VGND VGND VPWR VPWR _60446_/Y sky130_fd_sc_hd__nor2_2
+X_48168_ _48284_/A _48134_/X VGND VGND VPWR VPWR _48169_/B sky130_fd_sc_hd__or2_2
+XFILLER_198_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_9_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_375_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47119_ _46369_/B _47171_/A VGND VGND VPWR VPWR _47119_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_713_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63165_ _62859_/A _63165_/B _63165_/C VGND VGND VPWR VPWR _63166_/C sky130_fd_sc_hd__nor3_2
+XFILLER_176_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48099_ _48040_/A _48074_/A VGND VGND VPWR VPWR _48099_/X sky130_fd_sc_hd__or2_2
+X_60377_ _62226_/A VGND VGND VPWR VPWR _60377_/X sky130_fd_sc_hd__buf_1
+XFILLER_88_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_673_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_296_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_134_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50130_ _50093_/X _50148_/B VGND VGND VPWR VPWR _50131_/B sky130_fd_sc_hd__or2_2
+X_62116_ _61645_/A _62112_/Y _62115_/Y VGND VGND VPWR VPWR _62116_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_307_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39941_ _39941_/A _39945_/B _80001_/Q VGND VGND VPWR VPWR _39941_/Y sky130_fd_sc_hd__nand3_2
+Xclkbuf_opt_1_u_core.clock _79500_/CLK VGND VGND VPWR VPWR _79036_/CLK sky130_fd_sc_hd__clkbuf_16
+XFILLER_239_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_701_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67973_ _80612_/Q _67803_/B VGND VGND VPWR VPWR _67974_/C sky130_fd_sc_hd__nor2_2
+X_63096_ _47781_/A _62792_/X VGND VGND VPWR VPWR _63098_/B sky130_fd_sc_hd__nor2_2
+XFILLER_44_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79959_ _79961_/CLK _79959_/D VGND VGND VPWR VPWR _65835_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_137_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_239_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_471_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69712_ _69723_/A _64085_/A VGND VGND VPWR VPWR _69715_/A sky130_fd_sc_hd__or2_2
+XFILLER_470_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50061_ _49912_/A VGND VGND VPWR VPWR _50061_/X sky130_fd_sc_hd__buf_1
+X_66924_ _66924_/A _66262_/X VGND VGND VPWR VPWR _66924_/Y sky130_fd_sc_hd__nor2_2
+X_62047_ _61569_/A _62047_/B _62047_/C VGND VGND VPWR VPWR _62047_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_610_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_291_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39872_ _39864_/A _39857_/B _39872_/C VGND VGND VPWR VPWR _39872_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_350_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_177_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_710_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_103_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_244_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38823_ _38809_/A _38820_/B _80281_/Q VGND VGND VPWR VPWR _38823_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_525_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_315_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69643_ _79169_/Q _69643_/B VGND VGND VPWR VPWR _69644_/B sky130_fd_sc_hd__nor2_2
+XFILLER_665_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66855_ _66183_/X _66845_/Y _66855_/C VGND VGND VPWR VPWR _66856_/B sky130_fd_sc_hd__nor3_2
+XFILLER_331_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_255_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_248_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_666_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_135_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53820_ _53818_/Y _53819_/X VGND VGND VPWR VPWR _53820_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_458_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_389_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65806_ _65488_/A _65798_/Y _65805_/Y VGND VGND VPWR VPWR _65806_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_387_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_610_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38754_ _38763_/A VGND VGND VPWR VPWR _38793_/A sky130_fd_sc_hd__buf_1
+XFILLER_708_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69574_ _42150_/A _69573_/X VGND VGND VPWR VPWR _69654_/B sky130_fd_sc_hd__nor2_2
+XFILLER_680_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_547_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66786_ _64267_/X VGND VGND VPWR VPWR _66786_/X sky130_fd_sc_hd__buf_1
+XFILLER_492_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_3053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63998_ _59181_/A _63997_/Y VGND VGND VPWR VPWR _63998_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_508_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_461_3108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_350_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_69_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_256_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_111_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68525_ _66056_/A VGND VGND VPWR VPWR _68528_/A sky130_fd_sc_hd__buf_1
+X_80803_ _80751_/CLK _74990_/Y VGND VGND VPWR VPWR _67714_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_328_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_607_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53751_ _53751_/A _53751_/B VGND VGND VPWR VPWR _53753_/A sky130_fd_sc_hd__nand2_2
+XFILLER_465_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65737_ _65737_/A _66403_/B VGND VGND VPWR VPWR _65738_/C sky130_fd_sc_hd__nor2_2
+XFILLER_348_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_524_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38685_ _38544_/A _38688_/B VGND VGND VPWR VPWR _38687_/A sky130_fd_sc_hd__or2_2
+X_50963_ _50961_/Y _50962_/X VGND VGND VPWR VPWR _50963_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_79_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_487_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62949_ _62478_/X _62949_/B _62948_/Y VGND VGND VPWR VPWR _62949_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_406_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_228_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_96_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_662_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_363_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52702_ _52609_/X _52717_/B VGND VGND VPWR VPWR _52703_/B sky130_fd_sc_hd__or2_2
+XFILLER_42_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56470_ _80592_/Q _56346_/B VGND VGND VPWR VPWR _56472_/B sky130_fd_sc_hd__nor2_2
+X_80734_ _80734_/CLK _75248_/Y VGND VGND VPWR VPWR _80734_/Q sky130_fd_sc_hd__dfxtp_4
+X_68456_ _68456_/A _68285_/B VGND VGND VPWR VPWR _68456_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_56_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53682_ _53739_/A _53700_/B VGND VGND VPWR VPWR _53682_/X sky130_fd_sc_hd__or2_2
+XFILLER_83_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65668_ _65497_/X _65663_/Y _65668_/C VGND VGND VPWR VPWR _65669_/C sky130_fd_sc_hd__nor3_2
+XFILLER_348_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50894_ _50922_/A _50900_/B VGND VGND VPWR VPWR _50895_/B sky130_fd_sc_hd__or2_2
+XFILLER_288_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_417_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_446_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_606_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_77_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_541_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55421_ _55363_/A VGND VGND VPWR VPWR _55447_/A sky130_fd_sc_hd__buf_1
+XFILLER_205_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67407_ _68230_/A VGND VGND VPWR VPWR _67752_/A sky130_fd_sc_hd__buf_1
+XFILLER_432_246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52633_ _52630_/Y _52632_/X VGND VGND VPWR VPWR _52633_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_578_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64619_ _68297_/A VGND VGND VPWR VPWR _64782_/B sky130_fd_sc_hd__buf_1
+XFILLER_460_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80665_ _80637_/CLK _80665_/D VGND VGND VPWR VPWR _66054_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_604_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68387_ _81127_/Q _68562_/B VGND VGND VPWR VPWR _68389_/B sky130_fd_sc_hd__nor2_2
+XFILLER_498_3053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_398_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_58_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65599_ _67370_/A VGND VGND VPWR VPWR _66258_/A sky130_fd_sc_hd__buf_1
+XFILLER_404_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_621_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_307_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_410_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_184_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_225_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39306_ _39291_/X _39310_/B _80161_/Q VGND VGND VPWR VPWR _39307_/B sky130_fd_sc_hd__nand3_2
+XFILLER_36_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58140_ _57974_/A _58140_/B _58139_/Y VGND VGND VPWR VPWR _58144_/B sky130_fd_sc_hd__nor3_2
+XFILLER_460_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_401_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55352_ _55352_/A _45177_/B VGND VGND VPWR VPWR _55357_/B sky130_fd_sc_hd__nor2_2
+XFILLER_224_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67338_ _67182_/A _67334_/Y _67338_/C VGND VGND VPWR VPWR _67338_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_559_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52564_ _52564_/A _52564_/B VGND VGND VPWR VPWR _77513_/D sky130_fd_sc_hd__nand2_2
+XPHY_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80596_ _80597_/CLK _80596_/D VGND VGND VPWR VPWR _80596_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_3059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_602_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_326_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54303_ _54244_/X _54300_/B VGND VGND VPWR VPWR _54304_/B sky130_fd_sc_hd__or2_2
+XFILLER_494_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39237_ _39235_/X _39237_/B VGND VGND VPWR VPWR _39237_/Y sky130_fd_sc_hd__nand2_2
+X_51515_ _60861_/A _51518_/B VGND VGND VPWR VPWR _51515_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_106_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_420_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58071_ _58470_/A VGND VGND VPWR VPWR _58307_/A sky130_fd_sc_hd__buf_1
+XPHY_16129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55283_ _45281_/Y _55598_/B VGND VGND VPWR VPWR _55285_/B sky130_fd_sc_hd__nor2_2
+XFILLER_656_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_617_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67269_ _67269_/A _66769_/B VGND VGND VPWR VPWR _67269_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_386_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_596_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_472_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52495_ _61553_/A _52494_/X VGND VGND VPWR VPWR _52495_/Y sky130_fd_sc_hd__nand2_2
+XPHY_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_51_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_500_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_142_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57022_ _57022_/A VGND VGND VPWR VPWR _57226_/A sky130_fd_sc_hd__buf_1
+X_69008_ _75601_/C _68348_/B VGND VGND VPWR VPWR _69010_/B sky130_fd_sc_hd__nor2_2
+XFILLER_719_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_36_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54234_ _54230_/Y _54234_/B VGND VGND VPWR VPWR _77074_/D sky130_fd_sc_hd__nand2_2
+XFILLER_140_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_320_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39168_ _39159_/A _39159_/B _57810_/A VGND VGND VPWR VPWR _39169_/B sky130_fd_sc_hd__nand3_2
+X_51446_ _61501_/A _51456_/B VGND VGND VPWR VPWR _51448_/A sky130_fd_sc_hd__nand2_2
+XFILLER_11_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70280_ _70300_/A _70280_/B _70279_/Y VGND VGND VPWR VPWR _70281_/A sky130_fd_sc_hd__or3_2
+XFILLER_554_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_570_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_177_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_175_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_22_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_165_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81217_ _81211_/CLK _81217_/D VGND VGND VPWR VPWR _67417_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_338_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_355_3068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54165_ _54067_/A _54168_/B VGND VGND VPWR VPWR _54165_/X sky130_fd_sc_hd__or2_2
+XFILLER_299_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39099_ _39099_/A _39098_/Y VGND VGND VPWR VPWR _80207_/D sky130_fd_sc_hd__nand2_2
+X_51377_ _51377_/A _51369_/X VGND VGND VPWR VPWR _51380_/A sky130_fd_sc_hd__nand2_2
+XFILLER_637_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_138_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_123_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_688_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41130_ _39230_/A VGND VGND VPWR VPWR _41256_/A sky130_fd_sc_hd__buf_1
+XFILLER_277_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_257_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53116_ _53116_/A _53116_/B VGND VGND VPWR VPWR _53116_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_630_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_355_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50328_ _50266_/A _50334_/B VGND VGND VPWR VPWR _50329_/B sky130_fd_sc_hd__or2_2
+XFILLER_218_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81148_ _81148_/CLK _73580_/Y VGND VGND VPWR VPWR _81148_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_450_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_313_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_570_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54096_ _53182_/A VGND VGND VPWR VPWR _54695_/A sky130_fd_sc_hd__buf_1
+X_58973_ _58973_/A _59325_/B VGND VGND VPWR VPWR _58978_/B sky130_fd_sc_hd__nor2_2
+XFILLER_298_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_31416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_106_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_175_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_279_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_106_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41061_ _73172_/A VGND VGND VPWR VPWR _41061_/X sky130_fd_sc_hd__buf_1
+XFILLER_69_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_171_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53047_ _53047_/A _53047_/B VGND VGND VPWR VPWR _53047_/X sky130_fd_sc_hd__or2_2
+X_57924_ _56255_/X VGND VGND VPWR VPWR _58249_/B sky130_fd_sc_hd__buf_1
+XFILLER_650_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50259_ _49505_/A VGND VGND VPWR VPWR _50861_/A sky130_fd_sc_hd__buf_1
+XFILLER_216_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73970_ _73986_/A VGND VGND VPWR VPWR _73983_/A sky130_fd_sc_hd__buf_1
+XFILLER_49_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81079_ _81047_/CLK _81079_/D VGND VGND VPWR VPWR _65755_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_411_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_316_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_106_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_685_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40012_ _39999_/A _40011_/X _40012_/C VGND VGND VPWR VPWR _40013_/B sky130_fd_sc_hd__nand3_2
+XFILLER_118_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72921_ _72921_/A _72921_/B VGND VGND VPWR VPWR _81308_/D sky130_fd_sc_hd__nand2_2
+XPHY_9367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_700_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57855_ _67448_/A _57613_/X VGND VGND VPWR VPWR _57855_/Y sky130_fd_sc_hd__nor2_2
+XPHY_30759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_161 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_62_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_529_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44820_ _44848_/A _44820_/B VGND VGND VPWR VPWR _79188_/D sky130_fd_sc_hd__nor2_2
+X_56806_ _58788_/A VGND VGND VPWR VPWR _56806_/X sky130_fd_sc_hd__buf_1
+XPHY_8666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75640_ _75640_/A _75644_/B _67360_/A VGND VGND VPWR VPWR _75641_/B sky130_fd_sc_hd__nand3_2
+XPHY_20269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72852_ _73646_/A _72857_/B VGND VGND VPWR VPWR _72852_/X sky130_fd_sc_hd__or2_2
+XFILLER_431_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57786_ _80033_/Q _57633_/B VGND VGND VPWR VPWR _57788_/B sky130_fd_sc_hd__nor2_2
+XFILLER_60_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_409_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54998_ _54970_/A _54998_/B VGND VGND VPWR VPWR _54999_/B sky130_fd_sc_hd__or2_2
+XFILLER_216_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_483_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_507_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_447_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71803_ _71801_/X _71802_/Y VGND VGND VPWR VPWR _81496_/D sky130_fd_sc_hd__nand2_2
+XFILLER_47_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59525_ _77071_/Q _59686_/B VGND VGND VPWR VPWR _59525_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_87_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_43_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44751_ _44720_/A _44751_/B VGND VGND VPWR VPWR _79198_/D sky130_fd_sc_hd__nor2_2
+XPHY_7976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56737_ _56200_/X _56695_/X _56736_/X _74523_/Y _56413_/X VGND VGND VPWR VPWR _76648_/D
++ sky130_fd_sc_hd__a32oi_4
+X_75571_ _74806_/A VGND VGND VPWR VPWR _76057_/A sky130_fd_sc_hd__buf_1
+XFILLER_466_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_366_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41963_ _41950_/Y _41951_/X _41963_/C VGND VGND VPWR VPWR _41964_/A sky130_fd_sc_hd__or3_2
+XFILLER_212_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53949_ _53958_/A VGND VGND VPWR VPWR _53964_/B sky130_fd_sc_hd__buf_1
+XFILLER_248_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_546_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72783_ _72663_/A _72790_/B VGND VGND VPWR VPWR _72785_/A sky130_fd_sc_hd__or2_2
+XFILLER_304_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_451_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_726_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_75_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_542_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_463_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_284_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77310_ _76882_/CLK _53345_/Y VGND VGND VPWR VPWR _77310_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_275_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43702_ _79282_/Q VGND VGND VPWR VPWR _43702_/Y sky130_fd_sc_hd__inv_8
+XFILLER_464_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74522_ _74260_/A _74526_/B VGND VGND VPWR VPWR _74522_/X sky130_fd_sc_hd__or2_2
+XFILLER_628_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_463_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40914_ _40895_/A VGND VGND VPWR VPWR _40915_/B sky130_fd_sc_hd__buf_1
+XFILLER_696_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47470_ _47470_/A _47469_/X VGND VGND VPWR VPWR _47470_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_652_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_444_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59456_ _64002_/A _59454_/Y _59455_/Y VGND VGND VPWR VPWR _59461_/B sky130_fd_sc_hd__nor3_2
+XFILLER_290_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71734_ _70918_/Y _71733_/B VGND VGND VPWR VPWR _71734_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_147_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_366_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78290_ _78281_/CLK _49627_/Y VGND VGND VPWR VPWR _62579_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_112_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_625_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56668_ _56917_/A _56668_/B _56668_/C VGND VGND VPWR VPWR _56676_/A sky130_fd_sc_hd__nor3_2
+X_44682_ _44766_/A _44769_/C VGND VGND VPWR VPWR _44757_/B sky130_fd_sc_hd__nand2_2
+XFILLER_95_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_338 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_433_0_u_core.clock clkbuf_9_433_0_u_core.clock/A VGND VGND VPWR VPWR _80109_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_41894_ _41897_/A VGND VGND VPWR VPWR _41894_/X sky130_fd_sc_hd__buf_1
+XFILLER_624_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_90_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_223_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58407_ _58569_/A _58403_/Y _58406_/Y VGND VGND VPWR VPWR _58407_/Y sky130_fd_sc_hd__nor3_2
+X_46421_ _46419_/X _46421_/B VGND VGND VPWR VPWR _46434_/C sky130_fd_sc_hd__nand2_2
+XFILLER_581_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77241_ _77241_/CLK _53601_/Y VGND VGND VPWR VPWR _53599_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_76_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43633_ _43633_/A _43622_/X VGND VGND VPWR VPWR _43633_/Y sky130_fd_sc_hd__nor2_2
+X_55619_ _55416_/B _55530_/B VGND VGND VPWR VPWR _55619_/Y sky130_fd_sc_hd__nor2_2
+X_74453_ _80931_/Q VGND VGND VPWR VPWR _74453_/Y sky130_fd_sc_hd__inv_8
+XFILLER_362_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40845_ _40604_/A _40829_/B VGND VGND VPWR VPWR _40847_/A sky130_fd_sc_hd__or2_2
+XFILLER_720_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59387_ _59387_/A _59387_/B _59387_/C VGND VGND VPWR VPWR _59387_/Y sky130_fd_sc_hd__nor3_2
+XPHY_29289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71665_ _71655_/Y _71662_/X _71337_/X _71664_/Y VGND VGND VPWR VPWR _42678_/B sky130_fd_sc_hd__o22a_4
+XFILLER_108_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56599_ _56291_/A _56595_/Y _56599_/C VGND VGND VPWR VPWR _56599_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_223_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_499_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49140_ _49139_/X VGND VGND VPWR VPWR _49140_/X sky130_fd_sc_hd__buf_1
+XFILLER_520_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73404_ _74961_/A _73404_/B VGND VGND VPWR VPWR _73404_/X sky130_fd_sc_hd__or2_2
+XFILLER_404_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_186_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46352_ _78901_/Q VGND VGND VPWR VPWR _47123_/A sky130_fd_sc_hd__inv_8
+X_58338_ _58104_/A _58337_/Y VGND VGND VPWR VPWR _58338_/Y sky130_fd_sc_hd__nor2_2
+X_70616_ _70616_/A _69754_/A _70675_/C VGND VGND VPWR VPWR _70616_/X sky130_fd_sc_hd__and3_2
+XFILLER_231_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77172_ _77663_/CLK _77172_/D VGND VGND VPWR VPWR _77172_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_63_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43564_ _42528_/B _43560_/B VGND VGND VPWR VPWR _43564_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_167_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74384_ _74136_/A _74394_/B VGND VGND VPWR VPWR _74386_/A sky130_fd_sc_hd__or2_2
+XFILLER_340_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40776_ _40774_/X _40776_/B VGND VGND VPWR VPWR _79787_/D sky130_fd_sc_hd__nand2_2
+XFILLER_231_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_63_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71596_ _71684_/B VGND VGND VPWR VPWR _71651_/B sky130_fd_sc_hd__inv_8
+XPHY_17331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_692_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_72_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45303_ _70041_/A _45355_/B VGND VGND VPWR VPWR _45303_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_223_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_179_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76123_ _76146_/A VGND VGND VPWR VPWR _76142_/B sky130_fd_sc_hd__buf_1
+XFILLER_575_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42515_ _42510_/X _42513_/X _70134_/B _42514_/X VGND VGND VPWR VPWR _42516_/B sky130_fd_sc_hd__o22a_4
+XFILLER_396_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_520_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73335_ _73354_/A VGND VGND VPWR VPWR _73348_/B sky130_fd_sc_hd__buf_1
+X_49071_ _49071_/A VGND VGND VPWR VPWR _49073_/A sky130_fd_sc_hd__buf_1
+XFILLER_670_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58269_ _80007_/Q _58033_/B VGND VGND VPWR VPWR _58270_/C sky130_fd_sc_hd__nor2_2
+X_46283_ _46282_/X VGND VGND VPWR VPWR _46283_/X sky130_fd_sc_hd__buf_1
+X_70547_ _70546_/X VGND VGND VPWR VPWR _70934_/A sky130_fd_sc_hd__inv_8
+XPHY_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_223_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43495_ _41719_/B VGND VGND VPWR VPWR _43496_/B sky130_fd_sc_hd__inv_8
+XFILLER_188_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_438_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_537_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_340_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_395_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60300_ _59613_/X _60299_/Y VGND VGND VPWR VPWR _60339_/B sky130_fd_sc_hd__nor2_2
+XFILLER_594_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48022_ _48020_/Y _48021_/X VGND VGND VPWR VPWR _48022_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_518_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45234_ _45232_/X _45234_/B VGND VGND VPWR VPWR _45235_/B sky130_fd_sc_hd__nand2_2
+XFILLER_201_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76054_ _76001_/A VGND VGND VPWR VPWR _76054_/X sky130_fd_sc_hd__buf_1
+XFILLER_553_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42446_ _42703_/A VGND VGND VPWR VPWR _42596_/A sky130_fd_sc_hd__buf_1
+XPHY_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61280_ _61258_/Y _61280_/B VGND VGND VPWR VPWR _61280_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73266_ _73269_/A VGND VGND VPWR VPWR _73382_/B sky130_fd_sc_hd__buf_1
+XFILLER_376_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70478_ _70478_/A _70477_/Y VGND VGND VPWR VPWR _70478_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_518_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_128_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_278_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75005_ _75005_/A VGND VGND VPWR VPWR _75020_/A sky130_fd_sc_hd__buf_1
+XFILLER_50_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60231_ _59423_/A VGND VGND VPWR VPWR _60385_/B sky130_fd_sc_hd__buf_1
+XPHY_1582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72217_ _72217_/A _72205_/X VGND VGND VPWR VPWR _72217_/X sky130_fd_sc_hd__or2_2
+XPHY_15962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_718_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45165_ _45164_/Y _45032_/X VGND VGND VPWR VPWR _45169_/B sky130_fd_sc_hd__nor2_2
+XFILLER_670_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42377_ _42397_/A VGND VGND VPWR VPWR _42387_/A sky130_fd_sc_hd__inv_8
+XFILLER_580_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73197_ _73197_/A _73196_/X VGND VGND VPWR VPWR _81245_/D sky130_fd_sc_hd__nand2_2
+XPHY_33341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44116_ _43942_/A VGND VGND VPWR VPWR _44185_/A sky130_fd_sc_hd__buf_1
+XFILLER_13_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79813_ _79778_/CLK _40679_/Y VGND VGND VPWR VPWR _68149_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_176_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60162_ _58971_/A VGND VGND VPWR VPWR _60163_/A sky130_fd_sc_hd__buf_1
+X_41328_ _41070_/X _41324_/X VGND VGND VPWR VPWR _41328_/X sky130_fd_sc_hd__or2_2
+XPHY_33374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72148_ _72087_/A _72148_/B VGND VGND VPWR VPWR _72148_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_239_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49973_ _78197_/Q _49973_/B VGND VGND VPWR VPWR _49973_/Y sky130_fd_sc_hd__nand2_2
+X_45096_ _45065_/A _45096_/B VGND VGND VPWR VPWR _45096_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_651_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_100_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_99_0_u_core.clock clkbuf_8_98_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_99_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_490_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_252_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_84_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_119_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44047_ _44018_/Y _44046_/X VGND VGND VPWR VPWR _44047_/X sky130_fd_sc_hd__or2_4
+X_48924_ _49047_/A _48928_/B VGND VGND VPWR VPWR _48924_/X sky130_fd_sc_hd__or2_2
+XFILLER_534_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79744_ _79737_/CLK _79744_/D VGND VGND VPWR VPWR _40939_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_711_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41259_ _41618_/A _41266_/B VGND VGND VPWR VPWR _41261_/A sky130_fd_sc_hd__or2_2
+XFILLER_117_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64970_ _64970_/A _64806_/B VGND VGND VPWR VPWR _64970_/Y sky130_fd_sc_hd__nor2_2
+X_60093_ _59266_/A VGND VGND VPWR VPWR _60094_/A sky130_fd_sc_hd__buf_1
+X_76956_ _76921_/CLK _76956_/D VGND VGND VPWR VPWR _76956_/Q sky130_fd_sc_hd__dfxtp_4
+X_72079_ _72079_/A _70066_/Y VGND VGND VPWR VPWR _72079_/X sky130_fd_sc_hd__or2_2
+XFILLER_668_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_694_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63921_ _63659_/A _63919_/Y _63921_/C VGND VGND VPWR VPWR _63922_/C sky130_fd_sc_hd__nor3_2
+X_75907_ _75888_/A VGND VGND VPWR VPWR _75908_/B sky130_fd_sc_hd__buf_1
+XFILLER_65_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_31983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48855_ _48853_/Y _48854_/X VGND VGND VPWR VPWR _78486_/D sky130_fd_sc_hd__nand2_2
+XPHY_21460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79675_ _79711_/CLK _41229_/Y VGND VGND VPWR VPWR _57373_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_629_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_586_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76887_ _76890_/CLK _76887_/D VGND VGND VPWR VPWR _59602_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_351_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_648_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_564_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_668_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66640_ _66640_/A _66640_/B VGND VGND VPWR VPWR _66641_/C sky130_fd_sc_hd__nor2_2
+X_47806_ _47776_/A _47799_/X VGND VGND VPWR VPWR _47806_/X sky130_fd_sc_hd__or2_2
+XFILLER_26_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78626_ _78667_/CLK _78626_/D VGND VGND VPWR VPWR _63756_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75838_ _75838_/A _75828_/X VGND VGND VPWR VPWR _75840_/A sky130_fd_sc_hd__or2_2
+XFILLER_486_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63852_ _63852_/A _59486_/B VGND VGND VPWR VPWR _63852_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_65_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48786_ _48786_/A _48786_/B VGND VGND VPWR VPWR _78504_/D sky130_fd_sc_hd__nand2_2
+XFILLER_187_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_212_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45998_ _45998_/A _46005_/B VGND VGND VPWR VPWR _46000_/B sky130_fd_sc_hd__nor2_2
+XFILLER_269_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_662_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_78_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62803_ _62761_/X _62803_/B VGND VGND VPWR VPWR _62844_/B sky130_fd_sc_hd__nor2_2
+XFILLER_267_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_380_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47737_ _47707_/A _47755_/B VGND VGND VPWR VPWR _47738_/B sky130_fd_sc_hd__or2_2
+XFILLER_84_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66571_ _66736_/A _66571_/B _66570_/Y VGND VGND VPWR VPWR _66572_/B sky130_fd_sc_hd__nor3_2
+XFILLER_349_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78557_ _78559_/CLK _78557_/D VGND VGND VPWR VPWR _48573_/A sky130_fd_sc_hd__dfxtp_4
+X_44949_ _44949_/A _44935_/B VGND VGND VPWR VPWR _44949_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_367_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63783_ _63199_/X _63783_/B _63782_/Y VGND VGND VPWR VPWR _63784_/C sky130_fd_sc_hd__nor3_2
+XFILLER_214_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75769_ _75891_/A _75772_/B VGND VGND VPWR VPWR _75771_/A sky130_fd_sc_hd__or2_2
+XFILLER_289_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60995_ _61776_/A VGND VGND VPWR VPWR _61471_/A sky130_fd_sc_hd__buf_1
+XFILLER_286_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_722_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_447_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68310_ _66355_/A VGND VGND VPWR VPWR _68311_/B sky130_fd_sc_hd__buf_1
+XFILLER_349_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_605_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65522_ _65522_/A _65682_/B VGND VGND VPWR VPWR _65522_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_604_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77508_ _76768_/CLK _77508_/D VGND VGND VPWR VPWR _52580_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_631_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38470_ _38454_/A _38489_/B _69448_/A VGND VGND VPWR VPWR _38470_/Y sky130_fd_sc_hd__nand3_2
+X_62734_ _63049_/A _62732_/Y _62733_/Y VGND VGND VPWR VPWR _62734_/Y sky130_fd_sc_hd__nor3_2
+X_69290_ _69290_/A _68858_/B VGND VGND VPWR VPWR _69291_/C sky130_fd_sc_hd__nor2_2
+XFILLER_529_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47668_ _78787_/Q _47663_/B VGND VGND VPWR VPWR _47668_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_560_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78488_ _78464_/CLK _48849_/Y VGND VGND VPWR VPWR _63394_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_130_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_542_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_225_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_165_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_20_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49407_ _49405_/Y _49407_/B VGND VGND VPWR VPWR _78347_/D sky130_fd_sc_hd__nand2_2
+X_68241_ _68241_/A _68241_/B _68240_/Y VGND VGND VPWR VPWR _68245_/B sky130_fd_sc_hd__nor3_2
+XFILLER_267_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46619_ _46619_/A _46619_/B VGND VGND VPWR VPWR _46619_/Y sky130_fd_sc_hd__nor2_2
+X_65453_ _65453_/A _65453_/B VGND VGND VPWR VPWR _65453_/Y sky130_fd_sc_hd__nor2_2
+X_77439_ _77438_/CLK _52840_/Y VGND VGND VPWR VPWR _77439_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_59_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62665_ _78091_/Q _62342_/X VGND VGND VPWR VPWR _62667_/B sky130_fd_sc_hd__nor2_2
+XFILLER_470_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_421_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47599_ _47597_/Y _47598_/X VGND VGND VPWR VPWR _78805_/D sky130_fd_sc_hd__nand2_2
+XFILLER_263_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_430_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64404_ _65367_/A VGND VGND VPWR VPWR _66814_/A sky130_fd_sc_hd__buf_1
+XFILLER_380_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61616_ _52039_/A _61929_/B VGND VGND VPWR VPWR _61619_/B sky130_fd_sc_hd__nor2_2
+XFILLER_280_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_182_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49338_ _49336_/X _49338_/B VGND VGND VPWR VPWR _49338_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_700_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80450_ _80420_/CLK _76394_/Y VGND VGND VPWR VPWR _67556_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_621_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68172_ _68155_/Y _68172_/B VGND VGND VPWR VPWR _68173_/B sky130_fd_sc_hd__nor2_2
+XFILLER_124_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65384_ _65384_/A VGND VGND VPWR VPWR _65955_/A sky130_fd_sc_hd__buf_1
+XFILLER_503_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_421_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62596_ _62596_/A _62907_/B VGND VGND VPWR VPWR _62596_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_718_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_79_0_u_core.clock clkbuf_9_79_0_u_core.clock/A VGND VGND VPWR VPWR _77584_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_94_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_587_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_179_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_596_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_425_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_56_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67123_ _64275_/A VGND VGND VPWR VPWR _67780_/B sky130_fd_sc_hd__buf_1
+XFILLER_280_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79109_ _79074_/CLK _79109_/D VGND VGND VPWR VPWR _41683_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_597_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64335_ _66846_/A VGND VGND VPWR VPWR _69267_/B sky130_fd_sc_hd__buf_1
+XFILLER_495_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61547_ _59002_/A VGND VGND VPWR VPWR _61550_/A sky130_fd_sc_hd__buf_1
+X_80381_ _80421_/CLK _80381_/D VGND VGND VPWR VPWR _66730_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_583_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49269_ _49240_/A _49265_/X VGND VGND VPWR VPWR _49269_/X sky130_fd_sc_hd__or2_2
+XFILLER_163_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_221_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_241_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_3079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_143_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_37_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_558_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39022_ _38662_/A _39029_/B VGND VGND VPWR VPWR _39022_/X sky130_fd_sc_hd__or2_2
+X_51300_ _51300_/A _51299_/X VGND VGND VPWR VPWR _77848_/D sky130_fd_sc_hd__nand2_2
+XFILLER_198_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_571_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67054_ _80543_/Q _66894_/B VGND VGND VPWR VPWR _67054_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_223_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52280_ _48422_/X _52280_/B VGND VGND VPWR VPWR _52306_/B sky130_fd_sc_hd__or2_2
+XFILLER_15_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64266_ _64472_/A VGND VGND VPWR VPWR _64448_/A sky130_fd_sc_hd__buf_1
+XFILLER_309_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61478_ _77747_/Q _61323_/B VGND VGND VPWR VPWR _61478_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_11_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_124_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_714_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_378_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66005_ _66171_/A _66005_/B _66004_/Y VGND VGND VPWR VPWR _66014_/B sky130_fd_sc_hd__nor3_2
+XFILLER_124_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_274_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51231_ _51399_/A VGND VGND VPWR VPWR _53056_/A sky130_fd_sc_hd__buf_1
+XFILLER_159_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63217_ _59684_/A VGND VGND VPWR VPWR _63228_/A sky130_fd_sc_hd__buf_1
+XFILLER_419_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60429_ _60110_/A _60429_/B _60428_/Y VGND VGND VPWR VPWR _60429_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_147_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64197_ _81103_/Q _69441_/B VGND VGND VPWR VPWR _64199_/B sky130_fd_sc_hd__nor2_2
+XFILLER_386_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_391_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_339_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_119_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_612_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81002_ _81004_/CLK _74178_/Y VGND VGND VPWR VPWR _81002_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_175_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_239_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_454_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51162_ _51162_/A _51161_/X VGND VGND VPWR VPWR _77879_/D sky130_fd_sc_hd__nand2_2
+XFILLER_85_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63148_ _62367_/A VGND VGND VPWR VPWR _63149_/B sky130_fd_sc_hd__buf_1
+XFILLER_713_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_3030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_698_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_235_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_172_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50113_ _50113_/A _50113_/B VGND VGND VPWR VPWR _50113_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_552_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_351_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39924_ _39924_/A _39923_/Y VGND VGND VPWR VPWR _39924_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_150_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_391_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_496_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55970_ wbs_adr_i[24] _55959_/B VGND VGND VPWR VPWR _56085_/A sky130_fd_sc_hd__or2_2
+X_51093_ _51091_/Y _51093_/B VGND VGND VPWR VPWR _77899_/D sky130_fd_sc_hd__nand2_2
+XFILLER_415_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63079_ _63240_/A _63079_/B _63078_/Y VGND VGND VPWR VPWR _63079_/Y sky130_fd_sc_hd__nor3_2
+X_67956_ _65483_/X VGND VGND VPWR VPWR _68610_/B sky130_fd_sc_hd__buf_1
+XPHY_11909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_89_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50044_ _49988_/A _50044_/B VGND VGND VPWR VPWR _50045_/B sky130_fd_sc_hd__or2_2
+XFILLER_432_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54921_ _54949_/A _54930_/B VGND VGND VPWR VPWR _54921_/X sky130_fd_sc_hd__or2_2
+X_66907_ _80414_/Q _67390_/B VGND VGND VPWR VPWR _66910_/B sky130_fd_sc_hd__nor2_2
+XFILLER_438_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39855_ _39984_/A _39847_/B VGND VGND VPWR VPWR _39858_/A sky130_fd_sc_hd__or2_2
+XFILLER_118_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_330_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67887_ _67063_/A VGND VGND VPWR VPWR _67888_/B sky130_fd_sc_hd__buf_1
+XFILLER_487_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38806_ _38804_/X _38805_/Y VGND VGND VPWR VPWR _80286_/D sky130_fd_sc_hd__nand2_2
+XFILLER_638_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57640_ _56839_/X VGND VGND VPWR VPWR _57874_/B sky130_fd_sc_hd__buf_1
+XFILLER_303_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69626_ _69615_/A VGND VGND VPWR VPWR _69627_/A sky130_fd_sc_hd__inv_8
+XFILLER_170_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54852_ _54861_/A VGND VGND VPWR VPWR _54867_/B sky130_fd_sc_hd__buf_1
+XFILLER_492_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66838_ _66838_/A VGND VGND VPWR VPWR _67176_/A sky130_fd_sc_hd__buf_1
+XFILLER_628_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39786_ _40288_/A _39777_/X VGND VGND VPWR VPWR _39786_/X sky130_fd_sc_hd__or2_2
+XPHY_7239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_487_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_252_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_686_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53803_ _53831_/A _53818_/B VGND VGND VPWR VPWR _53803_/X sky130_fd_sc_hd__or2_2
+XFILLER_29_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38737_ _38613_/A _38624_/X VGND VGND VPWR VPWR _38739_/A sky130_fd_sc_hd__or2_2
+XFILLER_9_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57571_ _57807_/A _57571_/B _57570_/Y VGND VGND VPWR VPWR _57580_/A sky130_fd_sc_hd__nor3_2
+XFILLER_448_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69557_ _69557_/A _69420_/Y _69557_/C _69557_/D VGND VGND VPWR VPWR _76716_/D sky130_fd_sc_hd__or4_2
+XFILLER_660_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54783_ _58973_/A _54777_/B VGND VGND VPWR VPWR _54785_/A sky130_fd_sc_hd__nand2_2
+XFILLER_113_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66769_ _81437_/Q _66769_/B VGND VGND VPWR VPWR _66770_/C sky130_fd_sc_hd__nor2_2
+XFILLER_465_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51995_ _60686_/A _51977_/B VGND VGND VPWR VPWR _51997_/A sky130_fd_sc_hd__nand2_2
+XFILLER_389_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59310_ _59000_/X VGND VGND VPWR VPWR _59478_/A sky130_fd_sc_hd__buf_1
+XFILLER_79_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_229_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_385_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56522_ _80081_/Q _56596_/B VGND VGND VPWR VPWR _56524_/B sky130_fd_sc_hd__nor2_2
+XPHY_5826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68508_ _64079_/X VGND VGND VPWR VPWR _69002_/B sky130_fd_sc_hd__buf_1
+XFILLER_268_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_186_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53734_ _53883_/A VGND VGND VPWR VPWR _53799_/B sky130_fd_sc_hd__buf_1
+XFILLER_17_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38668_ _38666_/X _38667_/Y VGND VGND VPWR VPWR _80323_/D sky130_fd_sc_hd__nand2_2
+X_50946_ _50937_/A VGND VGND VPWR VPWR _50956_/B sky130_fd_sc_hd__buf_1
+XFILLER_426_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_406_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69488_ _69488_/A _69487_/Y VGND VGND VPWR VPWR _69488_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_366_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_328_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_246_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_621_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_244_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_606_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59241_ _62247_/A VGND VGND VPWR VPWR _59241_/X sky130_fd_sc_hd__buf_1
+XFILLER_92_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_3194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80717_ _80845_/CLK _75312_/Y VGND VGND VPWR VPWR _69289_/A sky130_fd_sc_hd__dfxtp_4
+X_56453_ _56453_/A VGND VGND VPWR VPWR _57665_/A sky130_fd_sc_hd__buf_1
+X_68439_ _64911_/A VGND VGND VPWR VPWR _68439_/X sky130_fd_sc_hd__buf_1
+XFILLER_56_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53665_ _53665_/A _53664_/X VGND VGND VPWR VPWR _53665_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_260_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_83_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38599_ _76205_/A VGND VGND VPWR VPWR _38600_/A sky130_fd_sc_hd__buf_1
+XPHY_27106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50877_ _50877_/A VGND VGND VPWR VPWR _50991_/A sky130_fd_sc_hd__buf_1
+XFILLER_232_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55404_ _55416_/A _55404_/B VGND VGND VPWR VPWR _55404_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_224_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_246_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40630_ _40636_/A _40630_/B _79825_/Q VGND VGND VPWR VPWR _40630_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_148_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52616_ _52616_/A _52616_/B VGND VGND VPWR VPWR _77499_/D sky130_fd_sc_hd__nand2_2
+XFILLER_309_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59172_ _59243_/A VGND VGND VPWR VPWR _59891_/A sky130_fd_sc_hd__buf_1
+X_71450_ _71325_/A _70460_/D VGND VGND VPWR VPWR _71451_/B sky130_fd_sc_hd__nor2_2
+XFILLER_639_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_13_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56384_ _58827_/A _56384_/B _56383_/Y VGND VGND VPWR VPWR _56384_/Y sky130_fd_sc_hd__nor3_2
+XPHY_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80648_ _80630_/CLK _75614_/Y VGND VGND VPWR VPWR _80648_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_26405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53596_ _53596_/A VGND VGND VPWR VPWR _53609_/B sky130_fd_sc_hd__buf_1
+XFILLER_24_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_506_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_38_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_246_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58123_ _58036_/X _58117_/Y _58122_/Y VGND VGND VPWR VPWR _58123_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_129_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70401_ _70401_/A VGND VGND VPWR VPWR _70402_/A sky130_fd_sc_hd__inv_8
+XPHY_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_227_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_502_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55335_ _55296_/X _55334_/Y VGND VGND VPWR VPWR _55335_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_658_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40561_ _40417_/X _40565_/B VGND VGND VPWR VPWR _40561_/X sky130_fd_sc_hd__or2_2
+XPHY_246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_223_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52547_ _52604_/A _52547_/B VGND VGND VPWR VPWR _52548_/B sky130_fd_sc_hd__or2_2
+XPHY_26449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71381_ _71381_/A VGND VGND VPWR VPWR _71383_/A sky130_fd_sc_hd__inv_8
+XFILLER_658_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80579_ _80577_/CLK _75875_/Y VGND VGND VPWR VPWR _75874_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_25715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42300_ _41883_/A _42299_/Y VGND VGND VPWR VPWR _42300_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_103_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73120_ _73120_/A _73120_/B VGND VGND VPWR VPWR _81261_/D sky130_fd_sc_hd__nand2_2
+XPHY_279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58054_ _58054_/A _58366_/B VGND VGND VPWR VPWR _58057_/B sky130_fd_sc_hd__nor2_2
+X_70332_ _70331_/Y _70196_/X _70319_/A _70171_/X VGND VGND VPWR VPWR _70332_/X sky130_fd_sc_hd__o22a_4
+XFILLER_494_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43280_ _42919_/A _43277_/B VGND VGND VPWR VPWR _43283_/A sky130_fd_sc_hd__or2_2
+XFILLER_184_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_32_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_90_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55266_ _46003_/A VGND VGND VPWR VPWR _55494_/A sky130_fd_sc_hd__inv_8
+XFILLER_205_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40492_ _73236_/A VGND VGND VPWR VPWR _40619_/A sky130_fd_sc_hd__buf_1
+XFILLER_130_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52478_ _52776_/A VGND VGND VPWR VPWR _52566_/A sky130_fd_sc_hd__buf_1
+XPHY_25759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_7_0_u_core.clock clkbuf_9_7_0_u_core.clock/A VGND VGND VPWR VPWR _78514_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_15236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57005_ _56851_/X _57003_/Y _57005_/C VGND VGND VPWR VPWR _57005_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_71_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42231_ _45175_/A _42194_/X VGND VGND VPWR VPWR _42231_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_166_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54217_ _54103_/A _54201_/A VGND VGND VPWR VPWR _54218_/B sky130_fd_sc_hd__or2_2
+XFILLER_275_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73051_ _42944_/A _73051_/B VGND VGND VPWR VPWR _73051_/X sky130_fd_sc_hd__or2_2
+XFILLER_68_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51429_ _51425_/Y _51429_/B VGND VGND VPWR VPWR _77815_/D sky130_fd_sc_hd__nand2_2
+XFILLER_138_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70263_ _69895_/A _70262_/X VGND VGND VPWR VPWR _70264_/B sky130_fd_sc_hd__nor2_2
+XPHY_14524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55197_ _70582_/A _55100_/C VGND VGND VPWR VPWR _55197_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72002_ _72007_/A _70963_/X VGND VGND VPWR VPWR _72003_/C sky130_fd_sc_hd__nor2_2
+XPHY_13812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_531_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_433_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_223_0_u_core.clock clkbuf_9_223_0_u_core.clock/A VGND VGND VPWR VPWR _81251_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_13823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42162_ _71045_/A _71044_/X VGND VGND VPWR VPWR _42162_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54148_ _54146_/Y _54147_/X VGND VGND VPWR VPWR _54148_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_49_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70194_ _70165_/A VGND VGND VPWR VPWR _70194_/X sky130_fd_sc_hd__buf_1
+XPHY_14579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_530_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_181_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41113_ _41111_/X _41131_/B VGND VGND VPWR VPWR _41113_/X sky130_fd_sc_hd__or2_2
+XPHY_31224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76810_ _79174_/CLK _76810_/D VGND VGND VPWR VPWR _76810_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_355_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_153_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_181_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_190_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_355_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46970_ _46962_/X _71621_/B _71546_/B _46937_/X VGND VGND VPWR VPWR _46971_/B sky130_fd_sc_hd__o22a_4
+XPHY_13878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54079_ _54079_/A _54078_/X VGND VGND VPWR VPWR _54079_/Y sky130_fd_sc_hd__nand2_2
+X_58956_ _58884_/X VGND VGND VPWR VPWR _59184_/A sky130_fd_sc_hd__buf_1
+X_42093_ _42093_/A VGND VGND VPWR VPWR _42094_/B sky130_fd_sc_hd__buf_1
+X_77790_ _77790_/CLK _51520_/Y VGND VGND VPWR VPWR _77790_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_30501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_392_0_u_core.clock clkbuf_9_393_0_u_core.clock/A VGND VGND VPWR VPWR _79599_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_341_278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57907_ _57830_/A _57905_/Y _57907_/C VGND VGND VPWR VPWR _57907_/Y sky130_fd_sc_hd__nor3_2
+X_41044_ _41042_/X _41044_/B VGND VGND VPWR VPWR _41044_/X sky130_fd_sc_hd__or2_2
+XFILLER_62_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45921_ _45911_/X _45919_/Y _45921_/C VGND VGND VPWR VPWR _45921_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_629_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_450_2686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76741_ _78080_/CLK _61671_/Y VGND VGND VPWR VPWR _76741_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_20011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73953_ _73963_/A _73963_/B _81049_/Q VGND VGND VPWR VPWR _73954_/B sky130_fd_sc_hd__nand3_2
+XFILLER_84_1467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58887_ _58887_/A VGND VGND VPWR VPWR _62469_/A sky130_fd_sc_hd__buf_1
+XFILLER_234_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_84_1478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_657_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_665_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48640_ _48637_/Y _48639_/X VGND VGND VPWR VPWR _78542_/D sky130_fd_sc_hd__nand2_2
+XFILLER_644_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72904_ _72904_/A VGND VGND VPWR VPWR _73807_/A sky130_fd_sc_hd__buf_1
+XPHY_9197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79460_ _79470_/CLK _42745_/Y VGND VGND VPWR VPWR _69997_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_468_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45852_ _45716_/Y _45851_/X VGND VGND VPWR VPWR _45853_/B sky130_fd_sc_hd__nor2_2
+XFILLER_231_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57838_ _67462_/A _57916_/B VGND VGND VPWR VPWR _57838_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_23_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76672_ _79384_/CLK _76672_/D VGND VGND VPWR VPWR _70135_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_709_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_9_339_0_u_core.clock clkbuf_9_339_0_u_core.clock/A VGND VGND VPWR VPWR _78717_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_643_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73884_ _73883_/X VGND VGND VPWR VPWR _73885_/B sky130_fd_sc_hd__buf_1
+XFILLER_663_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_495_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_118_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_663_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78411_ _78561_/CLK _78411_/D VGND VGND VPWR VPWR _49145_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_645_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44803_ _44803_/A _44759_/X _44800_/Y VGND VGND VPWR VPWR _44803_/X sky130_fd_sc_hd__or3_2
+XFILLER_612_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_88_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_75623_ _75110_/A VGND VGND VPWR VPWR _75624_/A sky130_fd_sc_hd__buf_1
+XPHY_20099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_286_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48571_ _48602_/A _48568_/B VGND VGND VPWR VPWR _48571_/X sky130_fd_sc_hd__or2_2
+XFILLER_509_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72835_ _72843_/A _72843_/B _72835_/C VGND VGND VPWR VPWR _72836_/B sky130_fd_sc_hd__nand3_2
+X_79391_ _79342_/CLK _79391_/D VGND VGND VPWR VPWR _43282_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_483_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45783_ _45783_/A _45781_/Y _45783_/C VGND VGND VPWR VPWR _45783_/Y sky130_fd_sc_hd__nor3_2
+X_57769_ _67279_/A _57527_/X VGND VGND VPWR VPWR _57770_/C sky130_fd_sc_hd__nor2_2
+XFILLER_248_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42995_ _42986_/A _42888_/B _64642_/A VGND VGND VPWR VPWR _42996_/B sky130_fd_sc_hd__nand3_2
+XFILLER_409_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59508_ _59508_/A _59347_/B VGND VGND VPWR VPWR _59510_/B sky130_fd_sc_hd__nor2_2
+XFILLER_188_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47522_ _47522_/A VGND VGND VPWR VPWR _48001_/A sky130_fd_sc_hd__buf_1
+XFILLER_329_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78342_ _79164_/CLK _49423_/Y VGND VGND VPWR VPWR _49421_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44734_ _74971_/A _44636_/B _44726_/Y _44733_/X VGND VGND VPWR VPWR _44735_/C sky130_fd_sc_hd__o22a_4
+X_75554_ _75534_/A _75554_/B _80661_/Q VGND VGND VPWR VPWR _75555_/B sky130_fd_sc_hd__nand3_2
+XPHY_29020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_166_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41946_ _41696_/Y _41940_/Y _41957_/B VGND VGND VPWR VPWR _41947_/C sky130_fd_sc_hd__nor3_2
+XFILLER_208_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60780_ _59042_/A VGND VGND VPWR VPWR _60781_/B sky130_fd_sc_hd__buf_1
+XPHY_29031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72766_ _72770_/A _72751_/X _72766_/C VGND VGND VPWR VPWR _72767_/B sky130_fd_sc_hd__nand3_2
+XFILLER_1_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_207_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_424_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74505_ _65759_/A VGND VGND VPWR VPWR _74505_/Y sky130_fd_sc_hd__inv_8
+XFILLER_305_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47453_ _47453_/A _47452_/X VGND VGND VPWR VPWR _78837_/D sky130_fd_sc_hd__nand2_2
+XFILLER_56_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_429_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59439_ _59439_/A _64026_/B VGND VGND VPWR VPWR _59439_/Y sky130_fd_sc_hd__nor2_2
+X_71717_ _71717_/A _71717_/B _71717_/C VGND VGND VPWR VPWR _71717_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_601_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78273_ _78277_/CLK _49694_/Y VGND VGND VPWR VPWR _78273_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_62_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44665_ _44664_/Y _44389_/A _44373_/A _44372_/X VGND VGND VPWR VPWR _44665_/X sky130_fd_sc_hd__or4_2
+XFILLER_264_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_229_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75485_ _75485_/A VGND VGND VPWR VPWR _76131_/A sky130_fd_sc_hd__buf_1
+XFILLER_147_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41877_ _42384_/A _45335_/A _41871_/X _45284_/A VGND VGND VPWR VPWR _41877_/X sky130_fd_sc_hd__o22a_4
+XFILLER_210_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72697_ _72700_/A _72686_/B _64932_/A VGND VGND VPWR VPWR _72698_/B sky130_fd_sc_hd__nand3_2
+XFILLER_612_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_204_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_597_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46404_ _46396_/X VGND VGND VPWR VPWR _46404_/Y sky130_fd_sc_hd__inv_8
+XPHY_38886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_679_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77224_ _77223_/CLK _77224_/D VGND VGND VPWR VPWR _77224_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_520_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43616_ _43616_/A _43616_/B _43616_/C VGND VGND VPWR VPWR _43616_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_444_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62450_ _62450_/A _62450_/B VGND VGND VPWR VPWR _62450_/Y sky130_fd_sc_hd__nor2_2
+X_74436_ _74435_/Y _74428_/B VGND VGND VPWR VPWR _74436_/X sky130_fd_sc_hd__or2_2
+XFILLER_496_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40828_ _40772_/X VGND VGND VPWR VPWR _40829_/B sky130_fd_sc_hd__buf_1
+XPHY_28374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71648_ _71443_/X _71648_/B VGND VGND VPWR VPWR _71649_/D sky130_fd_sc_hd__nor2_2
+X_47384_ _78853_/Q _47384_/B VGND VGND VPWR VPWR _47384_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_539_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_344_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_340_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44596_ _79212_/Q _44589_/B VGND VGND VPWR VPWR _44596_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_91_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_600_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_182_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_389_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61401_ _77363_/Q _61086_/B VGND VGND VPWR VPWR _61402_/C sky130_fd_sc_hd__nor2_2
+XPHY_27662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49123_ _63567_/A _49112_/B VGND VGND VPWR VPWR _49125_/A sky130_fd_sc_hd__nand2_2
+XFILLER_188_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_403_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46335_ _45237_/A _46334_/Y VGND VGND VPWR VPWR _46336_/A sky130_fd_sc_hd__or2_2
+XFILLER_538_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77155_ _77719_/CLK _77155_/D VGND VGND VPWR VPWR _77155_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_31_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43547_ _43544_/A VGND VGND VPWR VPWR _43548_/A sky130_fd_sc_hd__inv_8
+X_62381_ _61600_/A VGND VGND VPWR VPWR _62544_/B sky130_fd_sc_hd__buf_1
+XFILLER_420_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_204_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74367_ _74112_/A _74381_/B VGND VGND VPWR VPWR _74369_/A sky130_fd_sc_hd__or2_2
+XFILLER_717_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_457_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40759_ _40758_/X VGND VGND VPWR VPWR _40760_/B sky130_fd_sc_hd__buf_1
+XFILLER_696_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71579_ _70940_/B _71579_/B VGND VGND VPWR VPWR _71579_/X sky130_fd_sc_hd__or2_2
+XFILLER_495_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_321_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_301_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64120_ _66873_/A VGND VGND VPWR VPWR _69300_/A sky130_fd_sc_hd__buf_1
+XFILLER_160_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76106_ _74705_/A VGND VGND VPWR VPWR _76254_/A sky130_fd_sc_hd__buf_1
+XPHY_17183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61332_ _51505_/A _61485_/B VGND VGND VPWR VPWR _61332_/Y sky130_fd_sc_hd__nor2_2
+X_49054_ _49054_/A _49053_/X VGND VGND VPWR VPWR _49054_/X sky130_fd_sc_hd__or2_2
+XFILLER_674_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73318_ _74996_/A _73317_/X VGND VGND VPWR VPWR _73318_/X sky130_fd_sc_hd__or2_2
+XFILLER_379_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46266_ _41782_/Y _41976_/A VGND VGND VPWR VPWR _46268_/A sky130_fd_sc_hd__nor2_2
+XFILLER_438_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_477_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77086_ _77379_/CLK _77086_/D VGND VGND VPWR VPWR _54183_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_50_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43478_ _72118_/B _43478_/B VGND VGND VPWR VPWR _43478_/X sky130_fd_sc_hd__or2_2
+XFILLER_223_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74298_ _74179_/A _74307_/B VGND VGND VPWR VPWR _74303_/A sky130_fd_sc_hd__or2_2
+XFILLER_301_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_657_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48005_ _47850_/A VGND VGND VPWR VPWR _48037_/A sky130_fd_sc_hd__buf_1
+XPHY_16482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_637_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45217_ _45095_/A _45207_/Y _45217_/C VGND VGND VPWR VPWR _45218_/B sky130_fd_sc_hd__or3_2
+XFILLER_102_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64051_ _49141_/A _64051_/B VGND VGND VPWR VPWR _64051_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_635_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76037_ _76001_/A VGND VGND VPWR VPWR _76038_/A sky130_fd_sc_hd__buf_1
+XFILLER_581_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_714_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42429_ _42806_/C _42428_/X VGND VGND VPWR VPWR _55879_/A sky130_fd_sc_hd__or2_2
+XFILLER_637_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73249_ _73253_/A _73245_/B _64763_/A VGND VGND VPWR VPWR _73252_/A sky130_fd_sc_hd__nand3_2
+X_61263_ _61263_/A _61263_/B _61262_/Y VGND VGND VPWR VPWR _61263_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_297_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46197_ _46197_/A _46196_/X VGND VGND VPWR VPWR _46197_/X sky130_fd_sc_hd__or2_2
+XFILLER_129_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_157_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_477_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_141_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63002_ _78741_/Q _63153_/B VGND VGND VPWR VPWR _63003_/C sky130_fd_sc_hd__nor2_2
+XFILLER_713_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60214_ _77163_/Q _60214_/B VGND VGND VPWR VPWR _60216_/B sky130_fd_sc_hd__nor2_2
+XFILLER_338_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45148_ _45147_/Y _45091_/B VGND VGND VPWR VPWR _45148_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61194_ _60886_/A _61194_/B _61194_/C VGND VGND VPWR VPWR _61194_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_173_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_156_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_116_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_275_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_67_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67810_ _66164_/A VGND VGND VPWR VPWR _67810_/X sky130_fd_sc_hd__buf_1
+XFILLER_275_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60145_ _59338_/A VGND VGND VPWR VPWR _60146_/B sky130_fd_sc_hd__buf_1
+XFILLER_478_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49956_ _49956_/A _49956_/B VGND VGND VPWR VPWR _78202_/D sky130_fd_sc_hd__nand2_2
+XFILLER_490_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68790_ _68790_/A _68786_/Y _68790_/C VGND VGND VPWR VPWR _68790_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_334_1514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45079_ _45079_/A VGND VGND VPWR VPWR _45108_/A sky130_fd_sc_hd__buf_1
+XFILLER_271_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_695_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77988_ _77987_/CLK _50764_/Y VGND VGND VPWR VPWR _62770_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_488_3052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_686_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48907_ _48907_/A _48906_/X VGND VGND VPWR VPWR _78472_/D sky130_fd_sc_hd__nand2_2
+XFILLER_217_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67741_ _67901_/A _67741_/B _67741_/C VGND VGND VPWR VPWR _67745_/B sky130_fd_sc_hd__nor3_2
+X_79727_ _80013_/CLK _40999_/Y VGND VGND VPWR VPWR _79727_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_174_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_214_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76939_ _76939_/CLK _76939_/D VGND VGND VPWR VPWR _76939_/Q sky130_fd_sc_hd__dfxtp_4
+X_60076_ _59226_/A VGND VGND VPWR VPWR _60098_/A sky130_fd_sc_hd__buf_1
+X_64953_ _64953_/A _64283_/B VGND VGND VPWR VPWR _64955_/B sky130_fd_sc_hd__nor2_2
+XFILLER_676_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_711_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49887_ _49910_/B VGND VGND VPWR VPWR _49906_/B sky130_fd_sc_hd__buf_1
+XFILLER_139_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_286_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_410_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_312_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39640_ _39133_/A _39643_/B VGND VGND VPWR VPWR _39640_/X sky130_fd_sc_hd__or2_2
+XFILLER_629_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63904_ _63904_/A _59210_/B VGND VGND VPWR VPWR _63906_/B sky130_fd_sc_hd__nor2_2
+XFILLER_447_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48838_ _48867_/A _48838_/B VGND VGND VPWR VPWR _48838_/X sky130_fd_sc_hd__or2_2
+XFILLER_724_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67672_ _67186_/A _67672_/B _67672_/C VGND VGND VPWR VPWR _67677_/B sky130_fd_sc_hd__nor3_2
+XFILLER_468_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79658_ _79599_/CLK _41293_/Y VGND VGND VPWR VPWR _41292_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_258_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_414_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64884_ _66559_/A VGND VGND VPWR VPWR _65580_/B sky130_fd_sc_hd__buf_1
+XFILLER_484_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_300_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_98_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_681_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69411_ _69411_/A _69411_/B _69411_/C VGND VGND VPWR VPWR _69412_/C sky130_fd_sc_hd__nor3_2
+XFILLER_709_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66623_ _40954_/C _65967_/B VGND VGND VPWR VPWR _66624_/C sky130_fd_sc_hd__nor2_2
+XFILLER_566_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78609_ _78606_/CLK _48380_/Y VGND VGND VPWR VPWR _48378_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_6_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39571_ _39198_/A _39565_/B VGND VGND VPWR VPWR _39575_/A sky130_fd_sc_hd__or2_2
+X_63835_ _59090_/A _63834_/Y VGND VGND VPWR VPWR _63835_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_230_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48769_ _48765_/Y _48768_/X VGND VGND VPWR VPWR _78508_/D sky130_fd_sc_hd__nand2_2
+XFILLER_664_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79589_ _79589_/CLK _79589_/D VGND VGND VPWR VPWR _41552_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_22_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_599_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_212_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38522_ _38522_/A VGND VGND VPWR VPWR _38523_/A sky130_fd_sc_hd__buf_1
+X_50800_ _50800_/A _50789_/B VGND VGND VPWR VPWR _50800_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_113_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69342_ _69342_/A _69340_/Y _69342_/C VGND VGND VPWR VPWR _69342_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_616_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66554_ _66716_/A _66554_/B _66553_/Y VGND VGND VPWR VPWR _66572_/A sky130_fd_sc_hd__nor3_2
+XFILLER_66_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51780_ _61126_/A _51780_/B VGND VGND VPWR VPWR _51782_/A sky130_fd_sc_hd__nand2_2
+XFILLER_484_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63766_ _63345_/A _63766_/B _63765_/Y VGND VGND VPWR VPWR _63766_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_226_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60978_ _51872_/A _60663_/B VGND VGND VPWR VPWR _60980_/B sky130_fd_sc_hd__nor2_2
+XFILLER_462_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65505_ _65505_/A _65495_/Y _65505_/C VGND VGND VPWR VPWR _65506_/B sky130_fd_sc_hd__nor3_2
+XFILLER_423_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_399_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38453_ _38522_/A VGND VGND VPWR VPWR _38454_/A sky130_fd_sc_hd__buf_1
+X_50731_ _54553_/A _50759_/B VGND VGND VPWR VPWR _50731_/X sky130_fd_sc_hd__or2_2
+XFILLER_564_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62717_ _62253_/A _62717_/B _62717_/C VGND VGND VPWR VPWR _62717_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_38_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69273_ _68669_/A _69273_/B _69272_/Y VGND VGND VPWR VPWR _69274_/C sky130_fd_sc_hd__nor3_2
+XFILLER_388_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_96_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66485_ _79899_/Q _66640_/B VGND VGND VPWR VPWR _66485_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_306_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63697_ _63259_/X _63697_/B _63697_/C VGND VGND VPWR VPWR _63697_/Y sky130_fd_sc_hd__nor3_2
+XPHY_3709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_599_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_576_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_694_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80502_ _80473_/CLK _80502_/D VGND VGND VPWR VPWR _76189_/C sky130_fd_sc_hd__dfxtp_4
+X_68224_ _81094_/Q _68391_/B VGND VGND VPWR VPWR _68225_/C sky130_fd_sc_hd__nor2_2
+XFILLER_242_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_263_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65436_ _80981_/Q _65436_/B VGND VGND VPWR VPWR _65438_/B sky130_fd_sc_hd__nor2_2
+X_53450_ _77281_/Q _53439_/B VGND VGND VPWR VPWR _53452_/A sky130_fd_sc_hd__nand2_2
+XFILLER_59_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_6_57_0_u_core.clock ANTENNA_560/DIODE VGND VGND VPWR VPWR clkbuf_6_57_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_80_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38384_ _76261_/A _38391_/B VGND VGND VPWR VPWR _38386_/A sky130_fd_sc_hd__or2_2
+X_50662_ _50662_/A _50661_/X VGND VGND VPWR VPWR _78014_/D sky130_fd_sc_hd__nand2_2
+X_81482_ _81468_/CLK _72185_/Y VGND VGND VPWR VPWR _68945_/A sky130_fd_sc_hd__dfxtp_4
+X_62648_ _51203_/A _62963_/B VGND VGND VPWR VPWR _62648_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_525_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_421_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_110_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_206_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52401_ _52400_/X VGND VGND VPWR VPWR _52413_/B sky130_fd_sc_hd__buf_1
+XFILLER_343_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_280_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80433_ _80465_/CLK _80433_/D VGND VGND VPWR VPWR _64731_/A sky130_fd_sc_hd__dfxtp_4
+X_68155_ _67810_/X _68155_/B _68154_/Y VGND VGND VPWR VPWR _68155_/Y sky130_fd_sc_hd__nor3_2
+X_53381_ _53381_/A _53381_/B VGND VGND VPWR VPWR _53384_/A sky130_fd_sc_hd__nand2_2
+XFILLER_181_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_600_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65367_ _65367_/A VGND VGND VPWR VPWR _66197_/A sky130_fd_sc_hd__buf_1
+XFILLER_241_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50593_ _50649_/A _50602_/B VGND VGND VPWR VPWR _50594_/B sky130_fd_sc_hd__or2_2
+X_62579_ _62579_/A _62579_/B VGND VGND VPWR VPWR _62579_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_224_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_210_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_557_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_718_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_206_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55120_ _55092_/A VGND VGND VPWR VPWR _55123_/A sky130_fd_sc_hd__buf_1
+XFILLER_221_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67106_ _68958_/A VGND VGND VPWR VPWR _67106_/X sky130_fd_sc_hd__buf_1
+XFILLER_411_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_378_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_260_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52332_ _60791_/A _52332_/B VGND VGND VPWR VPWR _52335_/A sky130_fd_sc_hd__nand2_2
+XFILLER_558_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64318_ _68027_/A VGND VGND VPWR VPWR _67494_/A sky130_fd_sc_hd__buf_1
+XFILLER_393_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_166_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80364_ _80367_/CLK _80364_/D VGND VGND VPWR VPWR _69170_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_367_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68086_ _68241_/A _68083_/Y _68085_/Y VGND VGND VPWR VPWR _68086_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_52_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65298_ _64255_/X VGND VGND VPWR VPWR _65301_/A sky130_fd_sc_hd__buf_1
+XFILLER_148_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_593_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39005_ _39060_/A VGND VGND VPWR VPWR _39017_/B sky130_fd_sc_hd__buf_1
+XFILLER_547_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_85_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67037_ _80671_/Q _67037_/B VGND VGND VPWR VPWR _67038_/C sky130_fd_sc_hd__nor2_2
+X_55051_ _73023_/A _46848_/A _70717_/X _46038_/B VGND VGND VPWR VPWR _55052_/B sky130_fd_sc_hd__and4_2
+XFILLER_182_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52263_ _61064_/A _52266_/B VGND VGND VPWR VPWR _52265_/A sky130_fd_sc_hd__nand2_2
+XFILLER_147_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64249_ _64249_/A VGND VGND VPWR VPWR _64250_/A sky130_fd_sc_hd__buf_1
+XFILLER_544_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_3054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80295_ _80354_/CLK _38774_/Y VGND VGND VPWR VPWR _80295_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_536_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_120_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_434_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_714_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54002_ _53946_/A _53976_/X VGND VGND VPWR VPWR _54002_/X sky130_fd_sc_hd__or2_2
+XFILLER_614_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51214_ _51158_/A _51220_/B VGND VGND VPWR VPWR _51215_/B sky130_fd_sc_hd__or2_2
+XPHY_13119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_378_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52194_ _54105_/A _52122_/B VGND VGND VPWR VPWR _52220_/B sky130_fd_sc_hd__or2_2
+XFILLER_352_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_437_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_50_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_257_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58810_ _58609_/A _58810_/B _58810_/C VGND VGND VPWR VPWR _58810_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_317_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_669_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51145_ _51145_/A _51160_/B VGND VGND VPWR VPWR _51145_/X sky130_fd_sc_hd__or2_2
+XFILLER_293_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_215_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59790_ _61614_/A VGND VGND VPWR VPWR _59790_/X sky130_fd_sc_hd__buf_1
+XFILLER_85_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_176_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68988_ _80298_/Q _68494_/B VGND VGND VPWR VPWR _68991_/B sky130_fd_sc_hd__nor2_2
+XFILLER_416_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_90_0_u_core.clock clkbuf_6_45_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_90_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_11706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_685_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_415_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_330_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_134_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39907_ _39944_/A VGND VGND VPWR VPWR _39907_/X sky130_fd_sc_hd__buf_1
+XPHY_11728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58741_ _38629_/C _58462_/B VGND VGND VPWR VPWR _58743_/B sky130_fd_sc_hd__nor2_2
+XFILLER_8_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55953_ _69943_/B _55953_/B VGND VGND VPWR VPWR _55954_/B sky130_fd_sc_hd__nor2_2
+X_51076_ _51076_/A _51082_/B VGND VGND VPWR VPWR _51078_/A sky130_fd_sc_hd__nand2_2
+X_67939_ _67939_/A _67939_/B _67938_/Y VGND VGND VPWR VPWR _68019_/B sky130_fd_sc_hd__nor3_2
+XFILLER_28_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_289_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_320_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_115_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50027_ _50027_/A _50027_/B VGND VGND VPWR VPWR _50029_/A sky130_fd_sc_hd__nand2_2
+X_54904_ _59245_/A _54898_/B VGND VGND VPWR VPWR _54906_/A sky130_fd_sc_hd__nand2_2
+Xclkbuf_7_37_0_u_core.clock clkbuf_7_37_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_74_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_249_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_131_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_235_1478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39838_ _39815_/A VGND VGND VPWR VPWR _39843_/A sky130_fd_sc_hd__buf_1
+XPHY_7014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58672_ _69270_/A _58538_/B VGND VGND VPWR VPWR _58672_/Y sky130_fd_sc_hd__nor2_2
+X_70950_ _71881_/A _70950_/B VGND VGND VPWR VPWR _70950_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_137_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_547_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55884_ _55901_/A _55896_/A _55884_/C VGND VGND VPWR VPWR _55890_/B sky130_fd_sc_hd__and3_2
+XFILLER_465_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57623_ _57609_/Y _57623_/B VGND VGND VPWR VPWR _57623_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69609_ _69614_/A _69600_/A _69952_/A VGND VGND VPWR VPWR _69610_/A sky130_fd_sc_hd__and3_2
+XFILLER_48_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54835_ _76912_/Q _54831_/B VGND VGND VPWR VPWR _54838_/A sky130_fd_sc_hd__nand2_2
+XFILLER_98_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_363_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39769_ _39815_/A VGND VGND VPWR VPWR _39769_/X sky130_fd_sc_hd__buf_1
+XFILLER_328_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70881_ _71718_/B _70080_/X VGND VGND VPWR VPWR _70882_/A sky130_fd_sc_hd__or2_2
+XFILLER_150_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_6_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_283_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_642_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41800_ _41798_/Y _41774_/X _41799_/Y _41776_/X VGND VGND VPWR VPWR _41801_/B sky130_fd_sc_hd__o22a_4
+XFILLER_185_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72620_ _72609_/A _72620_/B _68419_/A VGND VGND VPWR VPWR _72621_/B sky130_fd_sc_hd__nand3_2
+XFILLER_291_336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57554_ _57635_/A _57551_/Y _57554_/C VGND VGND VPWR VPWR _57555_/C sky130_fd_sc_hd__nor3_2
+XFILLER_29_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42780_ _79456_/Q _42760_/B VGND VGND VPWR VPWR _42780_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_565_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54766_ _54766_/A _54766_/B VGND VGND VPWR VPWR _54766_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_217_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51978_ _51978_/A _51977_/X VGND VGND VPWR VPWR _51978_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_363_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_57_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_461_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_265_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_448_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_148_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56505_ _64828_/A _56669_/B VGND VGND VPWR VPWR _56505_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_623_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_245_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_697_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41731_ _41731_/A VGND VGND VPWR VPWR _41731_/X sky130_fd_sc_hd__buf_1
+XPHY_37404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53717_ _53661_/A _53729_/B VGND VGND VPWR VPWR _53717_/X sky130_fd_sc_hd__or2_2
+XPHY_38149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72551_ _72549_/X _72550_/Y VGND VGND VPWR VPWR _72551_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_385_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50929_ _50927_/Y _50928_/X VGND VGND VPWR VPWR _77943_/D sky130_fd_sc_hd__nand2_2
+XFILLER_71_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57485_ _80125_/Q _57566_/B VGND VGND VPWR VPWR _57486_/C sky130_fd_sc_hd__nor2_2
+XFILLER_434_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_144_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_461_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54697_ _54697_/A _54696_/X VGND VGND VPWR VPWR _54697_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_2_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_57_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_1539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_18_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59224_ _59912_/A _59224_/B _59224_/C VGND VGND VPWR VPWR _59272_/A sky130_fd_sc_hd__nor3_2
+X_71502_ _71254_/X _71491_/Y _71493_/Y _71501_/X VGND VGND VPWR VPWR _42624_/B sky130_fd_sc_hd__o22a_4
+XFILLER_658_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_232_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44450_ _44507_/B _44339_/X VGND VGND VPWR VPWR _44450_/X sky130_fd_sc_hd__or2_2
+XPHY_4966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56436_ _56759_/A _56435_/Y VGND VGND VPWR VPWR _56460_/B sky130_fd_sc_hd__nor2_2
+XFILLER_328_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75270_ _75234_/A VGND VGND VPWR VPWR _75271_/B sky130_fd_sc_hd__buf_1
+XFILLER_461_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_122_0_u_core.clock clkbuf_7_61_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_245_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_41662_ _41662_/A _41662_/B VGND VGND VPWR VPWR _41663_/C sky130_fd_sc_hd__or2_2
+XFILLER_92_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53648_ _53761_/A _53618_/A VGND VGND VPWR VPWR _53649_/B sky130_fd_sc_hd__or2_2
+XPHY_37459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72482_ _72177_/A _72482_/B VGND VGND VPWR VPWR _72482_/X sky130_fd_sc_hd__or2_2
+XFILLER_363_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_183_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_182_0_u_core.clock clkbuf_8_91_0_u_core.clock/X VGND VGND VPWR VPWR _79163_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_548_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_595_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43401_ _42919_/A _43398_/B VGND VGND VPWR VPWR _43403_/A sky130_fd_sc_hd__or2_2
+XFILLER_246_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74221_ _74207_/A _74221_/B _80990_/Q VGND VGND VPWR VPWR _74221_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_53_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40613_ _40617_/A _40598_/X _65682_/A VGND VGND VPWR VPWR _40613_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_502_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59155_ _59535_/A VGND VGND VPWR VPWR _59155_/X sky130_fd_sc_hd__buf_1
+X_71433_ _39155_/A VGND VGND VPWR VPWR _42926_/A sky130_fd_sc_hd__buf_1
+XFILLER_53_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44381_ _44416_/A VGND VGND VPWR VPWR _44382_/A sky130_fd_sc_hd__buf_1
+XFILLER_387_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56367_ _56342_/A VGND VGND VPWR VPWR _58509_/A sky130_fd_sc_hd__buf_1
+XFILLER_693_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41593_ _41593_/A _41592_/Y VGND VGND VPWR VPWR _79578_/D sky130_fd_sc_hd__nand2_2
+X_53579_ _77246_/Q _53579_/B VGND VGND VPWR VPWR _53579_/Y sky130_fd_sc_hd__nand2_2
+Xclkbuf_8_70_0_u_core.clock clkbuf_8_71_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_70_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_35_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_376_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_38_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46120_ _43098_/Y _46120_/B VGND VGND VPWR VPWR _46120_/X sky130_fd_sc_hd__or2_2
+XFILLER_575_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58106_ _57942_/X _58070_/X _58105_/X _74448_/Y _58025_/X VGND VGND VPWR VPWR _76665_/D
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_298_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43332_ _71828_/B _43346_/B VGND VGND VPWR VPWR _43334_/A sky130_fd_sc_hd__or2_2
+XFILLER_548_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_502_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55318_ _55347_/A _55318_/B VGND VGND VPWR VPWR _55318_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_9_129_0_u_core.clock clkbuf_8_64_0_u_core.clock/X VGND VGND VPWR VPWR _78794_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_658_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74152_ _74659_/A _73994_/A VGND VGND VPWR VPWR _74152_/X sky130_fd_sc_hd__or2_2
+XFILLER_537_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_401_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40544_ _40554_/A _40559_/B _58439_/A VGND VGND VPWR VPWR _40544_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_674_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71364_ _71090_/X _71363_/Y _71285_/X _70985_/A VGND VGND VPWR VPWR _71364_/X sky130_fd_sc_hd__o22a_4
+X_59086_ _59534_/A _59086_/B _59086_/C VGND VGND VPWR VPWR _59086_/Y sky130_fd_sc_hd__nor3_2
+XPHY_15011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56298_ _57112_/A VGND VGND VPWR VPWR _56447_/B sky130_fd_sc_hd__buf_1
+XPHY_25545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_439_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_392_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_294_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73103_ _72118_/B _73093_/B VGND VGND VPWR VPWR _73103_/X sky130_fd_sc_hd__or2_2
+Xclkbuf_8_17_0_u_core.clock clkbuf_7_8_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_17_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_25567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46051_ _70014_/B _46051_/B VGND VGND VPWR VPWR _46053_/C sky130_fd_sc_hd__nor2_2
+X_70315_ _70300_/A VGND VGND VPWR VPWR _70384_/A sky130_fd_sc_hd__buf_1
+XFILLER_593_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58037_ _56836_/X VGND VGND VPWR VPWR _58038_/B sky130_fd_sc_hd__buf_1
+XFILLER_279_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_674_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43263_ _43263_/A _43248_/B _68928_/A VGND VGND VPWR VPWR _43263_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_240_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55249_ _55341_/A VGND VGND VPWR VPWR _55320_/A sky130_fd_sc_hd__buf_1
+XFILLER_205_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74083_ _74083_/A _74082_/Y VGND VGND VPWR VPWR _81021_/D sky130_fd_sc_hd__nand2_2
+XFILLER_298_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78960_ _78067_/CLK _46775_/Y VGND VGND VPWR VPWR _78960_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_515_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40475_ _40604_/A _40456_/X VGND VGND VPWR VPWR _40477_/A sky130_fd_sc_hd__or2_2
+XFILLER_259_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71295_ _71295_/A VGND VGND VPWR VPWR _74028_/A sky130_fd_sc_hd__inv_8
+XFILLER_652_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_238_0_u_core.clock clkbuf_8_239_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_477_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_554_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45002_ _44951_/A _45002_/B VGND VGND VPWR VPWR _45002_/X sky130_fd_sc_hd__or2_2
+XPHY_24866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_298_0_u_core.clock clkbuf_9_299_0_u_core.clock/A VGND VGND VPWR VPWR _81421_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_335_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42214_ _42257_/A VGND VGND VPWR VPWR _42249_/B sky130_fd_sc_hd__buf_1
+XFILLER_554_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_355_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_494_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77911_ _78428_/CLK _51050_/Y VGND VGND VPWR VPWR _62016_/A sky130_fd_sc_hd__dfxtp_4
+X_73034_ _73034_/A _73034_/B VGND VGND VPWR VPWR _81283_/D sky130_fd_sc_hd__nand2_2
+XFILLER_190_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70246_ _70178_/X _70201_/X _70246_/C VGND VGND VPWR VPWR _70873_/B sky130_fd_sc_hd__nor3_2
+XFILLER_519_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43194_ _46175_/B VGND VGND VPWR VPWR _43194_/Y sky130_fd_sc_hd__inv_8
+XFILLER_126_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78891_ _78897_/CLK _78891_/D VGND VGND VPWR VPWR _46402_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_138_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_393_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_159_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_272_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_589_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_472_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_342_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49810_ _49842_/A _49822_/B VGND VGND VPWR VPWR _49811_/B sky130_fd_sc_hd__or2_2
+XPHY_31010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42145_ _42143_/Y _42144_/Y _42142_/C VGND VGND VPWR VPWR _79514_/D sky130_fd_sc_hd__nor3_2
+XPHY_14398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77842_ _77840_/CLK _51322_/Y VGND VGND VPWR VPWR _61338_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70177_ _70161_/X _70176_/X VGND VGND VPWR VPWR _70873_/A sky130_fd_sc_hd__nor2_2
+XFILLER_181_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59988_ _59679_/A _59988_/B _59987_/Y VGND VGND VPWR VPWR _59988_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_355_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_330_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49741_ _49741_/A _49736_/X VGND VGND VPWR VPWR _49743_/A sky130_fd_sc_hd__nand2_2
+XFILLER_136_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_665_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_81_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46953_ _46863_/A VGND VGND VPWR VPWR _46955_/A sky130_fd_sc_hd__buf_1
+X_42076_ _79531_/Q _42090_/B VGND VGND VPWR VPWR _42078_/A sky130_fd_sc_hd__nor2_2
+X_58939_ _59093_/A VGND VGND VPWR VPWR _60585_/A sky130_fd_sc_hd__buf_1
+XFILLER_658_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77773_ _77295_/CLK _51589_/Y VGND VGND VPWR VPWR _60548_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74985_ _74985_/A _74985_/B VGND VGND VPWR VPWR _74985_/X sky130_fd_sc_hd__or2_2
+XFILLER_618_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_485_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_316_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79512_ _79511_/CLK _79512_/D VGND VGND VPWR VPWR _42150_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_368_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41027_ _41294_/A _41019_/B VGND VGND VPWR VPWR _41027_/X sky130_fd_sc_hd__or2_2
+XFILLER_62_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45904_ _46043_/A _45903_/X VGND VGND VPWR VPWR _45904_/Y sky130_fd_sc_hd__nor2_2
+XPHY_30364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76724_ _76720_/CLK _76724_/D VGND VGND VPWR VPWR _76724_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_489_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_456_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61950_ _61484_/A _61950_/B _61949_/Y VGND VGND VPWR VPWR _61954_/B sky130_fd_sc_hd__nor3_2
+X_73936_ _73933_/X _73935_/Y VGND VGND VPWR VPWR _81054_/D sky130_fd_sc_hd__nand2_2
+XFILLER_387_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49672_ _49821_/A VGND VGND VPWR VPWR _49787_/A sky130_fd_sc_hd__buf_1
+XFILLER_151_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46884_ _46877_/A _46883_/X VGND VGND VPWR VPWR _46884_/X sky130_fd_sc_hd__or2_2
+XFILLER_673_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_348_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_27_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_484_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_188_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60901_ _60434_/A _60899_/Y _60900_/Y VGND VGND VPWR VPWR _60901_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_342_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48623_ _48715_/A _48627_/B VGND VGND VPWR VPWR _48623_/X sky130_fd_sc_hd__or2_2
+XFILLER_457_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79443_ _79757_/CLK _79443_/D VGND VGND VPWR VPWR _58826_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_489_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45835_ _45772_/Y _45791_/X VGND VGND VPWR VPWR _45835_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_647_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_50_0_u_core.clock clkbuf_9_51_0_u_core.clock/A VGND VGND VPWR VPWR _78062_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_227_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76655_ _76657_/CLK _57308_/Y VGND VGND VPWR VPWR _76655_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_184_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61881_ _61416_/A _61876_/Y _61880_/Y VGND VGND VPWR VPWR _61881_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_628_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73867_ _73865_/X _73866_/Y VGND VGND VPWR VPWR _81071_/D sky130_fd_sc_hd__nand2_2
+XFILLER_114_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_174 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_110_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63620_ _63620_/A _63620_/B _63620_/C VGND VGND VPWR VPWR _63621_/C sky130_fd_sc_hd__nor3_2
+XFILLER_149_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75606_ _75603_/X _75605_/Y VGND VGND VPWR VPWR _75606_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_409_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_646_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60832_ _60364_/A _60832_/B _60831_/Y VGND VGND VPWR VPWR _60843_/B sky130_fd_sc_hd__nor3_2
+XPHY_7592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48554_ _48554_/A _48554_/B VGND VGND VPWR VPWR _48556_/A sky130_fd_sc_hd__nand2_2
+XFILLER_632_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_72818_ _72812_/A _72812_/B _81330_/Q VGND VGND VPWR VPWR _72818_/Y sky130_fd_sc_hd__nand3_2
+X_79374_ _81285_/CLK _79374_/D VGND VGND VPWR VPWR _65295_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_624_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45766_ _70583_/Y _43537_/C VGND VGND VPWR VPWR _45766_/Y sky130_fd_sc_hd__nor2_2
+XPHY_39340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76586_ VGND VGND VPWR VPWR _76586_/HI la_data_out[82] sky130_fd_sc_hd__conb_1
+X_42978_ _42959_/A VGND VGND VPWR VPWR _42978_/X sky130_fd_sc_hd__buf_1
+XFILLER_463_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73798_ _73798_/A _73798_/B VGND VGND VPWR VPWR _81090_/D sky130_fd_sc_hd__nand2_2
+XPHY_39351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_114_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_620_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_327_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_466_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_444_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47505_ _78826_/Q _47494_/B VGND VGND VPWR VPWR _47505_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_229_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_381_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78325_ _78800_/CLK _49484_/Y VGND VGND VPWR VPWR _61815_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44717_ _75439_/A _44468_/A VGND VGND VPWR VPWR _44717_/X sky130_fd_sc_hd__or2_2
+XFILLER_286_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63551_ _59287_/A _63549_/Y _63550_/Y VGND VGND VPWR VPWR _63555_/B sky130_fd_sc_hd__nor3_2
+XFILLER_75_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75537_ _76178_/A VGND VGND VPWR VPWR _75913_/A sky130_fd_sc_hd__buf_1
+XFILLER_444_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41929_ _41922_/Y _43501_/A VGND VGND VPWR VPWR _41929_/X sky130_fd_sc_hd__or2_2
+XFILLER_251_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72749_ _72749_/A _72749_/B VGND VGND VPWR VPWR _81349_/D sky130_fd_sc_hd__nand2_2
+X_60763_ _60763_/A _60141_/B VGND VGND VPWR VPWR _60763_/Y sky130_fd_sc_hd__nor2_2
+X_48485_ _48485_/A _48485_/B VGND VGND VPWR VPWR _48485_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_598_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_225_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_381_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45697_ _43660_/B _45696_/X VGND VGND VPWR VPWR _45697_/Y sky130_fd_sc_hd__nor2_2
+XPHY_39395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_452_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62502_ _50591_/A _62813_/B VGND VGND VPWR VPWR _62502_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_641_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_698_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47436_ _47427_/A VGND VGND VPWR VPWR _47436_/X sky130_fd_sc_hd__buf_1
+XFILLER_169_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66270_ _65442_/A VGND VGND VPWR VPWR _66273_/A sky130_fd_sc_hd__buf_1
+XPHY_38683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78256_ _78756_/CLK _78256_/D VGND VGND VPWR VPWR _78256_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_62_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44648_ _44648_/A VGND VGND VPWR VPWR _44683_/A sky130_fd_sc_hd__inv_8
+X_63482_ _62859_/A _63478_/Y _63482_/C VGND VGND VPWR VPWR _63483_/C sky130_fd_sc_hd__nor3_2
+XPHY_28160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75468_ _75468_/A _75481_/B VGND VGND VPWR VPWR _75470_/A sky130_fd_sc_hd__or2_2
+XFILLER_412_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60694_ _60694_/A _60375_/X VGND VGND VPWR VPWR _60696_/B sky130_fd_sc_hd__nor2_2
+XFILLER_260_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_127_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_499_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_37971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65221_ _65884_/A _65219_/Y _65221_/C VGND VGND VPWR VPWR _65221_/Y sky130_fd_sc_hd__nor3_2
+X_77207_ _77214_/CLK _77207_/D VGND VGND VPWR VPWR _59537_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62433_ _51070_/A _61965_/B VGND VGND VPWR VPWR _62434_/C sky130_fd_sc_hd__nor2_2
+XPHY_37982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74419_ _74416_/X _74418_/X VGND VGND VPWR VPWR _80939_/D sky130_fd_sc_hd__nand2_2
+XFILLER_496_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_324_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47367_ _47367_/A _47367_/B VGND VGND VPWR VPWR _47367_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_121_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78187_ _78191_/CLK _78187_/D VGND VGND VPWR VPWR _50011_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_340_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_50_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44579_ _44572_/A _44573_/Y _44578_/Y VGND VGND VPWR VPWR _79215_/D sky130_fd_sc_hd__nor3_2
+X_75399_ _75277_/A _75391_/B VGND VGND VPWR VPWR _75399_/X sky130_fd_sc_hd__or2_2
+XFILLER_75_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_700_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_539_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49106_ _47532_/A VGND VGND VPWR VPWR _49107_/A sky130_fd_sc_hd__buf_1
+XPHY_27492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65152_ _67183_/A VGND VGND VPWR VPWR _65503_/A sky130_fd_sc_hd__buf_1
+XFILLER_438_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46318_ _46318_/A VGND VGND VPWR VPWR _46319_/B sky130_fd_sc_hd__inv_8
+X_77138_ _77141_/CLK _53988_/Y VGND VGND VPWR VPWR _77138_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_148_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_393_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62364_ _63145_/A VGND VGND VPWR VPWR _62364_/X sky130_fd_sc_hd__buf_1
+XFILLER_349_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47298_ _53139_/A _47426_/A VGND VGND VPWR VPWR _47299_/A sky130_fd_sc_hd__or2_2
+XFILLER_594_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_140_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_520_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_713_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_715_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64103_ _65205_/A VGND VGND VPWR VPWR _65025_/B sky130_fd_sc_hd__buf_1
+XFILLER_34_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61315_ _61472_/A _61306_/Y _61314_/Y VGND VGND VPWR VPWR _61316_/B sky130_fd_sc_hd__nor3_2
+X_49037_ _49066_/A _49024_/X VGND VGND VPWR VPWR _49037_/X sky130_fd_sc_hd__or2_2
+XFILLER_176_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_293_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_393_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46249_ _43124_/A _46236_/B VGND VGND VPWR VPWR _46253_/B sky130_fd_sc_hd__nor2_2
+XFILLER_129_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65083_ _65083_/A _64914_/B VGND VGND VPWR VPWR _65084_/C sky130_fd_sc_hd__nor2_2
+X_69960_ _69960_/A _69960_/B VGND VGND VPWR VPWR _69960_/X sky130_fd_sc_hd__or2_2
+XFILLER_526_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77069_ _77410_/CLK _77069_/D VGND VGND VPWR VPWR _54251_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_553_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62295_ _62292_/X _62295_/B _62294_/Y VGND VGND VPWR VPWR _62295_/Y sky130_fd_sc_hd__nor3_2
+XPHY_16290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_69_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_3084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_106_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68911_ _69335_/A _68911_/B _68911_/C VGND VGND VPWR VPWR _68911_/Y sky130_fd_sc_hd__nor3_2
+X_64034_ _59143_/A _64032_/Y _64034_/C VGND VGND VPWR VPWR _64038_/B sky130_fd_sc_hd__nor3_2
+XFILLER_271_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_572_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61246_ _61246_/A _61246_/B VGND VGND VPWR VPWR _61248_/B sky130_fd_sc_hd__nor2_2
+XFILLER_144_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80080_ _80104_/CLK _39609_/Y VGND VGND VPWR VPWR _80080_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_333_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69891_ _69890_/X VGND VGND VPWR VPWR _69891_/X sky130_fd_sc_hd__buf_1
+XFILLER_373_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_534_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_333_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_455_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_82_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68842_ _69138_/A _68842_/B _68842_/C VGND VGND VPWR VPWR _68842_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_12_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_711_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61177_ _51323_/A _61177_/B VGND VGND VPWR VPWR _61177_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_334_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_670_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_553_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_312_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_160_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60128_ _59319_/A VGND VGND VPWR VPWR _60131_/A sky130_fd_sc_hd__buf_1
+XFILLER_370_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_271_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49939_ _49939_/A _49914_/A VGND VGND VPWR VPWR _49939_/X sky130_fd_sc_hd__or2_2
+XFILLER_416_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68773_ _68934_/A _68767_/Y _68772_/Y VGND VGND VPWR VPWR _68773_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_468_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_683_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65985_ _65658_/A _65979_/Y _65985_/C VGND VGND VPWR VPWR _65985_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_566_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_314_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_613_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_82_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_608_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67724_ _67724_/A _67722_/Y _67724_/C VGND VGND VPWR VPWR _67725_/C sky130_fd_sc_hd__nor3_2
+XFILLER_386_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64936_ _64936_/A _64935_/Y VGND VGND VPWR VPWR _64937_/B sky130_fd_sc_hd__nor2_2
+X_60059_ _60059_/A _59571_/B VGND VGND VPWR VPWR _60061_/B sky130_fd_sc_hd__nor2_2
+X_52950_ _52966_/B VGND VGND VPWR VPWR _52960_/B sky130_fd_sc_hd__buf_1
+XFILLER_252_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_214_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80982_ _80929_/CLK _74252_/Y VGND VGND VPWR VPWR _65609_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_449_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_609_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51901_ _61137_/A _51890_/B VGND VGND VPWR VPWR _51903_/A sky130_fd_sc_hd__nand2_2
+X_39623_ _39113_/A _39623_/B VGND VGND VPWR VPWR _39623_/X sky130_fd_sc_hd__or2_2
+XFILLER_274_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_629_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_312_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_724_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67655_ _67655_/A _67652_/Y _67654_/Y VGND VGND VPWR VPWR _67655_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_629_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_45_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52881_ _52881_/A _52881_/B VGND VGND VPWR VPWR _52881_/Y sky130_fd_sc_hd__nand2_2
+X_64867_ _65037_/A _64867_/B _64867_/C VGND VGND VPWR VPWR _64868_/C sky130_fd_sc_hd__nor3_2
+XFILLER_481_2900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_691_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_434_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54620_ _54649_/A _54623_/B VGND VGND VPWR VPWR _54621_/B sky130_fd_sc_hd__or2_2
+X_66606_ _66606_/A _66606_/B _66606_/C VGND VGND VPWR VPWR _66606_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_285_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_484_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51832_ _51830_/Y _51832_/B VGND VGND VPWR VPWR _51832_/Y sky130_fd_sc_hd__nand2_2
+X_39554_ _39629_/A VGND VGND VPWR VPWR _39559_/A sky130_fd_sc_hd__buf_1
+X_63818_ _59226_/A _63810_/Y _63818_/C VGND VGND VPWR VPWR _63834_/A sky130_fd_sc_hd__nor3_2
+XFILLER_39_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67586_ _67263_/X _67584_/Y _67586_/C VGND VGND VPWR VPWR _67586_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_210_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_622_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64798_ _65654_/A VGND VGND VPWR VPWR _64801_/A sky130_fd_sc_hd__buf_1
+XFILLER_282_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_66_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38505_ _38503_/X _38505_/B VGND VGND VPWR VPWR _38505_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_269_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69325_ _69325_/A _69325_/B VGND VGND VPWR VPWR _69327_/B sky130_fd_sc_hd__nor2_2
+XFILLER_490_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66537_ _66537_/A _66537_/B VGND VGND VPWR VPWR _66539_/B sky130_fd_sc_hd__nor2_2
+X_54551_ _54664_/A _54530_/A VGND VGND VPWR VPWR _54551_/X sky130_fd_sc_hd__or2_2
+XFILLER_265_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_109 _69730_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_53_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51763_ _51763_/A _51762_/X VGND VGND VPWR VPWR _51763_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_481_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_462_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_427_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39485_ _39488_/A _39472_/B _56519_/A VGND VGND VPWR VPWR _39486_/B sky130_fd_sc_hd__nand3_2
+XPHY_4229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63749_ _78698_/Q _63325_/B VGND VGND VPWR VPWR _63749_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_416_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_423_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_96_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53502_ _53527_/B VGND VGND VPWR VPWR _53514_/B sky130_fd_sc_hd__buf_1
+XFILLER_81_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38436_ _38439_/A _38425_/B _80375_/Q VGND VGND VPWR VPWR _38436_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_42_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50714_ _50711_/Y _50714_/B VGND VGND VPWR VPWR _78001_/D sky130_fd_sc_hd__nand2_2
+XFILLER_540_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57270_ _57260_/Y _57270_/B VGND VGND VPWR VPWR _57271_/B sky130_fd_sc_hd__nor2_2
+X_69256_ _69256_/A _64395_/B VGND VGND VPWR VPWR _69256_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_91_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54482_ _77006_/Q _54479_/B VGND VGND VPWR VPWR _54482_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_380_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_199_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_82_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66468_ _57374_/A _65970_/B VGND VGND VPWR VPWR _66470_/B sky130_fd_sc_hd__nor2_2
+XFILLER_161_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51694_ _77743_/Q _51700_/B VGND VGND VPWR VPWR _51696_/A sky130_fd_sc_hd__nand2_2
+XFILLER_599_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56221_ _56258_/A VGND VGND VPWR VPWR _56275_/A sky130_fd_sc_hd__buf_1
+XPHY_2816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68207_ _67724_/A _68204_/Y _68206_/Y VGND VGND VPWR VPWR _68207_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_497_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_306_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53433_ _53463_/A _53417_/A VGND VGND VPWR VPWR _53433_/X sky130_fd_sc_hd__or2_2
+XFILLER_228_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65419_ _66090_/A _65419_/B _65418_/Y VGND VGND VPWR VPWR _65420_/B sky130_fd_sc_hd__nor3_2
+XFILLER_595_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50645_ _50645_/A _50663_/B VGND VGND VPWR VPWR _50645_/X sky130_fd_sc_hd__or2_2
+X_38367_ _38367_/A _38366_/Y VGND VGND VPWR VPWR _80394_/D sky130_fd_sc_hd__nand2_2
+X_69187_ _80908_/Q _69325_/B VGND VGND VPWR VPWR _69189_/B sky130_fd_sc_hd__nor2_2
+X_81465_ _81326_/CLK _72281_/Y VGND VGND VPWR VPWR _81465_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_368_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66399_ _66399_/A _66556_/B VGND VGND VPWR VPWR _66400_/C sky130_fd_sc_hd__nor2_2
+XFILLER_282_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_479_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_210_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_675_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80416_ _80414_/CLK _38283_/Y VGND VGND VPWR VPWR _80416_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_401_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56152_ _56152_/A _56147_/Y _56152_/C VGND VGND VPWR VPWR wbs_dat_o[25] sky130_fd_sc_hd__nor3_2
+X_68138_ _67453_/X _68138_/B _68138_/C VGND VGND VPWR VPWR _68139_/B sky130_fd_sc_hd__nor3_2
+XFILLER_10_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53364_ _53364_/A _53367_/B VGND VGND VPWR VPWR _53366_/A sky130_fd_sc_hd__nand2_2
+XFILLER_221_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_299_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38298_ _38296_/X _38297_/Y VGND VGND VPWR VPWR _38298_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_495_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50576_ _50661_/A _50572_/B VGND VGND VPWR VPWR _50576_/X sky130_fd_sc_hd__or2_2
+X_81396_ _81397_/CLK _81396_/D VGND VGND VPWR VPWR _65281_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_298_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_573_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_495_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55103_ _55102_/X VGND VGND VPWR VPWR _55176_/A sky130_fd_sc_hd__buf_1
+XFILLER_636_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_517_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52315_ _77579_/Q _52328_/B VGND VGND VPWR VPWR _52315_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_494_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_328_359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56083_ _56083_/A VGND VGND VPWR VPWR _56088_/A sky130_fd_sc_hd__buf_1
+X_80347_ _80393_/CLK _38560_/Y VGND VGND VPWR VPWR _66406_/A sky130_fd_sc_hd__dfxtp_4
+X_68069_ _68894_/A VGND VGND VPWR VPWR _68725_/B sky130_fd_sc_hd__buf_1
+XFILLER_516_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_309_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53295_ _77320_/Q _53292_/B VGND VGND VPWR VPWR _53297_/A sky130_fd_sc_hd__nand2_2
+XFILLER_393_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_202_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_120_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_276_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_384_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70100_ _70100_/A VGND VGND VPWR VPWR _70100_/X sky130_fd_sc_hd__buf_1
+XFILLER_148_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_383_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55034_ _55032_/Y _55034_/B VGND VGND VPWR VPWR _55034_/Y sky130_fd_sc_hd__nand2_2
+X_59911_ _59754_/A _59911_/B _59910_/Y VGND VGND VPWR VPWR _59912_/C sky130_fd_sc_hd__nor3_2
+XFILLER_198_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_310_0_u_core.clock clkbuf_9_311_0_u_core.clock/A VGND VGND VPWR VPWR _81083_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_393_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40260_ _40260_/A VGND VGND VPWR VPWR _40298_/A sky130_fd_sc_hd__buf_1
+XFILLER_371_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52246_ _52246_/A _52245_/X VGND VGND VPWR VPWR _52246_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_100_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71080_ _71080_/A VGND VGND VPWR VPWR _71394_/A sky130_fd_sc_hd__buf_1
+XFILLER_434_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_33_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80278_ _80279_/CLK _38835_/Y VGND VGND VPWR VPWR _38834_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_22705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_317_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_68_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_30_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_159_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70031_ _69971_/X _70031_/B VGND VGND VPWR VPWR _70066_/A sky130_fd_sc_hd__nand2_2
+XFILLER_631_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_469_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_4_12_1_u_core.clock clkbuf_4_12_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_4_12_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_688_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59842_ _59679_/A _59842_/B _59841_/Y VGND VGND VPWR VPWR _59842_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_159_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40191_ _39951_/A _40186_/B VGND VGND VPWR VPWR _40191_/X sky130_fd_sc_hd__or2_2
+XFILLER_686_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52177_ _52177_/A _52187_/B VGND VGND VPWR VPWR _52177_/X sky130_fd_sc_hd__or2_2
+XFILLER_336_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_336_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51128_ _51128_/A _51127_/X VGND VGND VPWR VPWR _77889_/D sky130_fd_sc_hd__nand2_2
+XPHY_11514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_293_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59773_ _59773_/A _59773_/B VGND VGND VPWR VPWR _59774_/B sky130_fd_sc_hd__nor2_2
+XFILLER_457_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56985_ _65835_/A _56740_/X VGND VGND VPWR VPWR _56985_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_330_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_137_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_150_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_4_15_0_u_core.clock clkbuf_3_7_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_4_15_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_500_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58724_ _56271_/X _58724_/B _58723_/Y VGND VGND VPWR VPWR _58724_/Y sky130_fd_sc_hd__nor3_2
+XPHY_11558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_438_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43950_ _72950_/A _43957_/B VGND VGND VPWR VPWR _43950_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_46_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55936_ _55936_/A VGND VGND VPWR VPWR _56199_/A sky130_fd_sc_hd__buf_1
+X_51059_ _51059_/A _51058_/X VGND VGND VPWR VPWR _51062_/A sky130_fd_sc_hd__nand2_2
+X_74770_ _75384_/A _74761_/B VGND VGND VPWR VPWR _74770_/X sky130_fd_sc_hd__or2_2
+XFILLER_584_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_426_0_u_core.clock clkbuf_9_427_0_u_core.clock/A VGND VGND VPWR VPWR _79940_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_77_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_131_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71982_ _71919_/X _71921_/B _64963_/A VGND VGND VPWR VPWR _71983_/B sky130_fd_sc_hd__nand3_2
+XFILLER_254_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42901_ _42906_/A _42898_/B _58558_/A VGND VGND VPWR VPWR _42902_/B sky130_fd_sc_hd__nand3_2
+XFILLER_289_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73721_ _73721_/A _73720_/Y VGND VGND VPWR VPWR _73721_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_447_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58655_ _69256_/A _58437_/B VGND VGND VPWR VPWR _58655_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_79_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70933_ _70933_/A _70541_/X VGND VGND VPWR VPWR _70933_/X sky130_fd_sc_hd__or2_2
+XFILLER_209_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43881_ _43881_/A VGND VGND VPWR VPWR _43890_/A sky130_fd_sc_hd__inv_8
+XFILLER_270_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55867_ _55904_/A _55865_/Y _55867_/C VGND VGND VPWR VPWR _76636_/D sky130_fd_sc_hd__or3_2
+XFILLER_111_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_670_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_463_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_330_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45620_ _71768_/A _45617_/B VGND VGND VPWR VPWR _45620_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_654_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57606_ _43427_/C _57525_/X VGND VGND VPWR VPWR _57608_/B sky130_fd_sc_hd__nor2_2
+XFILLER_681_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76440_ VGND VGND VPWR VPWR _76440_/HI io_oeb[0] sky130_fd_sc_hd__conb_1
+XPHY_6143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42832_ _43171_/A _42832_/B VGND VGND VPWR VPWR _42837_/B sky130_fd_sc_hd__nor2_2
+X_54818_ _53310_/A VGND VGND VPWR VPWR _54939_/A sky130_fd_sc_hd__buf_1
+XFILLER_98_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_248_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_614_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73652_ _73652_/A VGND VGND VPWR VPWR _73656_/B sky130_fd_sc_hd__buf_1
+XFILLER_480_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70864_ _71729_/A _70864_/B VGND VGND VPWR VPWR _70864_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_523_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58586_ _58653_/A _58586_/B _58586_/C VGND VGND VPWR VPWR _58587_/C sky130_fd_sc_hd__nor3_2
+XFILLER_2_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55798_ _55821_/B VGND VGND VPWR VPWR _55817_/B sky130_fd_sc_hd__buf_1
+XPHY_6165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_418_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_2842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_109_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72603_ _72177_/A _72608_/B VGND VGND VPWR VPWR _72603_/X sky130_fd_sc_hd__or2_2
+XPHY_19822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_73_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45551_ _45545_/A _45551_/B _45551_/C VGND VGND VPWR VPWR _45551_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_29_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57537_ _57217_/X _57537_/B _57536_/Y VGND VGND VPWR VPWR _57538_/C sky130_fd_sc_hd__nor3_2
+XFILLER_669_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76371_ _76371_/A _76382_/B _80456_/Q VGND VGND VPWR VPWR _76371_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_365_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42763_ _42752_/A _42762_/X VGND VGND VPWR VPWR _42763_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_226_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54749_ _54749_/A _54752_/B VGND VGND VPWR VPWR _54751_/A sky130_fd_sc_hd__nand2_2
+XFILLER_79_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73583_ _73583_/A _73582_/Y VGND VGND VPWR VPWR _81147_/D sky130_fd_sc_hd__nand2_2
+XFILLER_312_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70795_ _70795_/A VGND VGND VPWR VPWR _70795_/X sky130_fd_sc_hd__buf_1
+XFILLER_205_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_283_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78110_ _78092_/CLK _50307_/Y VGND VGND VPWR VPWR _61888_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_4741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44502_ _44502_/A _44502_/B _44508_/C VGND VGND VPWR VPWR _44502_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_55_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_75322_ _75322_/A _75317_/X VGND VGND VPWR VPWR _75322_/X sky130_fd_sc_hd__or2_2
+XFILLER_426_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_197_0_u_core.clock clkbuf_7_98_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_395_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_57_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41714_ _41714_/A _41714_/B VGND VGND VPWR VPWR _41718_/B sky130_fd_sc_hd__nor2_2
+XPHY_37234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48270_ _48267_/Y _48270_/B VGND VGND VPWR VPWR _78634_/D sky130_fd_sc_hd__nand2_2
+XPHY_4752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72534_ _72256_/A _72531_/B VGND VGND VPWR VPWR _72536_/A sky130_fd_sc_hd__or2_2
+XFILLER_44_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79090_ _76800_/CLK _45839_/Y VGND VGND VPWR VPWR _45834_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_610_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45482_ _45477_/A _45482_/B _45481_/Y VGND VGND VPWR VPWR _45482_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57468_ _79933_/Q _57310_/B VGND VGND VPWR VPWR _57469_/C sky130_fd_sc_hd__nor2_2
+XFILLER_17_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42694_ _79464_/Q _42650_/B VGND VGND VPWR VPWR _42702_/B sky130_fd_sc_hd__nor2_2
+XFILLER_229_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47221_ _47218_/B _47221_/B _47216_/C VGND VGND VPWR VPWR _78880_/D sky130_fd_sc_hd__nor3_2
+XFILLER_658_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_521_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59207_ _63159_/A VGND VGND VPWR VPWR _64012_/A sky130_fd_sc_hd__buf_1
+XFILLER_38_1030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_226_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_109_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78041_ _78048_/CLK _50565_/Y VGND VGND VPWR VPWR _62336_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56419_ _56744_/A _56417_/Y _56418_/Y VGND VGND VPWR VPWR _56419_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_341_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44433_ _44433_/A _44398_/B VGND VGND VPWR VPWR _44439_/B sky130_fd_sc_hd__nor2_2
+XPHY_4796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75253_ _75373_/A _75256_/B VGND VGND VPWR VPWR _75253_/X sky130_fd_sc_hd__or2_2
+XFILLER_611_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_261_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_378_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41645_ _79053_/Q _41644_/X VGND VGND VPWR VPWR _41645_/X sky130_fd_sc_hd__or2_2
+XPHY_37289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72465_ _73625_/A _72345_/B VGND VGND VPWR VPWR _72466_/B sky130_fd_sc_hd__or2_2
+XFILLER_109_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_701_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57399_ _57399_/A _57398_/Y VGND VGND VPWR VPWR _57400_/B sky130_fd_sc_hd__nor2_2
+XFILLER_105_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_26032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_685_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_709_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_509_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_341_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_3046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_222_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74204_ _74202_/X _74204_/B VGND VGND VPWR VPWR _74204_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_9_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47152_ _46337_/B _47156_/A VGND VGND VPWR VPWR _47153_/C sky130_fd_sc_hd__nor2_2
+XFILLER_619_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59138_ _59138_/A VGND VGND VPWR VPWR _59717_/B sky130_fd_sc_hd__buf_1
+X_71416_ _71416_/A _70349_/A VGND VGND VPWR VPWR _71416_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44364_ _44364_/A _44565_/A VGND VGND VPWR VPWR _44364_/X sky130_fd_sc_hd__or2_2
+XPHY_25320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75184_ _75111_/X VGND VGND VPWR VPWR _75184_/X sky130_fd_sc_hd__buf_1
+XPHY_26065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41576_ _41079_/X _41564_/X VGND VGND VPWR VPWR _41578_/A sky130_fd_sc_hd__or2_2
+XPHY_35854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72396_ _72410_/A _72400_/B _72396_/C VGND VGND VPWR VPWR _72397_/B sky130_fd_sc_hd__nand3_2
+XPHY_26076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_591_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_556_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46103_ _45265_/A _43069_/B VGND VGND VPWR VPWR _46108_/A sky130_fd_sc_hd__nor2_2
+XFILLER_142_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43315_ _43301_/A _43319_/B _57452_/A VGND VGND VPWR VPWR _43315_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_357_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74135_ _74802_/A VGND VGND VPWR VPWR _74136_/A sky130_fd_sc_hd__buf_1
+XFILLER_122_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40527_ _40527_/A _40527_/B _69393_/A VGND VGND VPWR VPWR _40527_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_187_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47083_ _55855_/A _47083_/B VGND VGND VPWR VPWR _47083_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_508_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71347_ _71376_/A _71376_/B _68279_/A VGND VGND VPWR VPWR _71347_/Y sky130_fd_sc_hd__nand3_2
+X_59069_ _54251_/A _59362_/B VGND VGND VPWR VPWR _59070_/C sky130_fd_sc_hd__nor2_2
+XFILLER_16_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44295_ _44278_/A _44295_/B VGND VGND VPWR VPWR _79242_/D sky130_fd_sc_hd__nor2_2
+XFILLER_177_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79992_ _80121_/CLK _39975_/Y VGND VGND VPWR VPWR _79992_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_654_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_589_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61100_ _60778_/A _61095_/Y _61099_/Y VGND VGND VPWR VPWR _61100_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_712_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_374_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_255_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46034_ _46033_/X VGND VGND VPWR VPWR _46035_/A sky130_fd_sc_hd__buf_1
+XFILLER_556_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_456_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_357_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43246_ _43244_/A VGND VGND VPWR VPWR _43359_/B sky130_fd_sc_hd__buf_1
+XFILLER_126_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74066_ _74014_/A VGND VGND VPWR VPWR _74067_/A sky130_fd_sc_hd__buf_1
+X_62080_ _62080_/A VGND VGND VPWR VPWR _62253_/A sky130_fd_sc_hd__buf_1
+X_78943_ _78903_/CLK _78943_/D VGND VGND VPWR VPWR _43195_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_14140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40458_ _40449_/A _40443_/B _66667_/A VGND VGND VPWR VPWR _40459_/B sky130_fd_sc_hd__nand3_2
+XFILLER_138_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71278_ _70870_/X _71289_/A VGND VGND VPWR VPWR _71278_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_537_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61031_ _77400_/Q _60403_/B VGND VGND VPWR VPWR _61032_/C sky130_fd_sc_hd__nor2_2
+XFILLER_534_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73017_ _42912_/A _73002_/B VGND VGND VPWR VPWR _73018_/B sky130_fd_sc_hd__or2_2
+XFILLER_671_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70229_ _70229_/A VGND VGND VPWR VPWR _70319_/A sky130_fd_sc_hd__buf_1
+XPHY_23973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43177_ _43178_/A _46168_/B _43177_/C VGND VGND VPWR VPWR _43177_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_190_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78874_ _78988_/Q _41865_/B _47230_/X VGND VGND VPWR VPWR _41865_/A sky130_fd_sc_hd__dfrtp_4
+XPHY_14195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40389_ _39757_/A VGND VGND VPWR VPWR _40390_/A sky130_fd_sc_hd__buf_1
+XPHY_23984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_177_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_354_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_64_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_589_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_315_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_opt_6_u_core.clock _79557_/CLK VGND VGND VPWR VPWR _79014_/CLK sky130_fd_sc_hd__clkbuf_16
+XPHY_13483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42128_ _42128_/A _42118_/B VGND VGND VPWR VPWR _42131_/A sky130_fd_sc_hd__nor2_2
+XFILLER_532_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77825_ _77755_/CLK _51383_/Y VGND VGND VPWR VPWR _61187_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_302_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47985_ _48021_/A _48000_/B VGND VGND VPWR VPWR _47985_/X sky130_fd_sc_hd__or2_2
+XFILLER_370_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_29_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_218_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_113_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49724_ _49696_/A _49730_/B VGND VGND VPWR VPWR _49724_/X sky130_fd_sc_hd__or2_2
+XPHY_30150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_68_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_116_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46936_ _46919_/A _46936_/B VGND VGND VPWR VPWR _78930_/D sky130_fd_sc_hd__nor2_2
+X_42059_ _71053_/A VGND VGND VPWR VPWR _42469_/A sky130_fd_sc_hd__buf_1
+XFILLER_387_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65770_ _81175_/Q _65770_/B VGND VGND VPWR VPWR _65770_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_171_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_211_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77756_ _77755_/CLK _77756_/D VGND VGND VPWR VPWR _77756_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_81_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62982_ _62822_/X _62982_/B _62982_/C VGND VGND VPWR VPWR _62986_/B sky130_fd_sc_hd__nor3_2
+X_74968_ _74968_/A VGND VGND VPWR VPWR _75005_/A sky130_fd_sc_hd__buf_1
+XFILLER_387_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_110_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_528_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_122_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_151_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_645_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_725_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64721_ _64475_/X _64721_/B _64721_/C VGND VGND VPWR VPWR _64726_/B sky130_fd_sc_hd__nor3_2
+XFILLER_567_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76707_ _79194_/CLK _76707_/D VGND VGND VPWR VPWR _70277_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_472_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61933_ _61933_/A _61469_/B VGND VGND VPWR VPWR _61933_/Y sky130_fd_sc_hd__nor2_2
+X_49655_ _49804_/A VGND VGND VPWR VPWR _49690_/A sky130_fd_sc_hd__buf_1
+XFILLER_214_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73919_ _73796_/A _73904_/B VGND VGND VPWR VPWR _73919_/X sky130_fd_sc_hd__or2_2
+XFILLER_387_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_268_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46867_ _46867_/A VGND VGND VPWR VPWR _46880_/A sky130_fd_sc_hd__buf_1
+XFILLER_485_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77687_ _77679_/CLK _51909_/Y VGND VGND VPWR VPWR _77687_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74899_ _75380_/A _74894_/B VGND VGND VPWR VPWR _74899_/X sky130_fd_sc_hd__or2_2
+XFILLER_416_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_256_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_67_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48606_ _48604_/Y _48606_/B VGND VGND VPWR VPWR _78549_/D sky130_fd_sc_hd__nand2_2
+XFILLER_545_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67440_ _67777_/A _67440_/B _67440_/C VGND VGND VPWR VPWR _67440_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_724_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79426_ _79426_/CLK _42950_/Y VGND VGND VPWR VPWR _57517_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_168_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45818_ _70321_/X VGND VGND VPWR VPWR _45818_/Y sky130_fd_sc_hd__inv_8
+XFILLER_665_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64652_ _64226_/X VGND VGND VPWR VPWR _65339_/A sky130_fd_sc_hd__buf_1
+XFILLER_329_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_23_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76638_ _76684_/CLK _76638_/D VGND VGND VPWR VPWR _55856_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_506_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_381_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61864_ _61842_/Y _61864_/B VGND VGND VPWR VPWR _61865_/B sky130_fd_sc_hd__nor2_2
+X_49586_ _49585_/X VGND VGND VPWR VPWR _49587_/B sky130_fd_sc_hd__buf_1
+XFILLER_604_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46798_ _46707_/B VGND VGND VPWR VPWR _46799_/B sky130_fd_sc_hd__inv_8
+XFILLER_364_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_110_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_661_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_58_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_286_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_424_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63603_ _59092_/X _63595_/Y _63602_/Y VGND VGND VPWR VPWR _63603_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_599_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_36_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60815_ _60352_/A _60815_/B _60815_/C VGND VGND VPWR VPWR _60822_/B sky130_fd_sc_hd__nor3_2
+XFILLER_453_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_266_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48537_ _48537_/A _48537_/B VGND VGND VPWR VPWR _48537_/Y sky130_fd_sc_hd__nand2_2
+X_67371_ _67371_/A VGND VGND VPWR VPWR _67372_/B sky130_fd_sc_hd__buf_1
+XFILLER_579_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79357_ _79589_/CLK _79357_/D VGND VGND VPWR VPWR _58005_/A sky130_fd_sc_hd__dfxtp_4
+X_45749_ _45749_/A _45749_/B _45749_/C VGND VGND VPWR VPWR _45749_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_208_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64583_ _68130_/A VGND VGND VPWR VPWR _64923_/B sky130_fd_sc_hd__buf_1
+XFILLER_222_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76569_ VGND VGND VPWR VPWR _76569_/HI la_data_out[65] sky130_fd_sc_hd__conb_1
+XFILLER_463_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61795_ _59421_/A VGND VGND VPWR VPWR _61795_/X sky130_fd_sc_hd__buf_1
+XFILLER_604_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69110_ _69110_/A _69110_/B _69110_/C VGND VGND VPWR VPWR _69111_/C sky130_fd_sc_hd__nor3_2
+XFILLER_227_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_440_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_3050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66322_ _65497_/A VGND VGND VPWR VPWR _66329_/A sky130_fd_sc_hd__buf_1
+XFILLER_381_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_282_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78308_ _78281_/CLK _78308_/D VGND VGND VPWR VPWR _78308_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_522_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39270_ _39123_/A _39283_/B VGND VGND VPWR VPWR _39274_/A sky130_fd_sc_hd__or2_2
+XFILLER_209_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63534_ _63534_/A _63226_/B VGND VGND VPWR VPWR _63535_/C sky130_fd_sc_hd__nor2_2
+XFILLER_424_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60746_ _60746_/A _60442_/B VGND VGND VPWR VPWR _60746_/Y sky130_fd_sc_hd__nor2_2
+X_48468_ _48468_/A _48467_/X VGND VGND VPWR VPWR _78586_/D sky130_fd_sc_hd__nand2_2
+XFILLER_561_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_405_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79288_ _79285_/CLK _79288_/D VGND VGND VPWR VPWR _43859_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_75_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_613_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38221_ _38221_/A _38212_/B VGND VGND VPWR VPWR _38221_/X sky130_fd_sc_hd__or2_2
+XFILLER_422_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69041_ _69041_/A _68723_/B VGND VGND VPWR VPWR _69042_/C sky130_fd_sc_hd__nor2_2
+XFILLER_719_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_424_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47419_ _48828_/A VGND VGND VPWR VPWR _47424_/A sky130_fd_sc_hd__buf_1
+X_66253_ _68722_/A VGND VGND VPWR VPWR _66253_/X sky130_fd_sc_hd__buf_1
+X_78239_ _77699_/CLK _49819_/Y VGND VGND VPWR VPWR _62068_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63465_ _62804_/X _63464_/Y VGND VGND VPWR VPWR _63465_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_51_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_457_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60677_ _60677_/A _61303_/B VGND VGND VPWR VPWR _60677_/Y sky130_fd_sc_hd__nor2_2
+X_48399_ _48396_/Y _48398_/X VGND VGND VPWR VPWR _78604_/D sky130_fd_sc_hd__nand2_2
+XFILLER_504_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_656_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_127_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65204_ _65204_/A _65204_/B VGND VGND VPWR VPWR _65204_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_573_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50430_ _50877_/A VGND VGND VPWR VPWR _50430_/X sky130_fd_sc_hd__buf_1
+X_62416_ _61793_/A _62416_/B _62415_/Y VGND VGND VPWR VPWR _62416_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_602_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81250_ _81273_/CLK _81250_/D VGND VGND VPWR VPWR _81250_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_500_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_637_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66184_ _80057_/Q _65849_/B VGND VGND VPWR VPWR _66186_/B sky130_fd_sc_hd__nor2_2
+XFILLER_539_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63396_ _63810_/A _63391_/Y _63395_/Y VGND VGND VPWR VPWR _63396_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_17_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_379_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_203_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_108_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_127_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80201_ _80200_/CLK _80201_/D VGND VGND VPWR VPWR _68834_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_320_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65135_ _65135_/A VGND VGND VPWR VPWR _65311_/B sky130_fd_sc_hd__buf_1
+XFILLER_397_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_594_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50361_ _61845_/A _50343_/B VGND VGND VPWR VPWR _50363_/A sky130_fd_sc_hd__nand2_2
+XFILLER_359_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_3023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62347_ _59990_/A VGND VGND VPWR VPWR _62350_/A sky130_fd_sc_hd__buf_1
+XFILLER_108_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81181_ _81190_/CLK _81181_/D VGND VGND VPWR VPWR _81181_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_719_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_515_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_140_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_572_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52100_ _52044_/A _52112_/B VGND VGND VPWR VPWR _52100_/X sky130_fd_sc_hd__or2_2
+XFILLER_375_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_34_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_160_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80132_ _80163_/CLK _80132_/D VGND VGND VPWR VPWR _80132_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_30_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53080_ _54991_/A _53052_/B VGND VGND VPWR VPWR _53106_/B sky130_fd_sc_hd__or2_2
+XFILLER_178_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65066_ _65589_/A _65066_/B _65065_/Y VGND VGND VPWR VPWR _65067_/C sky130_fd_sc_hd__nor3_2
+X_69943_ _69614_/A _69943_/B _69942_/X VGND VGND VPWR VPWR _70815_/B sky130_fd_sc_hd__and3_2
+XFILLER_650_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50292_ _78114_/Q _50284_/X VGND VGND VPWR VPWR _50292_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_69_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62278_ _59023_/X VGND VGND VPWR VPWR _62281_/A sky130_fd_sc_hd__buf_1
+XFILLER_238_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_687_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_418_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_451_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_277_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52031_ _52060_/A _52027_/B VGND VGND VPWR VPWR _52031_/X sky130_fd_sc_hd__or2_2
+XFILLER_353_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64017_ _58992_/X _64015_/Y _64017_/C VGND VGND VPWR VPWR _64021_/B sky130_fd_sc_hd__nor3_2
+XFILLER_273_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_145_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61229_ _61074_/A _61229_/B _61228_/Y VGND VGND VPWR VPWR _61229_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_334_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80063_ _80094_/CLK _39676_/Y VGND VGND VPWR VPWR _57657_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_668_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69874_ _76820_/Q VGND VGND VPWR VPWR _69877_/A sky130_fd_sc_hd__inv_8
+XFILLER_631_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_247_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68825_ _80137_/Q _68825_/B VGND VGND VPWR VPWR _68828_/B sky130_fd_sc_hd__nor2_2
+XFILLER_353_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_278_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38985_ _38984_/X VGND VGND VPWR VPWR _38998_/B sky130_fd_sc_hd__buf_1
+XFILLER_683_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_416_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_588_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_119_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56770_ _57094_/A _56770_/B _56770_/C VGND VGND VPWR VPWR _56774_/B sky130_fd_sc_hd__nor3_2
+XFILLER_464_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68756_ _72614_/C _69344_/B VGND VGND VPWR VPWR _68756_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_331_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53982_ _60203_/A _53978_/B VGND VGND VPWR VPWR _53984_/A sky130_fd_sc_hd__nand2_2
+XFILLER_566_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65968_ _65644_/A _65965_/Y _65968_/C VGND VGND VPWR VPWR _65973_/B sky130_fd_sc_hd__nor3_2
+XFILLER_637_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_287_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_707_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55721_ _55481_/B _55648_/A VGND VGND VPWR VPWR _55722_/B sky130_fd_sc_hd__or2_2
+XFILLER_648_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67707_ _66883_/A VGND VGND VPWR VPWR _67716_/A sky130_fd_sc_hd__buf_1
+XFILLER_115_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52933_ _51271_/A VGND VGND VPWR VPWR _53047_/A sky130_fd_sc_hd__buf_1
+XFILLER_302_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_288_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64919_ _81170_/Q _64919_/B VGND VGND VPWR VPWR _64921_/B sky130_fd_sc_hd__nor2_2
+XFILLER_664_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_644_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_581_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68687_ _68687_/A _68687_/B VGND VGND VPWR VPWR _68687_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_585_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80965_ _80969_/CLK _80965_/D VGND VGND VPWR VPWR _80965_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_189_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_167_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_174 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_661_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65899_ _65899_/A _66556_/B VGND VGND VPWR VPWR _65899_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_626_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_490_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_3097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39606_ _39243_/A _39498_/A VGND VGND VPWR VPWR _39606_/X sky130_fd_sc_hd__or2_2
+XFILLER_436_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_663_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58440_ _58516_/A _58440_/B _58440_/C VGND VGND VPWR VPWR _58445_/B sky130_fd_sc_hd__nor3_2
+XFILLER_540_3005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67638_ _75756_/C _67803_/B VGND VGND VPWR VPWR _67639_/C sky130_fd_sc_hd__nor2_2
+XFILLER_429_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55652_ _55426_/A _55320_/A _55651_/Y VGND VGND VPWR VPWR _55652_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_629_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52864_ _52893_/A _52870_/B VGND VGND VPWR VPWR _52864_/X sky130_fd_sc_hd__or2_2
+XFILLER_95_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_724_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_74_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80896_ _80902_/CLK _74602_/Y VGND VGND VPWR VPWR _80896_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_509_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_189_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_407_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_100_0_u_core.clock clkbuf_8_50_0_u_core.clock/X VGND VGND VPWR VPWR _77061_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_41_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_3_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54603_ _59286_/A _54600_/B VGND VGND VPWR VPWR _54603_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_100_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51815_ _51729_/A _51818_/B VGND VGND VPWR VPWR _51815_/X sky130_fd_sc_hd__or2_2
+X_39537_ _39550_/A _39550_/B _58054_/A VGND VGND VPWR VPWR _39538_/B sky130_fd_sc_hd__nand3_2
+X_58371_ _80232_/Q _58137_/B VGND VGND VPWR VPWR _58373_/B sky130_fd_sc_hd__nor2_2
+XFILLER_489_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55583_ _55600_/A _55581_/Y _55583_/C VGND VGND VPWR VPWR _55583_/X sky130_fd_sc_hd__or3_2
+XFILLER_611_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67569_ _67745_/A _67569_/B _67568_/Y VGND VGND VPWR VPWR _67578_/B sky130_fd_sc_hd__nor3_2
+XFILLER_382_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52795_ _52880_/A _52810_/B VGND VGND VPWR VPWR _52795_/X sky130_fd_sc_hd__or2_2
+XFILLER_329_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_110_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_462_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_227_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_254_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57322_ _57721_/A VGND VGND VPWR VPWR _57645_/B sky130_fd_sc_hd__buf_1
+X_69308_ _69308_/A _68709_/B VGND VGND VPWR VPWR _69308_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_509_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_145_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_403_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54534_ _54683_/A VGND VGND VPWR VPWR _54652_/A sky130_fd_sc_hd__buf_1
+XFILLER_364_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_249_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51746_ _51712_/A _51761_/B VGND VGND VPWR VPWR _51746_/X sky130_fd_sc_hd__or2_2
+XFILLER_401_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39468_ _39460_/X _39452_/X _65690_/A VGND VGND VPWR VPWR _39469_/B sky130_fd_sc_hd__nand3_2
+XPHY_18439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70580_ _70580_/A _69754_/A _70675_/C VGND VGND VPWR VPWR _70580_/X sky130_fd_sc_hd__and3_2
+XFILLER_265_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_226_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_39_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_404_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_163_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_223_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_55 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_126_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38419_ _38416_/X _38418_/Y VGND VGND VPWR VPWR _38419_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_505_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57253_ _57253_/A _57167_/B VGND VGND VPWR VPWR _57253_/Y sky130_fd_sc_hd__nor2_2
+X_81517_ _81513_/CLK _81517_/D VGND VGND VPWR VPWR _69367_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_180_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69239_ _80620_/Q _64682_/B VGND VGND VPWR VPWR _69240_/C sky130_fd_sc_hd__nor2_2
+XFILLER_423_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54465_ _54462_/Y _54464_/X VGND VGND VPWR VPWR _77012_/D sky130_fd_sc_hd__nand2_2
+XFILLER_14_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39399_ _39399_/A _39413_/B _80137_/Q VGND VGND VPWR VPWR _39399_/Y sky130_fd_sc_hd__nand3_2
+X_51677_ _51677_/A _51676_/X VGND VGND VPWR VPWR _51680_/A sky130_fd_sc_hd__nand2_2
+XFILLER_58_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_180_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_403_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_282_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56204_ _56826_/A VGND VGND VPWR VPWR _56265_/A sky130_fd_sc_hd__buf_1
+XPHY_99 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_36_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41430_ _41047_/X _41430_/B VGND VGND VPWR VPWR _41430_/X sky130_fd_sc_hd__or2_2
+XFILLER_70_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53416_ _77290_/Q _53413_/B VGND VGND VPWR VPWR _53416_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_52_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_216_0_u_core.clock clkbuf_9_217_0_u_core.clock/A VGND VGND VPWR VPWR _79193_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_35139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72250_ _74746_/A VGND VGND VPWR VPWR _72251_/A sky130_fd_sc_hd__buf_1
+XFILLER_423_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_579_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50628_ _50628_/A _50628_/B VGND VGND VPWR VPWR _78024_/D sky130_fd_sc_hd__nand2_2
+X_57184_ _57184_/A _57184_/B VGND VGND VPWR VPWR _57186_/B sky130_fd_sc_hd__nor2_2
+XPHY_34405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81448_ _81203_/CLK _81448_/D VGND VGND VPWR VPWR _68586_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_1923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54396_ _77030_/Q _54396_/B VGND VGND VPWR VPWR _54396_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_718_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71201_ _71198_/A _71159_/B VGND VGND VPWR VPWR _71201_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_50_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56135_ _56153_/A _45292_/B VGND VGND VPWR VPWR _56135_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_551_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_167_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41361_ _41358_/X _41361_/B VGND VGND VPWR VPWR _41361_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_655_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53347_ _53347_/A VGND VGND VPWR VPWR _53647_/A sky130_fd_sc_hd__buf_1
+X_72181_ _74011_/A VGND VGND VPWR VPWR _72728_/A sky130_fd_sc_hd__buf_1
+XFILLER_377_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50559_ _50546_/X VGND VGND VPWR VPWR _50572_/B sky130_fd_sc_hd__buf_1
+X_81379_ _81346_/CLK _81379_/D VGND VGND VPWR VPWR _67766_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_376_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_104_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43100_ _43097_/X _43100_/B VGND VGND VPWR VPWR _43101_/B sky130_fd_sc_hd__nand2_2
+XPHY_33737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_385_0_u_core.clock clkbuf_8_192_0_u_core.clock/X VGND VGND VPWR VPWR _81211_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_40312_ _40310_/X _40312_/B VGND VGND VPWR VPWR _40312_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71132_ _71123_/Y _71127_/X _71131_/Y VGND VGND VPWR VPWR _71132_/X sky130_fd_sc_hd__or3_2
+XFILLER_139_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_328_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44080_ _44060_/A _44059_/Y VGND VGND VPWR VPWR _44080_/X sky130_fd_sc_hd__or2_2
+XFILLER_104_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56066_ _45105_/Y _55974_/B VGND VGND VPWR VPWR _56068_/B sky130_fd_sc_hd__nor2_2
+XFILLER_545_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_41292_ _41284_/A _41277_/B _41292_/C VGND VGND VPWR VPWR _41293_/B sky130_fd_sc_hd__nand3_2
+XFILLER_210_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53278_ _54935_/B VGND VGND VPWR VPWR _53278_/X sky130_fd_sc_hd__buf_1
+XFILLER_372_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_193_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43031_ _43028_/X _43030_/X VGND VGND VPWR VPWR _43063_/A sky130_fd_sc_hd__nand2_2
+XFILLER_456_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55017_ _54989_/A _55001_/A VGND VGND VPWR VPWR _55017_/X sky130_fd_sc_hd__or2_2
+XFILLER_69_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40243_ _40243_/A _40243_/B VGND VGND VPWR VPWR _40243_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_332_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_551_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52229_ _52161_/A _52247_/B VGND VGND VPWR VPWR _52230_/B sky130_fd_sc_hd__or2_2
+X_75940_ _75940_/A _75937_/B VGND VGND VPWR VPWR _75940_/X sky130_fd_sc_hd__or2_2
+XFILLER_555_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71063_ _71063_/A VGND VGND VPWR VPWR _71065_/A sky130_fd_sc_hd__inv_8
+XFILLER_712_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_469_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_317_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_491_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_3028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70014_ _44982_/A _70014_/B VGND VGND VPWR VPWR _70015_/B sky130_fd_sc_hd__nand2_2
+XPHY_12034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59825_ _59825_/A _59823_/Y _59825_/C VGND VGND VPWR VPWR _59826_/C sky130_fd_sc_hd__nor3_2
+XPHY_11300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40174_ _40184_/A _40184_/B _57947_/A VGND VGND VPWR VPWR _40174_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_336_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_458_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75871_ _75884_/A _75881_/B _75871_/C VGND VGND VPWR VPWR _75872_/B sky130_fd_sc_hd__nand3_2
+XPHY_22579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_686_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_458_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_458_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77610_ _77065_/CLK _77610_/D VGND VGND VPWR VPWR _77610_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_340_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74822_ _74834_/A VGND VGND VPWR VPWR _74831_/B sky130_fd_sc_hd__buf_1
+XFILLER_497_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47770_ _47741_/A _47776_/B VGND VGND VPWR VPWR _47770_/X sky130_fd_sc_hd__or2_2
+XFILLER_649_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59756_ _59756_/A VGND VGND VPWR VPWR _59763_/A sky130_fd_sc_hd__buf_1
+XPHY_10610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78590_ _78608_/CLK _78590_/D VGND VGND VPWR VPWR _63124_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_330_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44982_ _44982_/A _45069_/B VGND VGND VPWR VPWR _45018_/B sky130_fd_sc_hd__nor2_2
+X_56968_ _65637_/A _56643_/B VGND VGND VPWR VPWR _56968_/Y sky130_fd_sc_hd__nor2_2
+XPHY_21889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_172_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_2_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46721_ _43069_/B _46720_/X VGND VGND VPWR VPWR _46721_/Y sky130_fd_sc_hd__nor2_2
+XPHY_10643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58707_ _69221_/A _58707_/B VGND VGND VPWR VPWR _58709_/B sky130_fd_sc_hd__nor2_2
+XFILLER_568_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77541_ _78535_/CLK _77541_/D VGND VGND VPWR VPWR _60474_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_466_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43933_ _43941_/A _43927_/Y _43933_/C VGND VGND VPWR VPWR _43933_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_384_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55919_ _43666_/A _55919_/B VGND VGND VPWR VPWR _55920_/B sky130_fd_sc_hd__nor2_2
+X_74753_ _74749_/A _74749_/B _80861_/Q VGND VGND VPWR VPWR _74753_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_289_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_725_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71965_ _71817_/A _71963_/X _71964_/Y VGND VGND VPWR VPWR _71965_/Y sky130_fd_sc_hd__nor3_2
+X_59687_ _59687_/A _59685_/Y _59686_/Y VGND VGND VPWR VPWR _59687_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_323_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_707_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56899_ _56899_/A _56809_/B VGND VGND VPWR VPWR _56901_/B sky130_fd_sc_hd__nor2_2
+XFILLER_666_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_549_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73704_ _73947_/A _73712_/B VGND VGND VPWR VPWR _73708_/A sky130_fd_sc_hd__or2_2
+X_49440_ _78337_/Q _49436_/B VGND VGND VPWR VPWR _49440_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_185_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_644_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46652_ _46652_/A _42409_/Y VGND VGND VPWR VPWR _46652_/Y sky130_fd_sc_hd__nor2_2
+X_70916_ _70846_/X VGND VGND VPWR VPWR _70917_/B sky130_fd_sc_hd__inv_8
+XPHY_10698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58638_ _58638_/A _58705_/B VGND VGND VPWR VPWR _58639_/C sky130_fd_sc_hd__nor2_2
+X_77472_ _77444_/CLK _52716_/Y VGND VGND VPWR VPWR _60895_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_660_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43864_ _43860_/X _43862_/Y _43864_/C VGND VGND VPWR VPWR _43864_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_213_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74684_ _74696_/A VGND VGND VPWR VPWR _74733_/A sky130_fd_sc_hd__buf_1
+XFILLER_267_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_237_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_428_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71896_ _71896_/A VGND VGND VPWR VPWR _71897_/B sky130_fd_sc_hd__inv_8
+XFILLER_643_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_111_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_699_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79211_ _81212_/CLK _44610_/Y VGND VGND VPWR VPWR _44606_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_265_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45603_ _45603_/A _45596_/B VGND VGND VPWR VPWR _45603_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_252_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_660_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76423_ _76419_/A _76426_/B _66245_/A VGND VGND VPWR VPWR _76423_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_261_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42815_ _64094_/A _42633_/A VGND VGND VPWR VPWR _42820_/A sky130_fd_sc_hd__nor2_2
+XFILLER_399_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49371_ _53193_/A _55795_/A VGND VGND VPWR VPWR _49397_/B sky130_fd_sc_hd__or2_2
+X_73635_ _73635_/A _73635_/B VGND VGND VPWR VPWR _73635_/X sky130_fd_sc_hd__or2_2
+XFILLER_425_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46583_ _46583_/A _46583_/B _46582_/Y VGND VGND VPWR VPWR _46583_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_605_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58569_ _58569_/A _58565_/Y _58569_/C VGND VGND VPWR VPWR _58569_/Y sky130_fd_sc_hd__nor3_2
+X_70847_ _70647_/A _70601_/Y VGND VGND VPWR VPWR _70848_/A sky130_fd_sc_hd__or2_2
+XFILLER_406_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43795_ _43686_/Y _43795_/B VGND VGND VPWR VPWR _43797_/B sky130_fd_sc_hd__and2_2
+XPHY_5250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_59_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_189_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_18_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48322_ _48318_/Y _48321_/X VGND VGND VPWR VPWR _78623_/D sky130_fd_sc_hd__nand2_2
+X_60600_ _60600_/A _60286_/B VGND VGND VPWR VPWR _60602_/B sky130_fd_sc_hd__nor2_2
+XFILLER_324_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79142_ _78317_/CLK _79142_/D VGND VGND VPWR VPWR _70428_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_703_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45534_ _45460_/A _44958_/B _45016_/A VGND VGND VPWR VPWR _45534_/Y sky130_fd_sc_hd__nor3_2
+X_76354_ _76392_/A VGND VGND VPWR VPWR _76371_/A sky130_fd_sc_hd__buf_1
+XPHY_5283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_280_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42746_ _69998_/A _42704_/B VGND VGND VPWR VPWR _42758_/B sky130_fd_sc_hd__nor2_2
+XFILLER_222_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73566_ _73807_/A _73560_/B VGND VGND VPWR VPWR _73569_/A sky130_fd_sc_hd__or2_2
+XFILLER_441_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61580_ _61242_/X _61579_/Y VGND VGND VPWR VPWR _61580_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_701_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_346_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_440 _59199_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_79_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70778_ _70778_/A _70778_/B VGND VGND VPWR VPWR _70778_/X sky130_fd_sc_hd__and2_2
+XFILLER_33_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_543_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_233_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_451 _60236_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_567_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_3070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75305_ _75183_/A _75313_/B VGND VGND VPWR VPWR _75305_/X sky130_fd_sc_hd__or2_2
+XPHY_19696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_462 _62080_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_410_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48253_ _48251_/Y _48253_/B VGND VGND VPWR VPWR _48253_/Y sky130_fd_sc_hd__nand2_2
+X_60531_ _60531_/A _59892_/B VGND VGND VPWR VPWR _60531_/Y sky130_fd_sc_hd__nor2_2
+XPHY_4582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72517_ _72509_/X _72521_/B _67590_/A VGND VGND VPWR VPWR _72517_/Y sky130_fd_sc_hd__nand3_2
+XPHY_18962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79073_ _79074_/CLK _79073_/D VGND VGND VPWR VPWR _41857_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_162_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_473 _64070_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45465_ _45497_/A VGND VGND VPWR VPWR _45489_/B sky130_fd_sc_hd__buf_1
+XPHY_36330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76285_ _76291_/A _76291_/B _80479_/Q VGND VGND VPWR VPWR _76285_/Y sky130_fd_sc_hd__nand3_2
+XPHY_4593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42677_ _42645_/A _42676_/X VGND VGND VPWR VPWR _42677_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_673_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73497_ _74944_/A _73497_/B _64572_/A VGND VGND VPWR VPWR _73499_/A sky130_fd_sc_hd__nand3_2
+XANTENNA_484 _64591_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_144_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_495 _64367_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_31_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47204_ _47106_/B VGND VGND VPWR VPWR _47205_/B sky130_fd_sc_hd__inv_8
+XPHY_36363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78024_ _78048_/CLK _78024_/D VGND VGND VPWR VPWR _62190_/A sky130_fd_sc_hd__dfxtp_4
+X_44416_ _44416_/A VGND VGND VPWR VPWR _44468_/A sky130_fd_sc_hd__buf_1
+XPHY_3881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63250_ _62469_/A VGND VGND VPWR VPWR _63821_/A sky130_fd_sc_hd__buf_1
+XFILLER_341_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75236_ _75239_/A _75225_/X _80737_/Q VGND VGND VPWR VPWR _75236_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_92_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41628_ _41626_/X _41628_/B VGND VGND VPWR VPWR _41628_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_72_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60462_ _60462_/A _60141_/B VGND VGND VPWR VPWR _60462_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_296_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48184_ _78657_/Q _48172_/X VGND VGND VPWR VPWR _48186_/A sky130_fd_sc_hd__nand2_2
+X_72448_ _72448_/A _72448_/B VGND VGND VPWR VPWR _81428_/D sky130_fd_sc_hd__nand2_2
+XPHY_3892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_242_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45396_ _45396_/A _45107_/B VGND VGND VPWR VPWR _45398_/A sky130_fd_sc_hd__or2_2
+XFILLER_105_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_458_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_576_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_319_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_376_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62201_ _62044_/A _62201_/B _62201_/C VGND VGND VPWR VPWR _62205_/B sky130_fd_sc_hd__nor3_2
+XPHY_35662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_50_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_302_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47135_ _47135_/A VGND VGND VPWR VPWR _47149_/B sky130_fd_sc_hd__buf_1
+XFILLER_651_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_591_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44347_ _44531_/A VGND VGND VPWR VPWR _44347_/Y sky130_fd_sc_hd__inv_8
+X_63181_ _63181_/A _63178_/Y _63181_/C VGND VGND VPWR VPWR _63181_/Y sky130_fd_sc_hd__nor3_2
+XPHY_25150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_419_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75167_ _75166_/X _75159_/X _80755_/Q VGND VGND VPWR VPWR _75167_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_372_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41559_ _41548_/X _41559_/B _79587_/Q VGND VGND VPWR VPWR _41559_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_35_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60393_ _59160_/A VGND VGND VPWR VPWR _60394_/A sky130_fd_sc_hd__buf_1
+XFILLER_457_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72379_ _72379_/A _72378_/Y VGND VGND VPWR VPWR _81447_/D sky130_fd_sc_hd__nand2_2
+XFILLER_40_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_158_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62132_ _61665_/A _62132_/B _62132_/C VGND VGND VPWR VPWR _62133_/C sky130_fd_sc_hd__nor3_2
+XPHY_34972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74118_ _74137_/A _74118_/B _65610_/A VGND VGND VPWR VPWR _74118_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_122_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_439_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47066_ _47043_/A _47064_/Y _47066_/C VGND VGND VPWR VPWR _47067_/B sky130_fd_sc_hd__or3_2
+XFILLER_536_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_651_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44278_ _44278_/A _44277_/X VGND VGND VPWR VPWR _44278_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_493_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_669_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75098_ _75341_/A _75101_/B VGND VGND VPWR VPWR _75100_/A sky130_fd_sc_hd__or2_2
+X_79975_ _79961_/CLK _79975_/D VGND VGND VPWR VPWR _79975_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_316_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_654_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_122_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_645_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_103_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46017_ _46028_/A VGND VGND VPWR VPWR _46018_/A sky130_fd_sc_hd__buf_1
+XFILLER_259_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43229_ _79021_/Q _43224_/B VGND VGND VPWR VPWR _43229_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_645_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66940_ _66283_/A _66940_/B _66940_/C VGND VGND VPWR VPWR _66940_/Y sky130_fd_sc_hd__nor3_2
+X_62063_ _61581_/A _62063_/B _62062_/Y VGND VGND VPWR VPWR _62063_/Y sky130_fd_sc_hd__nor3_2
+X_74049_ _74049_/A VGND VGND VPWR VPWR _74723_/A sky130_fd_sc_hd__buf_1
+X_78926_ _78940_/CLK _78926_/D VGND VGND VPWR VPWR _46965_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_689_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_413_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_127_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_126_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_532_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_474_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_318_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_489_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_303_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61014_ _59421_/A VGND VGND VPWR VPWR _61167_/B sky130_fd_sc_hd__buf_1
+XPHY_23792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_174_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_154_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66871_ _66871_/A _66868_/Y _66870_/Y VGND VGND VPWR VPWR _67028_/C sky130_fd_sc_hd__or3_2
+XPHY_13280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78857_ _78849_/CLK _78857_/D VGND VGND VPWR VPWR _63655_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_86_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_141_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_272_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_530_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_589_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68610_ _58410_/A _68610_/B VGND VGND VPWR VPWR _68610_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_269_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_666_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65822_ _65497_/X _65818_/Y _65822_/C VGND VGND VPWR VPWR _65823_/C sky130_fd_sc_hd__nor3_2
+XFILLER_60_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77808_ _77814_/CLK _51458_/Y VGND VGND VPWR VPWR _51456_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_42_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38770_ _38503_/A _38770_/B VGND VGND VPWR VPWR _38770_/X sky130_fd_sc_hd__or2_2
+XFILLER_135_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69590_ _69582_/A _69586_/Y _69588_/Y _69589_/Y VGND VGND VPWR VPWR _69594_/A sky130_fd_sc_hd__o22a_4
+XFILLER_190_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47968_ _63157_/A _47971_/B VGND VGND VPWR VPWR _47970_/A sky130_fd_sc_hd__nand2_2
+XFILLER_151_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78788_ _78766_/CLK _78788_/D VGND VGND VPWR VPWR _64039_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_708_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_214_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_687_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_256_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49707_ _53529_/A _49679_/B VGND VGND VPWR VPWR _49710_/A sky130_fd_sc_hd__or2_2
+X_68541_ _68541_/A _68367_/B VGND VGND VPWR VPWR _68541_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_491_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65753_ _65598_/A _65751_/Y _65753_/C VGND VGND VPWR VPWR _65753_/Y sky130_fd_sc_hd__nor3_2
+X_46919_ _46919_/A _46919_/B VGND VGND VPWR VPWR _46919_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_626_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77739_ _77235_/CLK _77739_/D VGND VGND VPWR VPWR _77739_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_563_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_68_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62965_ _48825_/A _63110_/B VGND VGND VPWR VPWR _62967_/B sky130_fd_sc_hd__nor2_2
+XFILLER_95_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47899_ _47899_/A _47898_/X VGND VGND VPWR VPWR _78730_/D sky130_fd_sc_hd__nand2_2
+XFILLER_692_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_151_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_417_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_472_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64704_ _64704_/A _64704_/B VGND VGND VPWR VPWR _64704_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_96_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_725_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61916_ _61916_/A _61916_/B _61915_/Y VGND VGND VPWR VPWR _61916_/Y sky130_fd_sc_hd__nor3_2
+X_49638_ _49638_/A _49625_/X VGND VGND VPWR VPWR _49638_/X sky130_fd_sc_hd__or2_2
+XFILLER_42_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80750_ _80714_/CLK _75188_/Y VGND VGND VPWR VPWR _75187_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_509_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68472_ _79943_/Q _68302_/B VGND VGND VPWR VPWR _68474_/B sky130_fd_sc_hd__nor2_2
+XFILLER_721_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65684_ _65346_/X _65684_/B _65683_/Y VGND VGND VPWR VPWR _65684_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_231_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_249_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62896_ _63049_/A _62894_/Y _62896_/C VGND VGND VPWR VPWR _62896_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_708_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_417_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_285_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67423_ _67423_/A _66767_/B VGND VGND VPWR VPWR _67423_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_77_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79409_ _79540_/CLK _43010_/Y VGND VGND VPWR VPWR _79409_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_168_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64635_ _56466_/A _69466_/B VGND VGND VPWR VPWR _64635_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_541_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_424_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_472_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61847_ _78174_/Q _62309_/B VGND VGND VPWR VPWR _61848_/C sky130_fd_sc_hd__nor2_2
+XFILLER_428_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49569_ _49569_/A _49568_/X VGND VGND VPWR VPWR _78306_/D sky130_fd_sc_hd__nand2_2
+X_80681_ _80659_/CLK _80681_/D VGND VGND VPWR VPWR _68684_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_23_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_164_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_309_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_266_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_561_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51600_ _51616_/B VGND VGND VPWR VPWR _51613_/B sky130_fd_sc_hd__buf_1
+XFILLER_97_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_506_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39322_ _39304_/A VGND VGND VPWR VPWR _39323_/B sky130_fd_sc_hd__buf_1
+XFILLER_407_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_502_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_149_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67354_ _67353_/Y _66861_/X VGND VGND VPWR VPWR _67515_/A sky130_fd_sc_hd__nor2_2
+XFILLER_325_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52580_ _52580_/A _52579_/X VGND VGND VPWR VPWR _52580_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_586_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64566_ _64171_/A VGND VGND VPWR VPWR _68569_/A sky130_fd_sc_hd__buf_1
+XFILLER_326_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_227_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61778_ _78157_/Q _62089_/B VGND VGND VPWR VPWR _61779_/C sky130_fd_sc_hd__nor2_2
+XFILLER_624_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_621_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_604_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_412_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_600_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66305_ _57302_/A _65965_/B VGND VGND VPWR VPWR _66305_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_522_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39253_ _39255_/A VGND VGND VPWR VPWR _39262_/B sky130_fd_sc_hd__buf_1
+X_51531_ _51528_/Y _51531_/B VGND VGND VPWR VPWR _77788_/D sky130_fd_sc_hd__nand2_2
+XFILLER_209_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63517_ _63190_/X _63517_/B _63517_/C VGND VGND VPWR VPWR _63538_/A sky130_fd_sc_hd__nor3_2
+XFILLER_63_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_252_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60729_ _58879_/A VGND VGND VPWR VPWR _60800_/A sky130_fd_sc_hd__buf_1
+XFILLER_23_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67285_ _66612_/X _67281_/Y _67284_/Y VGND VGND VPWR VPWR _67294_/B sky130_fd_sc_hd__nor3_2
+XFILLER_244_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_574_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64497_ _64497_/A VGND VGND VPWR VPWR _67223_/A sky130_fd_sc_hd__buf_1
+XFILLER_320_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_399_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_342_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38204_ _76439_/X _38204_/B VGND VGND VPWR VPWR _38204_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_479_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69024_ _69024_/A _68369_/B VGND VGND VPWR VPWR _69025_/C sky130_fd_sc_hd__nor2_2
+X_81302_ _81106_/CLK _81302_/D VGND VGND VPWR VPWR _81302_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_691_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54250_ _54247_/Y _54249_/X VGND VGND VPWR VPWR _54250_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_162_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66236_ _80506_/Q _66403_/B VGND VGND VPWR VPWR _66237_/C sky130_fd_sc_hd__nor2_2
+XFILLER_574_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_719_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39184_ _39184_/A VGND VGND VPWR VPWR _39185_/A sky130_fd_sc_hd__buf_1
+X_51462_ _60720_/A _51465_/B VGND VGND VPWR VPWR _51462_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_162_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63448_ _62822_/X _63448_/B _63448_/C VGND VGND VPWR VPWR _63448_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_574_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_476_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_211_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_672_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53201_ _53201_/A _53200_/X VGND VGND VPWR VPWR _53201_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_672_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_149_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50413_ _62353_/A _50404_/B VGND VGND VPWR VPWR _50416_/A sky130_fd_sc_hd__nand2_2
+XFILLER_165_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81233_ _81201_/CLK _81233_/D VGND VGND VPWR VPWR _64763_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_339_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_20_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_654_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54181_ _54093_/A _54178_/B VGND VGND VPWR VPWR _54182_/B sky130_fd_sc_hd__or2_2
+XFILLER_162_1586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66167_ _66167_/A _66167_/B _66166_/Y VGND VGND VPWR VPWR _66171_/B sky130_fd_sc_hd__nor3_2
+XFILLER_105_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51393_ _60564_/A _51393_/B VGND VGND VPWR VPWR _51395_/A sky130_fd_sc_hd__nand2_2
+XFILLER_354_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63379_ _63059_/X _63377_/Y _63379_/C VGND VGND VPWR VPWR _63383_/B sky130_fd_sc_hd__nor3_2
+XFILLER_527_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_101_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_570_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_83_0_u_core.clock clkbuf_7_83_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_83_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_320_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_277_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_20_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_689_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_53_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53132_ _53130_/Y _53132_/B VGND VGND VPWR VPWR _77358_/D sky130_fd_sc_hd__nand2_2
+XFILLER_633_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65118_ _64264_/A _65118_/B _65117_/Y VGND VGND VPWR VPWR _65119_/C sky130_fd_sc_hd__nor3_2
+XFILLER_164_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50344_ _50341_/Y _50343_/X VGND VGND VPWR VPWR _50344_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_353_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_552_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81164_ _81166_/CLK _73519_/Y VGND VGND VPWR VPWR _69181_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_514_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66098_ _66258_/A _66098_/B _66097_/Y VGND VGND VPWR VPWR _66099_/C sky130_fd_sc_hd__nor3_2
+XFILLER_307_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_591_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_590_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_140_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_513_2715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_178_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_713_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80115_ _80073_/CLK _39480_/Y VGND VGND VPWR VPWR _65182_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_408_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65049_ _66718_/A VGND VGND VPWR VPWR _65734_/A sky130_fd_sc_hd__buf_1
+XFILLER_555_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53063_ _53063_/A _53072_/B VGND VGND VPWR VPWR _53063_/X sky130_fd_sc_hd__or2_2
+X_57940_ _58180_/A _57940_/B _57939_/Y VGND VGND VPWR VPWR _57940_/X sky130_fd_sc_hd__or3_2
+X_69926_ _69926_/A VGND VGND VPWR VPWR _69926_/X sky130_fd_sc_hd__buf_1
+XFILLER_175_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50275_ _50275_/A VGND VGND VPWR VPWR _50276_/A sky130_fd_sc_hd__buf_1
+XFILLER_258_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81095_ _80790_/CLK _73780_/Y VGND VGND VPWR VPWR _81095_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_69_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_380_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_418_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_689_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52014_ _52005_/A VGND VGND VPWR VPWR _52027_/B sky130_fd_sc_hd__buf_1
+XFILLER_314_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_47_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80046_ _80046_/CLK _80046_/D VGND VGND VPWR VPWR _39739_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_372_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_321_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_685_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57871_ _57714_/A _57869_/Y _57871_/C VGND VGND VPWR VPWR _57872_/C sky130_fd_sc_hd__nor3_2
+XFILLER_255_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_134_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69857_ _69857_/A _74663_/A VGND VGND VPWR VPWR _75825_/C sky130_fd_sc_hd__or2_2
+XPHY_9538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59610_ _59273_/A _59610_/B VGND VGND VPWR VPWR _59610_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_87_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56822_ _56982_/A _56821_/Y VGND VGND VPWR VPWR _56822_/Y sky130_fd_sc_hd__nor2_2
+XPHY_8826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68808_ _68305_/A _68806_/Y _68808_/C VGND VGND VPWR VPWR _68809_/C sky130_fd_sc_hd__nor3_2
+XFILLER_511_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38968_ _38600_/A _38968_/B VGND VGND VPWR VPWR _38968_/X sky130_fd_sc_hd__or2_2
+XFILLER_133_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69788_ _42129_/A _69593_/A _55895_/B VGND VGND VPWR VPWR _69792_/A sky130_fd_sc_hd__and3_2
+XFILLER_424_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_60_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_455_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59541_ _59541_/A _59541_/B VGND VGND VPWR VPWR _59541_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_494_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_275_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56753_ _56582_/X _56751_/Y _56752_/Y VGND VGND VPWR VPWR _56753_/Y sky130_fd_sc_hd__nor3_2
+X_68739_ _65442_/A VGND VGND VPWR VPWR _69335_/A sky130_fd_sc_hd__buf_1
+X_53965_ _53934_/A _53965_/B VGND VGND VPWR VPWR _53965_/X sky130_fd_sc_hd__or2_2
+XFILLER_43_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_314_1589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38899_ _38512_/A _38907_/B VGND VGND VPWR VPWR _38899_/X sky130_fd_sc_hd__or2_2
+XFILLER_683_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_82_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_546_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_87_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_21_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55704_ _55704_/A _55704_/B VGND VGND VPWR VPWR _55717_/A sky130_fd_sc_hd__nor2_2
+XFILLER_661_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40930_ _40917_/A _40924_/B _40930_/C VGND VGND VPWR VPWR _40930_/Y sky130_fd_sc_hd__nand3_2
+X_52916_ _51410_/A VGND VGND VPWR VPWR _53007_/A sky130_fd_sc_hd__buf_1
+X_59472_ _59471_/X VGND VGND VPWR VPWR _59797_/B sky130_fd_sc_hd__buf_1
+XFILLER_331_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71750_ _71750_/A _71484_/X VGND VGND VPWR VPWR _71750_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_8_115_0_u_core.clock clkbuf_7_57_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_231_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_388_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56684_ _56684_/A _56682_/Y _56684_/C VGND VGND VPWR VPWR _56685_/C sky130_fd_sc_hd__nor3_2
+XFILLER_284_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_249_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80948_ _80914_/CLK _80948_/D VGND VGND VPWR VPWR _80948_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_219_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53896_ _53896_/A _53896_/B VGND VGND VPWR VPWR _77162_/D sky130_fd_sc_hd__nand2_2
+Xclkbuf_9_175_0_u_core.clock clkbuf_8_87_0_u_core.clock/X VGND VGND VPWR VPWR _78940_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_625_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_210_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_246_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58423_ _58339_/A _58423_/B _58422_/Y VGND VGND VPWR VPWR _58423_/X sky130_fd_sc_hd__or3_2
+X_70701_ _70034_/A _70692_/Y _69969_/X _70700_/Y VGND VGND VPWR VPWR _70701_/X sky130_fd_sc_hd__o22a_4
+XFILLER_546_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55635_ _55626_/A _55644_/B VGND VGND VPWR VPWR _55635_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_597_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40861_ _40867_/A _40867_/B _56755_/A VGND VGND VPWR VPWR _40862_/B sky130_fd_sc_hd__nand3_2
+XFILLER_95_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_63_0_u_core.clock clkbuf_8_63_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_63_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_52847_ _54758_/A _52791_/B VGND VGND VPWR VPWR _52848_/A sky130_fd_sc_hd__or2_2
+X_71681_ _71675_/X _71681_/B _71678_/Y _71680_/Y VGND VGND VPWR VPWR _71681_/X sky130_fd_sc_hd__or4_2
+XFILLER_680_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_36_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80879_ _81005_/CLK _74661_/Y VGND VGND VPWR VPWR _64205_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_463_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_720_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42600_ _70329_/X VGND VGND VPWR VPWR _42600_/Y sky130_fd_sc_hd__inv_8
+X_73420_ _74978_/A _73433_/B VGND VGND VPWR VPWR _73420_/X sky130_fd_sc_hd__or2_2
+XFILLER_578_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_184_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58354_ _68648_/A _58038_/B VGND VGND VPWR VPWR _58356_/B sky130_fd_sc_hd__nor2_2
+XFILLER_325_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_70632_ _70724_/B _76652_/Q _70632_/C VGND VGND VPWR VPWR _70633_/B sky130_fd_sc_hd__and3_2
+XFILLER_284_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_76_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43580_ _43562_/A VGND VGND VPWR VPWR _43588_/B sky130_fd_sc_hd__buf_1
+XFILLER_180_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55566_ _45191_/Y _55550_/B VGND VGND VPWR VPWR _55568_/B sky130_fd_sc_hd__nor2_2
+XFILLER_407_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_1376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40792_ _40772_/X VGND VGND VPWR VPWR _40807_/B sky130_fd_sc_hd__buf_1
+XFILLER_284_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52778_ _52775_/Y _52777_/X VGND VGND VPWR VPWR _77456_/D sky130_fd_sc_hd__nand2_2
+XFILLER_524_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_55_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_407_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_245_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57305_ _57297_/Y _57304_/Y VGND VGND VPWR VPWR _57305_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_481_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_54_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42531_ _55122_/A _42472_/X VGND VGND VPWR VPWR _42539_/B sky130_fd_sc_hd__nor2_2
+X_54517_ _54517_/A _54517_/B VGND VGND VPWR VPWR _54530_/A sky130_fd_sc_hd__or2_2
+XFILLER_325_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_305_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73351_ _75028_/A _73348_/B VGND VGND VPWR VPWR _73351_/X sky130_fd_sc_hd__or2_2
+XFILLER_593_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51729_ _51729_/A _51733_/B VGND VGND VPWR VPWR _51729_/X sky130_fd_sc_hd__or2_2
+XPHY_17524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58285_ _58285_/A _58366_/B VGND VGND VPWR VPWR _58285_/Y sky130_fd_sc_hd__nor2_2
+XPHY_18269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70563_ _70563_/A _70563_/B VGND VGND VPWR VPWR _70563_/X sky130_fd_sc_hd__or2_2
+XFILLER_622_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55497_ _55598_/B VGND VGND VPWR VPWR _55550_/B sky130_fd_sc_hd__buf_1
+XFILLER_360_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72302_ _72950_/A VGND VGND VPWR VPWR _72806_/A sky130_fd_sc_hd__buf_1
+XPHY_16812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57236_ _56913_/X _57236_/B _57236_/C VGND VGND VPWR VPWR _57237_/C sky130_fd_sc_hd__nor3_2
+XFILLER_262_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45250_ _45250_/A _45222_/B VGND VGND VPWR VPWR _45250_/Y sky130_fd_sc_hd__nor2_2
+X_76070_ _76210_/B VGND VGND VPWR VPWR _76091_/B sky130_fd_sc_hd__buf_1
+XFILLER_399_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42462_ _42576_/A _42460_/X _72062_/X _42589_/A VGND VGND VPWR VPWR _42462_/X sky130_fd_sc_hd__o22a_4
+XFILLER_475_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54448_ _59665_/A _54448_/B VGND VGND VPWR VPWR _54448_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_70_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73282_ _73282_/A _73281_/X VGND VGND VPWR VPWR _73282_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_221_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70494_ _70491_/Y _70048_/Y _70053_/A _70493_/X VGND VGND VPWR VPWR _70494_/X sky130_fd_sc_hd__o22a_4
+XFILLER_655_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_180_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44201_ _44022_/A _44132_/X VGND VGND VPWR VPWR _44201_/Y sky130_fd_sc_hd__nor2_2
+XPHY_2476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75021_ _75021_/A _75014_/B VGND VGND VPWR VPWR _75021_/X sky130_fd_sc_hd__or2_2
+X_41413_ _41411_/X _41412_/Y VGND VGND VPWR VPWR _79626_/D sky130_fd_sc_hd__nand2_2
+XFILLER_211_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72233_ _72289_/A VGND VGND VPWR VPWR _72233_/X sky130_fd_sc_hd__buf_1
+XPHY_16867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45181_ _45062_/A _45180_/Y VGND VGND VPWR VPWR _45181_/Y sky130_fd_sc_hd__nor2_2
+X_57167_ _57167_/A _57167_/B VGND VGND VPWR VPWR _57168_/C sky130_fd_sc_hd__nor2_2
+XFILLER_674_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42393_ _42393_/A _42392_/Y VGND VGND VPWR VPWR _79487_/D sky130_fd_sc_hd__nor2_2
+XPHY_2498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54379_ _59978_/A _54388_/B VGND VGND VPWR VPWR _54383_/A sky130_fd_sc_hd__nand2_2
+XFILLER_329_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_204_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_690_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44132_ _44132_/A VGND VGND VPWR VPWR _44132_/X sky130_fd_sc_hd__buf_1
+XPHY_1786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56118_ _56118_/A VGND VGND VPWR VPWR _56131_/A sky130_fd_sc_hd__buf_1
+XFILLER_295_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41344_ _41286_/X VGND VGND VPWR VPWR _41358_/B sky130_fd_sc_hd__buf_1
+XPHY_33534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72164_ _74672_/A VGND VGND VPWR VPWR _72165_/A sky130_fd_sc_hd__buf_1
+XPHY_1797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57098_ _57180_/A _57094_/Y _57098_/C VGND VGND VPWR VPWR _57099_/B sky130_fd_sc_hd__nor3_2
+XFILLER_156_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_291_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_171_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_516_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71115_ _71337_/A VGND VGND VPWR VPWR _71115_/X sky130_fd_sc_hd__buf_1
+XFILLER_682_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_318_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44063_ _44063_/A VGND VGND VPWR VPWR _44074_/B sky130_fd_sc_hd__inv_8
+X_48940_ _48940_/A VGND VGND VPWR VPWR _49060_/A sky130_fd_sc_hd__buf_1
+XPHY_23055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56049_ _56049_/A _56049_/B VGND VGND VPWR VPWR _56049_/X sky130_fd_sc_hd__and2_2
+XFILLER_155_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79760_ _79788_/CLK _79760_/D VGND VGND VPWR VPWR _40874_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_475_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41275_ _41275_/A VGND VGND VPWR VPWR _41389_/B sky130_fd_sc_hd__buf_1
+XFILLER_119_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76972_ _76939_/CLK _76972_/D VGND VGND VPWR VPWR _76972_/Q sky130_fd_sc_hd__dfxtp_4
+X_72095_ _72089_/Y _72095_/B VGND VGND VPWR VPWR _72102_/C sky130_fd_sc_hd__nor2_2
+XFILLER_570_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_358_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_512_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_100_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43014_ _43011_/Y _46219_/B VGND VGND VPWR VPWR _43212_/A sky130_fd_sc_hd__nand2_2
+XFILLER_87_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78711_ _78734_/CLK _78711_/D VGND VGND VPWR VPWR _63322_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40226_ _40226_/A _40226_/B VGND VGND VPWR VPWR _40226_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_331_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75923_ _75552_/A _75920_/B VGND VGND VPWR VPWR _75923_/X sky130_fd_sc_hd__or2_2
+XFILLER_560_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_43_0_u_core.clock clkbuf_8_21_0_u_core.clock/X VGND VGND VPWR VPWR _79559_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_71046_ _71046_/A _71045_/Y VGND VGND VPWR VPWR _42176_/B sky130_fd_sc_hd__or2_2
+XFILLER_170_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48871_ _48842_/A _48877_/B VGND VGND VPWR VPWR _48871_/X sky130_fd_sc_hd__or2_2
+XFILLER_551_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79691_ _79694_/CLK _41170_/Y VGND VGND VPWR VPWR _58637_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_83_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_647_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47822_ _47825_/A VGND VGND VPWR VPWR _47823_/B sky130_fd_sc_hd__buf_1
+XPHY_22398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59808_ _59808_/A VGND VGND VPWR VPWR _59809_/B sky130_fd_sc_hd__buf_1
+XFILLER_135_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78642_ _76917_/CLK _48241_/Y VGND VGND VPWR VPWR _63753_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_152_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40157_ _40155_/X _40156_/Y VGND VGND VPWR VPWR _79944_/D sky130_fd_sc_hd__nand2_2
+XFILLER_272_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_151_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75854_ _75854_/A _75854_/B VGND VGND VPWR VPWR _75854_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_512_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_447_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_615_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_332_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74805_ _74805_/A _74805_/B VGND VGND VPWR VPWR _80850_/D sky130_fd_sc_hd__nand2_2
+XFILLER_312_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47753_ _47753_/A _47740_/X VGND VGND VPWR VPWR _47753_/X sky130_fd_sc_hd__or2_2
+X_59739_ _59196_/A VGND VGND VPWR VPWR _59740_/A sky130_fd_sc_hd__buf_1
+XPHY_10440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78573_ _77558_/CLK _78573_/D VGND VGND VPWR VPWR _78573_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_529_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40088_ _40085_/X _40087_/Y VGND VGND VPWR VPWR _79962_/D sky130_fd_sc_hd__nand2_2
+X_44965_ _44449_/A VGND VGND VPWR VPWR _44965_/X sky130_fd_sc_hd__buf_1
+XFILLER_616_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_77_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75785_ _75785_/A _75792_/B _80602_/Q VGND VGND VPWR VPWR _75785_/Y sky130_fd_sc_hd__nand3_2
+XPHY_10451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72997_ _42892_/A _73102_/B VGND VGND VPWR VPWR _72998_/B sky130_fd_sc_hd__or2_2
+XFILLER_211_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_627_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_349_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_285_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_10473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_267_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46704_ _43175_/Y _46704_/B VGND VGND VPWR VPWR _46705_/B sky130_fd_sc_hd__or2_2
+XFILLER_482_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77524_ _77540_/CLK _77524_/D VGND VGND VPWR VPWR _52523_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_250_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43916_ _43907_/B _43916_/B _43937_/C VGND VGND VPWR VPWR _43918_/B sky130_fd_sc_hd__nor3_2
+XFILLER_671_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62750_ _77899_/Q _62128_/B VGND VGND VPWR VPWR _62751_/C sky130_fd_sc_hd__nor2_2
+X_74736_ _74736_/A _74735_/Y VGND VGND VPWR VPWR _80865_/D sky130_fd_sc_hd__nand2_2
+XFILLER_525_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_38_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71948_ _71919_/X _71921_/B _65139_/A VGND VGND VPWR VPWR _71949_/B sky130_fd_sc_hd__nand3_2
+XFILLER_384_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47684_ _47681_/Y _47684_/B VGND VGND VPWR VPWR _78784_/D sky130_fd_sc_hd__nand2_2
+XFILLER_266_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_42_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_228_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_725_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44896_ _44659_/Y _44893_/Y _44517_/B _44895_/Y VGND VGND VPWR VPWR _44897_/B sky130_fd_sc_hd__o22a_4
+XFILLER_65_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_562_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_4_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_3044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_350_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61701_ _61701_/A _61390_/B VGND VGND VPWR VPWR _61701_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_185_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49423_ _49423_/A _49423_/B VGND VGND VPWR VPWR _49423_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_703_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_442_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_20_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46635_ _46635_/A _78981_/Q VGND VGND VPWR VPWR _46635_/X sky130_fd_sc_hd__xor2_2
+X_77455_ _77454_/CLK _52782_/Y VGND VGND VPWR VPWR _77455_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_597_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_384_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43847_ _43855_/A _43847_/B _43846_/Y VGND VGND VPWR VPWR _43847_/Y sky130_fd_sc_hd__nor3_2
+X_74667_ _74667_/A _74680_/B VGND VGND VPWR VPWR _74667_/X sky130_fd_sc_hd__or2_2
+XFILLER_545_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62681_ _62049_/X _62677_/Y _62680_/Y VGND VGND VPWR VPWR _62681_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_59_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_292_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_365_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71879_ _70688_/X _71760_/X VGND VGND VPWR VPWR _71879_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_203_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_111_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_267_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_76 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76406_ _76287_/A _76396_/B VGND VGND VPWR VPWR _76406_/X sky130_fd_sc_hd__or2_2
+X_64420_ _44659_/Y _64082_/B VGND VGND VPWR VPWR _64693_/A sky130_fd_sc_hd__nor2_2
+XFILLER_695_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_523_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61632_ _61318_/X _61632_/B _61632_/C VGND VGND VPWR VPWR _61636_/B sky130_fd_sc_hd__nor3_2
+X_49354_ _49354_/A _49353_/X VGND VGND VPWR VPWR _49354_/X sky130_fd_sc_hd__or2_2
+XPHY_29972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73618_ _73618_/A _73617_/Y VGND VGND VPWR VPWR _81137_/D sky130_fd_sc_hd__nand2_2
+XFILLER_425_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46566_ _46584_/A _46566_/B VGND VGND VPWR VPWR _46566_/X sky130_fd_sc_hd__or2_2
+XFILLER_248_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_350_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77386_ _77755_/CLK _77386_/D VGND VGND VPWR VPWR _61325_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_124_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43778_ _43778_/A _43767_/X VGND VGND VPWR VPWR _43779_/B sky130_fd_sc_hd__nor2_2
+XPHY_5080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74598_ _74598_/A _74590_/B _80897_/Q VGND VGND VPWR VPWR _74599_/B sky130_fd_sc_hd__nand3_2
+XFILLER_34_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48305_ _48294_/A VGND VGND VPWR VPWR _48316_/B sky130_fd_sc_hd__buf_1
+XFILLER_611_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79125_ _76700_/CLK _45602_/Y VGND VGND VPWR VPWR _42651_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_59_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45517_ _45506_/X _45517_/B VGND VGND VPWR VPWR _45517_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_703_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64351_ _68521_/A VGND VGND VPWR VPWR _64351_/X sky130_fd_sc_hd__buf_1
+XFILLER_406_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76337_ _38337_/A _76333_/B VGND VGND VPWR VPWR _76339_/A sky130_fd_sc_hd__or2_2
+XFILLER_379_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42729_ _42752_/A _42728_/X VGND VGND VPWR VPWR _42729_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_423_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61563_ _59647_/A VGND VGND VPWR VPWR _61563_/X sky130_fd_sc_hd__buf_1
+XFILLER_72_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73549_ _73530_/A VGND VGND VPWR VPWR _73550_/B sky130_fd_sc_hd__buf_1
+X_49285_ _49294_/A VGND VGND VPWR VPWR _49286_/B sky130_fd_sc_hd__buf_1
+XFILLER_500_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46497_ _46497_/A _46497_/B VGND VGND VPWR VPWR _69558_/B sky130_fd_sc_hd__and2_2
+XFILLER_692_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_270 _41079_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_146_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_501_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_281 _67520_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_593_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63302_ _78559_/Q _62835_/X VGND VGND VPWR VPWR _63304_/B sky130_fd_sc_hd__nor2_2
+XANTENNA_292 _49230_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_37_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60514_ _60514_/A _60354_/B VGND VGND VPWR VPWR _60515_/C sky130_fd_sc_hd__nor2_2
+X_48236_ _48178_/A _48254_/B VGND VGND VPWR VPWR _48236_/X sky130_fd_sc_hd__or2_2
+XPHY_18792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67070_ _67235_/A _67070_/B _67069_/Y VGND VGND VPWR VPWR _67071_/B sky130_fd_sc_hd__nor3_2
+XFILLER_584_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79056_ _76848_/CLK _45969_/Y VGND VGND VPWR VPWR _79056_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_221_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45448_ _45467_/A _45448_/B VGND VGND VPWR VPWR _45448_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64282_ _64282_/A VGND VGND VPWR VPWR _64283_/B sky130_fd_sc_hd__buf_1
+XFILLER_20_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76268_ _76265_/X _76267_/Y VGND VGND VPWR VPWR _76268_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_321_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61494_ _52974_/A _61184_/B VGND VGND VPWR VPWR _61495_/C sky130_fd_sc_hd__nor2_2
+XFILLER_558_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_536_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66021_ _66515_/A _66021_/B _66020_/Y VGND VGND VPWR VPWR _66021_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_18_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78007_ _78006_/CLK _78007_/D VGND VGND VPWR VPWR _62024_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_159_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_398_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63233_ _63233_/A _63232_/Y VGND VGND VPWR VPWR _76751_/D sky130_fd_sc_hd__nor2_2
+XFILLER_638_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75219_ _75217_/X _75218_/Y VGND VGND VPWR VPWR _75219_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_501_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48167_ _48009_/A VGND VGND VPWR VPWR _48284_/A sky130_fd_sc_hd__buf_1
+X_60445_ _60445_/A _60286_/B VGND VGND VPWR VPWR _60445_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_274_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_336_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45379_ _45379_/A _45379_/B _44983_/X VGND VGND VPWR VPWR _45379_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_725_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76199_ _76199_/A _76194_/B _80500_/Q VGND VGND VPWR VPWR _76200_/B sky130_fd_sc_hd__nand3_2
+XFILLER_394_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_726_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_200_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_454_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47118_ _46384_/Y _47117_/X VGND VGND VPWR VPWR _47171_/A sky130_fd_sc_hd__nor2_2
+XFILLER_146_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_140_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_363_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_173_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63164_ _63326_/A _63164_/B _63164_/C VGND VGND VPWR VPWR _63165_/C sky130_fd_sc_hd__nor3_2
+XFILLER_302_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48098_ _78677_/Q _48092_/B VGND VGND VPWR VPWR _48098_/Y sky130_fd_sc_hd__nand2_2
+X_60376_ _60376_/A _60375_/X VGND VGND VPWR VPWR _60376_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_85_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62115_ _61641_/X _62113_/Y _62114_/Y VGND VGND VPWR VPWR _62115_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_122_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_493_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39940_ _39799_/X _39947_/B VGND VGND VPWR VPWR _39942_/A sky130_fd_sc_hd__or2_2
+XFILLER_179_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47049_ _47049_/A _47015_/X VGND VGND VPWR VPWR _47049_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_651_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_551_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_66_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67972_ _80260_/Q _67310_/B VGND VGND VPWR VPWR _67974_/B sky130_fd_sc_hd__nor2_2
+XPHY_24290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_432_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_390_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63095_ _62624_/X _63095_/B _63094_/Y VGND VGND VPWR VPWR _63095_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_524_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79958_ _79925_/CLK _79958_/D VGND VGND VPWR VPWR _65676_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_278_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_157_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69711_ _69648_/A _69711_/B VGND VGND VPWR VPWR _64085_/A sky130_fd_sc_hd__nand2_2
+XFILLER_712_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50060_ _50060_/A _50060_/B VGND VGND VPWR VPWR _50060_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_477_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_362_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66923_ _74608_/C _66260_/X VGND VGND VPWR VPWR _66925_/B sky130_fd_sc_hd__nor2_2
+XFILLER_354_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62046_ _62046_/A _61734_/B VGND VGND VPWR VPWR _62047_/C sky130_fd_sc_hd__nor2_2
+X_78909_ _79540_/CLK _47097_/X VGND VGND VPWR VPWR _78909_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_530_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39871_ _39994_/A _39871_/B VGND VGND VPWR VPWR _39871_/X sky130_fd_sc_hd__or2_2
+XFILLER_432_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_233_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79889_ _79892_/CLK _79889_/D VGND VGND VPWR VPWR _56550_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_304_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_291_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_177_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_217_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38822_ _38567_/A _38812_/B VGND VGND VPWR VPWR _38822_/X sky130_fd_sc_hd__or2_2
+XFILLER_103_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69642_ _79170_/Q VGND VGND VPWR VPWR _69743_/A sky130_fd_sc_hd__inv_8
+XFILLER_667_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66854_ _66686_/A _66854_/B _66853_/Y VGND VGND VPWR VPWR _66855_/C sky130_fd_sc_hd__nor3_2
+XFILLER_672_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_311_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65805_ _65132_/A _65805_/B _65804_/Y VGND VGND VPWR VPWR _65805_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_413_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38753_ _38750_/X _38752_/Y VGND VGND VPWR VPWR _80300_/D sky130_fd_sc_hd__nand2_2
+XFILLER_257_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69573_ _76679_/Q VGND VGND VPWR VPWR _69573_/X sky130_fd_sc_hd__buf_1
+XFILLER_585_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_9_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66785_ _66612_/X _66781_/Y _66784_/Y VGND VGND VPWR VPWR _66785_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_229_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_708_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_3092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63997_ _63981_/Y _63996_/Y VGND VGND VPWR VPWR _63997_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_96_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_113_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68524_ _68524_/A _68524_/B _68524_/C VGND VGND VPWR VPWR _68524_/Y sky130_fd_sc_hd__nor3_2
+X_80802_ _80809_/CLK _74993_/Y VGND VGND VPWR VPWR _67536_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_348_3065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53750_ _53748_/Y _53750_/B VGND VGND VPWR VPWR _77201_/D sky130_fd_sc_hd__nand2_2
+X_65736_ _66559_/A VGND VGND VPWR VPWR _66403_/B sky130_fd_sc_hd__buf_1
+XFILLER_645_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38684_ _38684_/A _38683_/Y VGND VGND VPWR VPWR _80319_/D sky130_fd_sc_hd__nand2_2
+XFILLER_547_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50962_ _50991_/A _50937_/A VGND VGND VPWR VPWR _50962_/X sky130_fd_sc_hd__or2_2
+X_62948_ _62948_/A _62795_/B VGND VGND VPWR VPWR _62948_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_661_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_309_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_426_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_228_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52701_ _52724_/B VGND VGND VPWR VPWR _52717_/B sky130_fd_sc_hd__buf_1
+XFILLER_328_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80733_ _80734_/CLK _80733_/D VGND VGND VPWR VPWR _80733_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_626_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68455_ _68790_/A _68449_/Y _68455_/C VGND VGND VPWR VPWR _68465_/B sky130_fd_sc_hd__nor3_2
+XFILLER_405_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53681_ _53679_/A VGND VGND VPWR VPWR _53700_/B sky130_fd_sc_hd__buf_1
+XFILLER_662_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65667_ _65503_/A _65667_/B _65666_/Y VGND VGND VPWR VPWR _65668_/C sky130_fd_sc_hd__nor3_2
+XFILLER_129_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_405_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50893_ _62301_/A _50881_/X VGND VGND VPWR VPWR _50895_/A sky130_fd_sc_hd__nand2_2
+XFILLER_283_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62879_ _62364_/X _62879_/B VGND VGND VPWR VPWR _62918_/B sky130_fd_sc_hd__nor2_2
+XFILLER_186_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_680_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_482_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_498_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55420_ _71383_/A _55430_/B VGND VGND VPWR VPWR _55429_/A sky130_fd_sc_hd__or2_2
+X_67406_ _67406_/A _67406_/B _67405_/Y VGND VGND VPWR VPWR _67406_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_168_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52632_ _52718_/A _52632_/B VGND VGND VPWR VPWR _52632_/X sky130_fd_sc_hd__or2_2
+XFILLER_322_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64618_ _56480_/A _64781_/B VGND VGND VPWR VPWR _64618_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_702_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_168_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80664_ _80641_/CLK _80664_/D VGND VGND VPWR VPWR _65880_/A sky130_fd_sc_hd__dfxtp_4
+X_68386_ _64517_/A VGND VGND VPWR VPWR _69071_/A sky130_fd_sc_hd__buf_1
+XFILLER_309_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_55_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65598_ _65598_/A _65596_/Y _65598_/C VGND VGND VPWR VPWR _65605_/B sky130_fd_sc_hd__nor3_2
+XFILLER_37_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_460_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_383_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_3065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39305_ _39167_/A _39316_/B VGND VGND VPWR VPWR _39307_/A sky130_fd_sc_hd__or2_2
+XFILLER_58_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_51_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_197_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55351_ _55351_/A _55390_/B VGND VGND VPWR VPWR _55361_/A sky130_fd_sc_hd__or2_2
+X_67337_ _67181_/A _67337_/B _67337_/C VGND VGND VPWR VPWR _67338_/C sky130_fd_sc_hd__nor3_2
+XFILLER_77_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64549_ _64488_/A VGND VGND VPWR VPWR _68896_/A sky130_fd_sc_hd__buf_1
+XPHY_26609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52563_ _52563_/A _52559_/X VGND VGND VPWR VPWR _52564_/B sky130_fd_sc_hd__or2_2
+XFILLER_307_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_52_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_36_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_129_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80595_ _80495_/CLK _75812_/Y VGND VGND VPWR VPWR _80595_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_401_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_586_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54302_ _54302_/A _54290_/B VGND VGND VPWR VPWR _54304_/A sky130_fd_sc_hd__nand2_2
+XFILLER_322_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_498_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_502_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_358_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39236_ _39227_/X _39222_/X _65013_/A VGND VGND VPWR VPWR _39237_/B sky130_fd_sc_hd__nand3_2
+Xclkbuf_9_303_0_u_core.clock clkbuf_9_303_0_u_core.clock/A VGND VGND VPWR VPWR _81457_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_51514_ _51512_/Y _51514_/B VGND VGND VPWR VPWR _51514_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_209_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58070_ _57981_/A _58048_/Y _58070_/C VGND VGND VPWR VPWR _58070_/X sky130_fd_sc_hd__or3_2
+XPHY_16119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55282_ _43502_/A _45280_/B VGND VGND VPWR VPWR _55282_/Y sky130_fd_sc_hd__nor2_2
+X_67268_ _67268_/A _66767_/B VGND VGND VPWR VPWR _67268_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_142_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52494_ _52519_/B VGND VGND VPWR VPWR _52494_/X sky130_fd_sc_hd__buf_1
+XPHY_25919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_75_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_472_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57021_ _56739_/X _57021_/B _57021_/C VGND VGND VPWR VPWR _57021_/X sky130_fd_sc_hd__or3_2
+XFILLER_300_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69007_ _69007_/A _69007_/B _69007_/C VGND VGND VPWR VPWR _69007_/X sky130_fd_sc_hd__or3_2
+XFILLER_617_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54233_ _54231_/X _54241_/B VGND VGND VPWR VPWR _54234_/B sky130_fd_sc_hd__or2_2
+XFILLER_33_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66219_ _66219_/A _66219_/B VGND VGND VPWR VPWR _66219_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_593_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39167_ _39167_/A _39166_/X VGND VGND VPWR VPWR _39167_/X sky130_fd_sc_hd__or2_2
+X_51445_ _51442_/Y _51445_/B VGND VGND VPWR VPWR _77812_/D sky130_fd_sc_hd__nand2_2
+XFILLER_691_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_402_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67199_ _44493_/A _66866_/B VGND VGND VPWR VPWR _67202_/A sky130_fd_sc_hd__nor2_2
+XFILLER_36_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_197_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_338_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_472_0_u_core.clock clkbuf_9_473_0_u_core.clock/A VGND VGND VPWR VPWR _80714_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_14717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_539_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81216_ _81211_/CLK _81216_/D VGND VGND VPWR VPWR _67261_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_300_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_175_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54164_ _54164_/A VGND VGND VPWR VPWR _54168_/B sky130_fd_sc_hd__buf_1
+XFILLER_437_2657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_22_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39098_ _39089_/A _38982_/X _80207_/Q VGND VGND VPWR VPWR _39098_/Y sky130_fd_sc_hd__nand3_2
+X_51376_ _51374_/Y _51375_/X VGND VGND VPWR VPWR _51376_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_535_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_193_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_295_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_703_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53115_ _53059_/A _53133_/B VGND VGND VPWR VPWR _53116_/B sky130_fd_sc_hd__or2_2
+XFILLER_314_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_454_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50327_ _62202_/A _50312_/X VGND VGND VPWR VPWR _50329_/A sky130_fd_sc_hd__nand2_2
+XFILLER_453_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_137_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81147_ _81144_/CLK _81147_/D VGND VGND VPWR VPWR _81147_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_418_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54095_ _59398_/A _54100_/B VGND VGND VPWR VPWR _54099_/A sky130_fd_sc_hd__nand2_2
+XFILLER_353_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_419_0_u_core.clock clkbuf_9_419_0_u_core.clock/A VGND VGND VPWR VPWR _79944_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_58972_ _59808_/A VGND VGND VPWR VPWR _59325_/B sky130_fd_sc_hd__buf_1
+XFILLER_292_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_98_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_101_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_435_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53046_ _60698_/A _53049_/B VGND VGND VPWR VPWR _53048_/A sky130_fd_sc_hd__nand2_2
+X_41060_ _41057_/X _41059_/Y VGND VGND VPWR VPWR _79715_/D sky130_fd_sc_hd__nand2_2
+X_57923_ _57923_/A _57921_/Y _57923_/C VGND VGND VPWR VPWR _57923_/Y sky130_fd_sc_hd__nor3_2
+XPHY_31439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_450_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69909_ _69896_/Y _69898_/X _70385_/A VGND VGND VPWR VPWR _69909_/X sky130_fd_sc_hd__or3_2
+XPHY_9313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50258_ _62391_/A _50241_/B VGND VGND VPWR VPWR _50258_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_290_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81078_ _81047_/CLK _73844_/Y VGND VGND VPWR VPWR _65603_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_629_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_279_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_689_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_587_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40011_ _40114_/B VGND VGND VPWR VPWR _40011_/X sky130_fd_sc_hd__buf_1
+XFILLER_333_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72920_ _72901_/X _72907_/B _72920_/C VGND VGND VPWR VPWR _72921_/B sky130_fd_sc_hd__nand3_2
+XFILLER_27_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80029_ _80123_/CLK _80029_/D VGND VGND VPWR VPWR _80029_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_20215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57854_ _67449_/A _57611_/X VGND VGND VPWR VPWR _57854_/Y sky130_fd_sc_hd__nor2_2
+XPHY_30749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_511_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50189_ _50189_/A _50201_/B VGND VGND VPWR VPWR _50189_/X sky130_fd_sc_hd__or2_2
+XFILLER_510_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_267_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_156_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_529_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_112_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56805_ _56649_/A _56805_/B _56804_/Y VGND VGND VPWR VPWR _56821_/A sky130_fd_sc_hd__nor3_2
+XFILLER_726_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_628_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72851_ _74011_/A VGND VGND VPWR VPWR _73646_/A sky130_fd_sc_hd__buf_1
+XPHY_8667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_59_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57785_ _57629_/X _57785_/B _57785_/C VGND VGND VPWR VPWR _57789_/B sky130_fd_sc_hd__nor3_2
+XFILLER_62_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54997_ _76867_/Q _54992_/X VGND VGND VPWR VPWR _54997_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_656_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_212_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_366_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_704_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_466_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_409_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71802_ _71890_/A _71890_/B _57107_/A VGND VGND VPWR VPWR _71802_/Y sky130_fd_sc_hd__nand3_2
+X_59524_ _59067_/X VGND VGND VPWR VPWR _59686_/B sky130_fd_sc_hd__buf_1
+XFILLER_87_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56736_ _56659_/A _56736_/B _56735_/Y VGND VGND VPWR VPWR _56736_/X sky130_fd_sc_hd__or3_2
+X_44750_ _44684_/A _44744_/Y _44745_/X _44749_/X VGND VGND VPWR VPWR _44751_/B sky130_fd_sc_hd__o22a_4
+XPHY_7966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75570_ _75568_/X _75570_/B VGND VGND VPWR VPWR _75570_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_565_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_448_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41962_ _41955_/X _42875_/B _41961_/X VGND VGND VPWR VPWR _41963_/C sky130_fd_sc_hd__a21o_4
+XPHY_39714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53948_ _52037_/A _53912_/B VGND VGND VPWR VPWR _53958_/A sky130_fd_sc_hd__or2_2
+XFILLER_561_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72782_ _72782_/A _72781_/Y VGND VGND VPWR VPWR _81340_/D sky130_fd_sc_hd__nand2_2
+XFILLER_275_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_5_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_375_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43701_ _43896_/A VGND VGND VPWR VPWR _43701_/Y sky130_fd_sc_hd__inv_8
+X_74521_ _74521_/A _74521_/B VGND VGND VPWR VPWR _74521_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_381_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40913_ _40913_/A _40913_/B VGND VGND VPWR VPWR _79751_/D sky130_fd_sc_hd__nand2_2
+XFILLER_112_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59455_ _59455_/A _63870_/B VGND VGND VPWR VPWR _59455_/Y sky130_fd_sc_hd__nor2_2
+X_71733_ _70918_/Y _71733_/B VGND VGND VPWR VPWR _71735_/B sky130_fd_sc_hd__and2_2
+XFILLER_210_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_423_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44681_ _44681_/A _44681_/B VGND VGND VPWR VPWR _44769_/C sky130_fd_sc_hd__nor2_2
+X_56667_ _56833_/A _56665_/Y _56667_/C VGND VGND VPWR VPWR _56668_/C sky130_fd_sc_hd__nor3_2
+XFILLER_264_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41893_ _41868_/A _41892_/X _45427_/A _41873_/Y VGND VGND VPWR VPWR _79546_/D sky130_fd_sc_hd__o22a_4
+XFILLER_47_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53879_ _53877_/Y _53879_/B VGND VGND VPWR VPWR _77166_/D sky130_fd_sc_hd__nand2_2
+XFILLER_696_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46420_ _43153_/Y _46417_/A VGND VGND VPWR VPWR _46421_/B sky130_fd_sc_hd__or2_2
+X_58406_ _58568_/A _58404_/Y _58406_/C VGND VGND VPWR VPWR _58406_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_606_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77240_ _77241_/CLK _53604_/Y VGND VGND VPWR VPWR _77240_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_29268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43632_ _71804_/A _43617_/X VGND VGND VPWR VPWR _43634_/B sky130_fd_sc_hd__nor2_2
+XFILLER_461_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55618_ _71870_/A _55666_/B VGND VGND VPWR VPWR _55632_/A sky130_fd_sc_hd__or2_2
+X_74452_ _74202_/A _74452_/B VGND VGND VPWR VPWR _74455_/A sky130_fd_sc_hd__or2_2
+XFILLER_327_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40844_ _40844_/A _40844_/B VGND VGND VPWR VPWR _79769_/D sky130_fd_sc_hd__nand2_2
+XFILLER_679_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59386_ _77198_/Q _64004_/B VGND VGND VPWR VPWR _59387_/C sky130_fd_sc_hd__nor2_2
+XPHY_29279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71664_ _70546_/X _71663_/Y VGND VGND VPWR VPWR _71664_/Y sky130_fd_sc_hd__nor2_2
+XPHY_18011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56598_ _56684_/A _56598_/B _56597_/Y VGND VGND VPWR VPWR _56599_/C sky130_fd_sc_hd__nor3_2
+XFILLER_464_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_704_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_305_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73403_ _73398_/A _73387_/B _81194_/Q VGND VGND VPWR VPWR _73403_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_188_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_223_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46351_ _46336_/X VGND VGND VPWR VPWR _46354_/C sky130_fd_sc_hd__inv_8
+X_70615_ _70615_/A _70503_/B VGND VGND VPWR VPWR _70618_/A sky130_fd_sc_hd__nor2_2
+XFILLER_677_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77171_ _77171_/CLK _77171_/D VGND VGND VPWR VPWR _53861_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_305_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58337_ _58328_/Y _58336_/Y VGND VGND VPWR VPWR _58337_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_203_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_524_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43563_ _71145_/A _43577_/B VGND VGND VPWR VPWR _43565_/B sky130_fd_sc_hd__nor2_2
+XFILLER_594_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55549_ _55464_/A _55549_/B VGND VGND VPWR VPWR _55553_/A sky130_fd_sc_hd__or2_2
+X_74383_ _74383_/A _74382_/Y VGND VGND VPWR VPWR _80947_/D sky130_fd_sc_hd__nand2_2
+XFILLER_320_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40775_ _40764_/A _40764_/B _69112_/A VGND VGND VPWR VPWR _40776_/B sky130_fd_sc_hd__nand3_2
+XFILLER_284_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71595_ _71594_/X VGND VGND VPWR VPWR _71684_/B sky130_fd_sc_hd__buf_1
+XFILLER_160_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_303_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45302_ _44981_/A VGND VGND VPWR VPWR _45355_/B sky130_fd_sc_hd__buf_1
+XFILLER_696_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76122_ _38265_/A _76132_/B VGND VGND VPWR VPWR _76125_/A sky130_fd_sc_hd__or2_2
+XFILLER_579_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42514_ _42589_/A VGND VGND VPWR VPWR _42514_/X sky130_fd_sc_hd__buf_1
+X_49070_ _49070_/A _49070_/B VGND VGND VPWR VPWR _49070_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_674_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73334_ _73323_/X _73324_/X _66594_/A VGND VGND VPWR VPWR _73337_/A sky130_fd_sc_hd__nand3_2
+XFILLER_227_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46282_ _43211_/X _46281_/Y VGND VGND VPWR VPWR _46282_/X sky130_fd_sc_hd__or2_2
+XFILLER_145_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58268_ _80039_/Q _58111_/B VGND VGND VPWR VPWR _58270_/B sky130_fd_sc_hd__nor2_2
+XPHY_18099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70546_ _70546_/A VGND VGND VPWR VPWR _70546_/X sky130_fd_sc_hd__buf_1
+XFILLER_262_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43494_ _44941_/A VGND VGND VPWR VPWR _43498_/A sky130_fd_sc_hd__inv_8
+XFILLER_575_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_501_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_243_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48021_ _48021_/A _48039_/B VGND VGND VPWR VPWR _48021_/X sky130_fd_sc_hd__or2_2
+XFILLER_423_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45233_ io_in[28] _45233_/B VGND VGND VPWR VPWR _45234_/B sky130_fd_sc_hd__or2_2
+XPHY_34010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57219_ _79737_/Q _57218_/X VGND VGND VPWR VPWR _57219_/Y sky130_fd_sc_hd__nor2_2
+X_76053_ _75934_/A _76057_/B VGND VGND VPWR VPWR _76053_/X sky130_fd_sc_hd__or2_2
+XPHY_2273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42445_ _42445_/A VGND VGND VPWR VPWR _42703_/A sky130_fd_sc_hd__inv_8
+XFILLER_455_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73265_ _73265_/A _73265_/B VGND VGND VPWR VPWR _73269_/A sky130_fd_sc_hd__or2_2
+XPHY_34021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_707_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70477_ _70477_/A _70476_/X VGND VGND VPWR VPWR _70477_/Y sky130_fd_sc_hd__nand2_2
+X_58199_ _56266_/A VGND VGND VPWR VPWR _58217_/A sky130_fd_sc_hd__buf_1
+XPHY_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_317_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_592_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_638_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_557_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75004_ _75004_/A _75003_/X VGND VGND VPWR VPWR _75004_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_357_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_204_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72216_ _74718_/A VGND VGND VPWR VPWR _72217_/A sky130_fd_sc_hd__buf_1
+X_60230_ _77275_/Q _60384_/B VGND VGND VPWR VPWR _60233_/B sky130_fd_sc_hd__nor2_2
+XPHY_15952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45164_ _45164_/A VGND VGND VPWR VPWR _45164_/Y sky130_fd_sc_hd__inv_8
+XFILLER_200_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_674_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42376_ _42389_/B _42328_/B VGND VGND VPWR VPWR _42380_/B sky130_fd_sc_hd__nor2_2
+XFILLER_711_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73196_ _75010_/A _73191_/B VGND VGND VPWR VPWR _73196_/X sky130_fd_sc_hd__or2_2
+XPHY_34076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_718_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_156_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44115_ _43995_/A _44109_/Y _44115_/C VGND VGND VPWR VPWR _79264_/D sky130_fd_sc_hd__nor3_2
+XFILLER_553_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_123_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_436_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79812_ _79809_/CLK _79812_/D VGND VGND VPWR VPWR _67989_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_67_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41327_ _41327_/A _41327_/B VGND VGND VPWR VPWR _41327_/Y sky130_fd_sc_hd__nand2_2
+XPHY_33364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60161_ _59679_/A _60159_/Y _60161_/C VGND VGND VPWR VPWR _60169_/B sky130_fd_sc_hd__nor3_2
+XFILLER_723_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72147_ _70077_/X _72061_/Y VGND VGND VPWR VPWR _72148_/B sky130_fd_sc_hd__nor2_2
+XFILLER_183_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49972_ _49972_/A _49971_/X VGND VGND VPWR VPWR _78198_/D sky130_fd_sc_hd__nand2_2
+X_45095_ _45095_/A _45089_/Y _45094_/Y VGND VGND VPWR VPWR _45096_/B sky130_fd_sc_hd__or3_2
+XPHY_32630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_344_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_338_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_6_21_0_u_core.clock clkbuf_5_10_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_43_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_33397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_256_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48923_ _48920_/A VGND VGND VPWR VPWR _48928_/B sky130_fd_sc_hd__buf_1
+XPHY_22140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44046_ _66535_/A _44219_/A _44046_/C _44045_/X VGND VGND VPWR VPWR _44046_/X sky130_fd_sc_hd__or4_4
+XFILLER_67_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79743_ _79737_/CLK _79743_/D VGND VGND VPWR VPWR _79743_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_360_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_139_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41258_ _41256_/X _41258_/B VGND VGND VPWR VPWR _41258_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76955_ _76963_/CLK _76955_/D VGND VGND VPWR VPWR _54673_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_390_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60092_ _77306_/Q _60092_/B VGND VGND VPWR VPWR _60092_/Y sky130_fd_sc_hd__nor2_2
+X_72078_ _72073_/B VGND VGND VPWR VPWR _72135_/A sky130_fd_sc_hd__buf_1
+XPHY_22151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_84_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_373_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_350_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_512_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40209_ _39970_/A _40201_/B VGND VGND VPWR VPWR _40209_/X sky130_fd_sc_hd__or2_2
+XFILLER_341_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_97_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75906_ _75982_/A VGND VGND VPWR VPWR _75908_/A sky130_fd_sc_hd__buf_1
+XFILLER_512_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63920_ _49145_/A _64051_/B VGND VGND VPWR VPWR _63921_/C sky130_fd_sc_hd__nor2_2
+X_71029_ _70879_/A _71028_/B VGND VGND VPWR VPWR _71029_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_112_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48854_ _48883_/A _48845_/B VGND VGND VPWR VPWR _48854_/X sky130_fd_sc_hd__or2_2
+XPHY_21450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79674_ _79741_/CLK _41233_/Y VGND VGND VPWR VPWR _57298_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_447_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41189_ _41187_/X _41189_/B VGND VGND VPWR VPWR _41189_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_154_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76886_ _77318_/CLK _54931_/Y VGND VGND VPWR VPWR _59443_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_301_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_61_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_685_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_298_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47805_ _63506_/A _47791_/B VGND VGND VPWR VPWR _47805_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_132_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78625_ _78675_/CLK _78625_/D VGND VGND VPWR VPWR _63625_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_285_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63851_ _63851_/A _59485_/B VGND VGND VPWR VPWR _63851_/Y sky130_fd_sc_hd__nor2_2
+X_75837_ _75837_/A _75837_/B VGND VGND VPWR VPWR _80589_/D sky130_fd_sc_hd__nand2_2
+XFILLER_300_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_289_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_675_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48785_ _48848_/A _48777_/B VGND VGND VPWR VPWR _48786_/B sky130_fd_sc_hd__or2_2
+XFILLER_512_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45997_ _45984_/X _45997_/B _45997_/C VGND VGND VPWR VPWR _45997_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_568_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_310_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_705_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_560_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62802_ _62784_/Y _62801_/Y VGND VGND VPWR VPWR _62803_/B sky130_fd_sc_hd__nor2_2
+XFILLER_529_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_289_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47736_ _63901_/A _47731_/X VGND VGND VPWR VPWR _47738_/A sky130_fd_sc_hd__nand2_2
+X_66570_ _66735_/A _66566_/Y _66570_/C VGND VGND VPWR VPWR _66570_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_473_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78556_ _78108_/CLK _48584_/Y VGND VGND VPWR VPWR _63978_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_10270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44948_ _45020_/A _44937_/Y _44947_/Y VGND VGND VPWR VPWR _44958_/A sky130_fd_sc_hd__or3_2
+XFILLER_616_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63782_ _63203_/X _63780_/Y _63782_/C VGND VGND VPWR VPWR _63782_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_640_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75768_ _75768_/A _75767_/Y VGND VGND VPWR VPWR _80607_/D sky130_fd_sc_hd__nand2_2
+XPHY_10281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60994_ _60994_/A _60994_/B _60993_/Y VGND VGND VPWR VPWR _61003_/B sky130_fd_sc_hd__nor3_2
+XFILLER_267_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_238_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_269_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_187_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65521_ _65840_/A _65521_/B _65521_/C VGND VGND VPWR VPWR _65521_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_722_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77507_ _77506_/CLK _77507_/D VGND VGND VPWR VPWR _77507_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_267_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62733_ _62733_/A _62584_/B VGND VGND VPWR VPWR _62733_/Y sky130_fd_sc_hd__nor2_2
+X_74719_ _75223_/A _74729_/B VGND VGND VPWR VPWR _74719_/X sky130_fd_sc_hd__or2_2
+XFILLER_427_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_644_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47667_ _47663_/Y _47666_/X VGND VGND VPWR VPWR _78788_/D sky130_fd_sc_hd__nand2_2
+XFILLER_681_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_671_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78487_ _78477_/CLK _48852_/Y VGND VGND VPWR VPWR _63239_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_267_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44879_ _44659_/Y _44894_/C VGND VGND VPWR VPWR _44879_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_78_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75699_ _75940_/A _75695_/B VGND VGND VPWR VPWR _75699_/X sky130_fd_sc_hd__or2_2
+XFILLER_92_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_470_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49406_ _49434_/A _49424_/B VGND VGND VPWR VPWR _49407_/B sky130_fd_sc_hd__or2_2
+X_68240_ _68240_/A _68406_/B VGND VGND VPWR VPWR _68240_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_169_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_615_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46618_ _44941_/A _46618_/B VGND VGND VPWR VPWR _46619_/B sky130_fd_sc_hd__nor2_2
+XFILLER_130_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65452_ _65452_/A VGND VGND VPWR VPWR _66118_/A sky130_fd_sc_hd__buf_1
+XFILLER_168_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77438_ _77438_/CLK _52843_/Y VGND VGND VPWR VPWR _77438_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_165_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62664_ _59317_/A VGND VGND VPWR VPWR _62986_/A sky130_fd_sc_hd__buf_1
+XFILLER_308_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47598_ _47627_/A _47572_/X VGND VGND VPWR VPWR _47598_/X sky130_fd_sc_hd__or2_2
+XFILLER_111_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_545_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_34_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64403_ _38857_/C _64839_/B VGND VGND VPWR VPWR _64403_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_470_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_206_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_541_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61615_ _60834_/A VGND VGND VPWR VPWR _61929_/B sky130_fd_sc_hd__buf_1
+XFILLER_37_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49337_ _62592_/A _49349_/B VGND VGND VPWR VPWR _49338_/B sky130_fd_sc_hd__nand2_2
+XFILLER_722_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68171_ _68502_/A _68171_/B _68171_/C VGND VGND VPWR VPWR _68172_/B sky130_fd_sc_hd__nor3_2
+XFILLER_601_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_411_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46549_ _46609_/A VGND VGND VPWR VPWR _46549_/X sky130_fd_sc_hd__buf_1
+XFILLER_241_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65383_ _65383_/A _65383_/B _65382_/Y VGND VGND VPWR VPWR _65551_/C sky130_fd_sc_hd__or3_2
+XFILLER_230_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77369_ _77584_/CLK _77369_/D VGND VGND VPWR VPWR _53093_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_234_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62595_ _62118_/X _62590_/Y _62594_/Y VGND VGND VPWR VPWR _62595_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_568_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_178_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_421_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67122_ _67122_/A _67779_/B VGND VGND VPWR VPWR _67122_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_378_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_21_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79108_ _79095_/CLK _79108_/D VGND VGND VPWR VPWR _79108_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_206_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64334_ _64334_/A VGND VGND VPWR VPWR _66846_/A sky130_fd_sc_hd__buf_1
+XFILLER_611_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61546_ _61402_/A _61546_/B _61546_/C VGND VGND VPWR VPWR _61551_/B sky130_fd_sc_hd__nor3_2
+X_49268_ _78377_/Q _49257_/B VGND VGND VPWR VPWR _49268_/Y sky130_fd_sc_hd__nand2_2
+X_80380_ _80420_/CLK _38419_/Y VGND VGND VPWR VPWR _80380_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_378_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_72_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_460_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_300_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_194_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39021_ _39021_/A _39020_/Y VGND VGND VPWR VPWR _80229_/D sky130_fd_sc_hd__nand2_2
+XFILLER_304_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48219_ _63332_/A _48225_/B VGND VGND VPWR VPWR _48221_/A sky130_fd_sc_hd__nand2_2
+X_67053_ _67877_/A VGND VGND VPWR VPWR _67235_/A sky130_fd_sc_hd__buf_1
+XFILLER_394_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79039_ _76720_/CLK _79039_/D VGND VGND VPWR VPWR _72046_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64265_ _64172_/X _64265_/B _64264_/Y VGND VGND VPWR VPWR _64265_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_575_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_198_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_33_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61477_ _61318_/X _61475_/Y _61477_/C VGND VGND VPWR VPWR _61481_/B sky130_fd_sc_hd__nor3_2
+X_49199_ _64036_/A _49199_/B VGND VGND VPWR VPWR _49202_/A sky130_fd_sc_hd__nand2_2
+XFILLER_571_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66004_ _66500_/A _66002_/Y _66003_/Y VGND VGND VPWR VPWR _66004_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_11_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_536_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51230_ _46238_/A _49363_/X _46239_/Y _49364_/X VGND VGND VPWR VPWR _51399_/A sky130_fd_sc_hd__o22a_4
+XFILLER_391_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63216_ _62899_/X _63212_/Y _63216_/C VGND VGND VPWR VPWR _63216_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_653_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_293_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_257_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60428_ _60267_/A _60428_/B _60427_/Y VGND VGND VPWR VPWR _60428_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_438_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64196_ _64517_/A VGND VGND VPWR VPWR _69489_/A sky130_fd_sc_hd__buf_1
+XFILLER_274_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_175_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_419_1620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_324_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81001_ _80845_/CLK _74183_/Y VGND VGND VPWR VPWR _81001_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_419_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_356_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51161_ _51161_/A _51155_/B VGND VGND VPWR VPWR _51161_/X sky130_fd_sc_hd__or2_2
+XFILLER_119_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63147_ _78718_/Q _63468_/B VGND VGND VPWR VPWR _63150_/B sky130_fd_sc_hd__nor2_2
+XFILLER_612_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60359_ _77140_/Q _60673_/B VGND VGND VPWR VPWR _60360_/C sky130_fd_sc_hd__nor2_2
+XFILLER_580_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_571_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_540_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_108_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50112_ _50111_/X _50116_/B VGND VGND VPWR VPWR _50113_/B sky130_fd_sc_hd__or2_2
+XFILLER_317_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_685_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39923_ _39907_/X _39912_/X _80006_/Q VGND VGND VPWR VPWR _39923_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_134_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_172_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_170_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51092_ _51036_/A _51110_/B VGND VGND VPWR VPWR _51093_/B sky130_fd_sc_hd__or2_2
+XFILLER_569_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63078_ _63078_/A _62769_/X VGND VGND VPWR VPWR _63078_/Y sky130_fd_sc_hd__nor2_2
+X_67955_ _58097_/A _68271_/B VGND VGND VPWR VPWR _67955_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_315_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_289_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50043_ _50059_/B VGND VGND VPWR VPWR _50044_/B sky130_fd_sc_hd__buf_1
+X_54920_ _76889_/Q _54920_/B VGND VGND VPWR VPWR _54920_/Y sky130_fd_sc_hd__nand2_2
+X_66906_ _66906_/A VGND VGND VPWR VPWR _67390_/B sky130_fd_sc_hd__buf_1
+X_62029_ _61550_/A _62029_/B _62029_/C VGND VGND VPWR VPWR _62029_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_89_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39854_ _39220_/A VGND VGND VPWR VPWR _39984_/A sky130_fd_sc_hd__buf_1
+XFILLER_135_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67886_ _67886_/A _68052_/B VGND VGND VPWR VPWR _67886_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_255_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_248_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38805_ _38805_/A _38805_/B _38805_/C VGND VGND VPWR VPWR _38805_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_654_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_467_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_213_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69625_ _69625_/A _69625_/B _69625_/C _69625_/D VGND VGND VPWR VPWR _69664_/A sky130_fd_sc_hd__nor4_2
+XFILLER_681_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54851_ _54851_/A _54815_/B VGND VGND VPWR VPWR _54861_/A sky130_fd_sc_hd__or2_2
+XFILLER_118_1469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66837_ _66509_/A _66837_/B _66836_/Y VGND VGND VPWR VPWR _66837_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_311_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39785_ _76121_/A VGND VGND VPWR VPWR _40288_/A sky130_fd_sc_hd__buf_1
+XPHY_7229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_487_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53802_ _53800_/A VGND VGND VPWR VPWR _53818_/B sky130_fd_sc_hd__buf_1
+XFILLER_69_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38736_ _38736_/A _38736_/B VGND VGND VPWR VPWR _38736_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_723_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_202_0_u_core.clock clkbuf_8_203_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_405_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_57570_ _57658_/A _57568_/Y _57569_/Y VGND VGND VPWR VPWR _57570_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_445_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69556_ _64248_/A _69556_/B _69556_/C VGND VGND VPWR VPWR _69557_/D sky130_fd_sc_hd__nor3_2
+XFILLER_40_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_151_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54782_ _54780_/Y _54782_/B VGND VGND VPWR VPWR _76926_/D sky130_fd_sc_hd__nand2_2
+XFILLER_99_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66768_ _65102_/A VGND VGND VPWR VPWR _66769_/B sky130_fd_sc_hd__buf_1
+XFILLER_680_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51994_ _51992_/Y _51994_/B VGND VGND VPWR VPWR _51994_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_367_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_262_0_u_core.clock clkbuf_9_263_0_u_core.clock/A VGND VGND VPWR VPWR _77274_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_268_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_547_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_448_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_113_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56521_ _57333_/A VGND VGND VPWR VPWR _56684_/A sky130_fd_sc_hd__buf_1
+XFILLER_84_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_68507_ _68340_/Y _68341_/Y _68345_/X _68506_/Y VGND VGND VPWR VPWR _76709_/D sky130_fd_sc_hd__or4_2
+XFILLER_650_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_3050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53733_ _53733_/A _53733_/B VGND VGND VPWR VPWR _77205_/D sky130_fd_sc_hd__nand2_2
+XFILLER_426_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_406_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_148_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65719_ _65884_/A _65719_/B _65719_/C VGND VGND VPWR VPWR _65720_/C sky130_fd_sc_hd__nor3_2
+XFILLER_284_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38667_ _38670_/A _38670_/B _80323_/Q VGND VGND VPWR VPWR _38667_/Y sky130_fd_sc_hd__nand3_2
+X_50945_ _62473_/A _50949_/B VGND VGND VPWR VPWR _50945_/Y sky130_fd_sc_hd__nand2_2
+X_69487_ _69487_/A _69479_/Y _69487_/C VGND VGND VPWR VPWR _69487_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_344_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66699_ _66699_/A VGND VGND VPWR VPWR _67072_/A sky130_fd_sc_hd__buf_1
+XFILLER_528_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_405_225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_328_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59240_ _59240_/A VGND VGND VPWR VPWR _62247_/A sky130_fd_sc_hd__buf_1
+XFILLER_246_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_209_0_u_core.clock clkbuf_9_209_0_u_core.clock/A VGND VGND VPWR VPWR _79183_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_96_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80716_ _80845_/CLK _80716_/D VGND VGND VPWR VPWR _69151_/A sky130_fd_sc_hd__dfxtp_4
+X_56452_ _38735_/C _56606_/B VGND VGND VPWR VPWR _56452_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_433_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68438_ _68776_/A _68435_/Y _68438_/C VGND VGND VPWR VPWR _68443_/B sky130_fd_sc_hd__nor3_2
+XFILLER_271_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_0_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53664_ _53664_/A _53673_/B VGND VGND VPWR VPWR _53664_/X sky130_fd_sc_hd__or2_2
+XFILLER_109_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38598_ _38598_/A _38598_/B VGND VGND VPWR VPWR _80339_/D sky130_fd_sc_hd__nand2_2
+XFILLER_502_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50876_ _77957_/Q _50876_/B VGND VGND VPWR VPWR _50879_/A sky130_fd_sc_hd__nand2_2
+XFILLER_721_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55403_ _55403_/A VGND VGND VPWR VPWR _55436_/A sky130_fd_sc_hd__buf_1
+XPHY_36907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52615_ _52614_/X _52638_/B VGND VGND VPWR VPWR _52616_/B sky130_fd_sc_hd__or2_2
+XFILLER_497_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59171_ _77157_/Q _59728_/B VGND VGND VPWR VPWR _59175_/B sky130_fd_sc_hd__nor2_2
+XPHY_36918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56383_ _56383_/A _58809_/B VGND VGND VPWR VPWR _56383_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_140_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80647_ _80630_/CLK _80647_/D VGND VGND VPWR VPWR _68348_/A sky130_fd_sc_hd__dfxtp_4
+X_68369_ _68369_/A _68369_/B VGND VGND VPWR VPWR _68369_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_541_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53595_ _77242_/Q _53595_/B VGND VGND VPWR VPWR _53595_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_55_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_309_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_26406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_52_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_693_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_378_0_u_core.clock clkbuf_9_379_0_u_core.clock/A VGND VGND VPWR VPWR _77230_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_142_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58122_ _58045_/A _58119_/Y _58121_/Y VGND VGND VPWR VPWR _58122_/Y sky130_fd_sc_hd__nor3_2
+X_70400_ _70398_/Y _70399_/Y VGND VGND VPWR VPWR _71498_/A sky130_fd_sc_hd__nor2_2
+XPHY_225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55334_ _55347_/A _55334_/B VGND VGND VPWR VPWR _55334_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_385_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40560_ _40556_/X _40560_/B VGND VGND VPWR VPWR _40560_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_142_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_199_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52546_ _60469_/A _52546_/B VGND VGND VPWR VPWR _52548_/A sky130_fd_sc_hd__nand2_2
+XFILLER_708_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71380_ _70299_/A _71380_/B VGND VGND VPWR VPWR _71386_/B sky130_fd_sc_hd__nor2_2
+XFILLER_678_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_129_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80578_ _80577_/CLK _80578_/D VGND VGND VPWR VPWR _80578_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_25705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_160_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_224_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_636_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39219_ _39217_/X _39219_/B VGND VGND VPWR VPWR _39219_/Y sky130_fd_sc_hd__nand2_2
+XPHY_15204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70331_ _42101_/A VGND VGND VPWR VPWR _70331_/Y sky130_fd_sc_hd__inv_8
+XFILLER_519_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58053_ _56345_/A VGND VGND VPWR VPWR _58366_/B sky130_fd_sc_hd__buf_1
+XFILLER_259_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55265_ _46003_/A _55262_/B VGND VGND VPWR VPWR _55265_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_563_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_103_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40491_ _40488_/X _40491_/B VGND VGND VPWR VPWR _40491_/Y sky130_fd_sc_hd__nand2_2
+X_52477_ _77536_/Q _52458_/X VGND VGND VPWR VPWR _52477_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_166_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_339_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57004_ _57004_/A _57167_/B VGND VGND VPWR VPWR _57005_/C sky130_fd_sc_hd__nor2_2
+XFILLER_652_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42230_ _79500_/Q VGND VGND VPWR VPWR _45175_/A sky130_fd_sc_hd__buf_1
+XFILLER_123_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_162_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54216_ _59043_/A _54210_/B VGND VGND VPWR VPWR _54218_/A sky130_fd_sc_hd__nand2_2
+XFILLER_327_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_137_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73050_ _73056_/A _73061_/B _66935_/A VGND VGND VPWR VPWR _73050_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_472_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51428_ _51516_/A _51412_/X VGND VGND VPWR VPWR _51429_/B sky130_fd_sc_hd__or2_2
+XPHY_14514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70262_ _70262_/A VGND VGND VPWR VPWR _70262_/X sky130_fd_sc_hd__buf_1
+XFILLER_704_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55196_ _55196_/A _55158_/B VGND VGND VPWR VPWR _55200_/A sky130_fd_sc_hd__and2_2
+XFILLER_71_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_197_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_218_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72001_ _71764_/A _72001_/B _72000_/X VGND VGND VPWR VPWR _72001_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_32_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_197_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54147_ _54083_/A _54147_/B VGND VGND VPWR VPWR _54147_/X sky130_fd_sc_hd__or2_2
+XFILLER_292_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42161_ _42178_/A _42161_/B VGND VGND VPWR VPWR _79510_/D sky130_fd_sc_hd__nor2_2
+XPHY_14558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_315_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_373_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51359_ _51359_/A _51358_/X VGND VGND VPWR VPWR _51359_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_630_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_10_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70193_ _70164_/A VGND VGND VPWR VPWR _70193_/X sky130_fd_sc_hd__buf_1
+XPHY_13824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_390_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_326_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41112_ _41018_/A VGND VGND VPWR VPWR _41131_/B sky130_fd_sc_hd__buf_1
+XFILLER_197_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_101_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_688_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42092_ _42090_/Y _42091_/Y _42096_/C VGND VGND VPWR VPWR _42092_/Y sky130_fd_sc_hd__nor3_2
+X_54078_ _54078_/A _54083_/B VGND VGND VPWR VPWR _54078_/X sky130_fd_sc_hd__or2_2
+X_58955_ _59384_/A _58955_/B _58954_/Y VGND VGND VPWR VPWR _58980_/B sky130_fd_sc_hd__nor3_2
+XFILLER_453_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45920_ _42559_/B _45908_/X VGND VGND VPWR VPWR _45921_/C sky130_fd_sc_hd__nor2_2
+XFILLER_218_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41043_ _41018_/A VGND VGND VPWR VPWR _41044_/B sky130_fd_sc_hd__buf_1
+XPHY_30524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57906_ _67622_/A _57828_/X VGND VGND VPWR VPWR _57907_/C sky130_fd_sc_hd__nor2_2
+X_53029_ _53026_/Y _53029_/B VGND VGND VPWR VPWR _77388_/D sky130_fd_sc_hd__nand2_2
+XFILLER_66_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76740_ _78080_/CLK _61509_/Y VGND VGND VPWR VPWR _45077_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_669_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73952_ _73986_/A VGND VGND VPWR VPWR _73963_/A sky130_fd_sc_hd__buf_1
+XFILLER_496_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58886_ _47454_/A VGND VGND VPWR VPWR _58887_/A sky130_fd_sc_hd__buf_1
+XPHY_20012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72903_ _72903_/A _72903_/B VGND VGND VPWR VPWR _72903_/Y sky130_fd_sc_hd__nand2_2
+XPHY_8442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57837_ _57039_/A VGND VGND VPWR VPWR _57916_/B sky130_fd_sc_hd__buf_1
+XFILLER_270_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45851_ _45694_/A VGND VGND VPWR VPWR _45851_/X sky130_fd_sc_hd__buf_1
+XFILLER_568_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76671_ _76671_/CLK _76671_/D VGND VGND VPWR VPWR _76671_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_657_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73883_ _73872_/A VGND VGND VPWR VPWR _73883_/X sky130_fd_sc_hd__buf_1
+XFILLER_62_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_114_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_216_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78410_ _78836_/CLK _78410_/D VGND VGND VPWR VPWR _78410_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_663_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44802_ _44772_/X _44801_/X VGND VGND VPWR VPWR _44802_/Y sky130_fd_sc_hd__nor2_2
+XPHY_8486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75622_ _75865_/A _75635_/B VGND VGND VPWR VPWR _75622_/X sky130_fd_sc_hd__or2_2
+XPHY_20089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48570_ _48570_/A _48552_/B VGND VGND VPWR VPWR _48572_/A sky130_fd_sc_hd__nand2_2
+XFILLER_698_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72834_ _72974_/B VGND VGND VPWR VPWR _72843_/B sky130_fd_sc_hd__buf_1
+XFILLER_310_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79390_ _79589_/CLK _79390_/D VGND VGND VPWR VPWR _67942_/A sky130_fd_sc_hd__dfxtp_4
+X_45782_ _70641_/Y _43537_/C VGND VGND VPWR VPWR _45783_/C sky130_fd_sc_hd__nor2_2
+XFILLER_212_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57768_ _43420_/C _57525_/X VGND VGND VPWR VPWR _57770_/B sky130_fd_sc_hd__nor2_2
+XPHY_7763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42994_ _42994_/A _42994_/B VGND VGND VPWR VPWR _42996_/A sky130_fd_sc_hd__or2_2
+XFILLER_612_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_59_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_62_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_235_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47521_ _47521_/A _47498_/B VGND VGND VPWR VPWR _47521_/Y sky130_fd_sc_hd__nand2_2
+X_59507_ _59023_/X VGND VGND VPWR VPWR _59510_/A sky130_fd_sc_hd__buf_1
+XFILLER_388_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78341_ _78332_/CLK _49426_/Y VGND VGND VPWR VPWR _61807_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44733_ _44733_/A _44700_/A _44733_/C VGND VGND VPWR VPWR _44733_/X sky130_fd_sc_hd__and3_2
+XPHY_7796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56719_ _56375_/A _56719_/B _56718_/Y VGND VGND VPWR VPWR _56725_/B sky130_fd_sc_hd__nor3_2
+XFILLER_229_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75553_ _75502_/A VGND VGND VPWR VPWR _75554_/B sky130_fd_sc_hd__buf_1
+XFILLER_466_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_79_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41945_ _44909_/A _41945_/B VGND VGND VPWR VPWR _41957_/B sky130_fd_sc_hd__or2_2
+XPHY_39544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72765_ _72239_/A _72772_/B VGND VGND VPWR VPWR _72765_/X sky130_fd_sc_hd__or2_2
+XFILLER_722_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57699_ _57531_/X _57697_/Y _57698_/Y VGND VGND VPWR VPWR _57699_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_598_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_362_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74504_ _74112_/A _74504_/B VGND VGND VPWR VPWR _74507_/A sky130_fd_sc_hd__or2_2
+XFILLER_21_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47452_ _47452_/A _47427_/A VGND VGND VPWR VPWR _47452_/X sky130_fd_sc_hd__or2_2
+X_71716_ _70850_/X _71716_/B VGND VGND VPWR VPWR _71717_/C sky130_fd_sc_hd__and2_2
+XFILLER_409_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59438_ _77350_/Q _59241_/X VGND VGND VPWR VPWR _59438_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_327_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78272_ _78277_/CLK _78272_/D VGND VGND VPWR VPWR _78272_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_39588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44664_ _44378_/C VGND VGND VPWR VPWR _44664_/Y sky130_fd_sc_hd__inv_8
+XFILLER_264_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_112_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75484_ _75481_/X _75483_/Y VGND VGND VPWR VPWR _80675_/D sky130_fd_sc_hd__nand2_2
+XFILLER_452_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41876_ _41876_/A VGND VGND VPWR VPWR _41876_/X sky130_fd_sc_hd__buf_1
+XPHY_38854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72696_ _72773_/A VGND VGND VPWR VPWR _72700_/A sky130_fd_sc_hd__buf_1
+XFILLER_225_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_676_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_127_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46403_ _45074_/A _46402_/Y VGND VGND VPWR VPWR _46405_/C sky130_fd_sc_hd__nor2_2
+XPHY_38876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77223_ _77223_/CLK _53671_/Y VGND VGND VPWR VPWR _77223_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_520_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43615_ _42678_/B _43607_/B VGND VGND VPWR VPWR _43616_/C sky130_fd_sc_hd__nor2_2
+XFILLER_222_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74435_ _80935_/Q VGND VGND VPWR VPWR _74435_/Y sky130_fd_sc_hd__inv_8
+XPHY_38887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40827_ _40827_/A _40827_/B VGND VGND VPWR VPWR _79773_/D sky130_fd_sc_hd__nand2_2
+XFILLER_249_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47383_ _47381_/Y _47382_/X VGND VGND VPWR VPWR _78854_/D sky130_fd_sc_hd__nand2_2
+XFILLER_229_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59369_ _59351_/Y _59368_/Y VGND VGND VPWR VPWR _59369_/Y sky130_fd_sc_hd__nor2_2
+X_71647_ _46989_/A VGND VGND VPWR VPWR _71648_/B sky130_fd_sc_hd__inv_8
+XFILLER_597_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44595_ _44572_/A _44589_/Y _44595_/C VGND VGND VPWR VPWR _44595_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_679_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_377_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_600_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_340_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61400_ _77507_/Q _61243_/B VGND VGND VPWR VPWR _61402_/B sky130_fd_sc_hd__nor2_2
+X_49122_ _49122_/A _49121_/X VGND VGND VPWR VPWR _78418_/D sky130_fd_sc_hd__nand2_2
+XFILLER_16_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46334_ _46337_/B VGND VGND VPWR VPWR _46334_/Y sky130_fd_sc_hd__inv_8
+XFILLER_200_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_327_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77154_ _77719_/CLK _53927_/Y VGND VGND VPWR VPWR _77154_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_203_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62380_ _78185_/Q _62856_/B VGND VGND VPWR VPWR _62383_/B sky130_fd_sc_hd__nor2_2
+XPHY_17140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43546_ _72127_/A _43552_/B VGND VGND VPWR VPWR _43551_/B sky130_fd_sc_hd__nor2_2
+XFILLER_164_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74366_ _74291_/A VGND VGND VPWR VPWR _74381_/B sky130_fd_sc_hd__buf_1
+XFILLER_204_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40758_ _75585_/A _39733_/A VGND VGND VPWR VPWR _40758_/X sky130_fd_sc_hd__or2_2
+XPHY_27674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71578_ _70846_/X _71578_/B VGND VGND VPWR VPWR _71578_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_160_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_538_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_143_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76105_ _76100_/X _76105_/B VGND VGND VPWR VPWR _76105_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_31_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_692_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73317_ _73354_/A VGND VGND VPWR VPWR _73317_/X sky130_fd_sc_hd__buf_1
+X_61331_ _61484_/A _61331_/B _61330_/Y VGND VGND VPWR VPWR _61331_/Y sky130_fd_sc_hd__nor3_2
+X_49053_ _49044_/A VGND VGND VPWR VPWR _49053_/X sky130_fd_sc_hd__buf_1
+XFILLER_203_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_321_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46265_ _45188_/A _46265_/B VGND VGND VPWR VPWR _46269_/B sky130_fd_sc_hd__nor2_2
+XPHY_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70529_ _70537_/A _70484_/B _70484_/C VGND VGND VPWR VPWR _70529_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_566_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77085_ _77379_/CLK _77085_/D VGND VGND VPWR VPWR _54186_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43477_ _43475_/X _43476_/Y VGND VGND VPWR VPWR _43477_/Y sky130_fd_sc_hd__nand2_2
+XPHY_2070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74297_ _74297_/A _74297_/B VGND VGND VPWR VPWR _80970_/D sky130_fd_sc_hd__nand2_2
+XPHY_17195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40689_ _40693_/A _40685_/B _67654_/A VGND VGND VPWR VPWR _40690_/B sky130_fd_sc_hd__nand3_2
+XFILLER_670_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_50_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48004_ _78702_/Q _48000_/B VGND VGND VPWR VPWR _48007_/A sky130_fd_sc_hd__nand2_2
+XPHY_2092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45216_ _45216_/A _45215_/Y VGND VGND VPWR VPWR _45217_/C sky130_fd_sc_hd__nor2_2
+XFILLER_657_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64050_ _64050_/A _64050_/B VGND VGND VPWR VPWR _64050_/Y sky130_fd_sc_hd__nor2_2
+X_76036_ _75917_/A _76036_/B VGND VGND VPWR VPWR _76036_/X sky130_fd_sc_hd__or2_2
+XFILLER_297_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42428_ _42424_/X _55878_/B _76681_/Q _42428_/D VGND VGND VPWR VPWR _42428_/X sky130_fd_sc_hd__and4_2
+XFILLER_198_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61262_ _77370_/Q _60783_/B VGND VGND VPWR VPWR _61262_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_158_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_395_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73248_ _73245_/Y _73247_/X VGND VGND VPWR VPWR _73248_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_553_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46196_ _46083_/X _46195_/Y VGND VGND VPWR VPWR _46196_/X sky130_fd_sc_hd__or2_2
+XFILLER_490_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_392_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_581_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_707_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_490_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63001_ _47883_/A _63001_/B VGND VGND VPWR VPWR _63003_/B sky130_fd_sc_hd__nor2_2
+XFILLER_518_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_195_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60213_ _60046_/A _60211_/Y _60213_/C VGND VGND VPWR VPWR _60217_/B sky130_fd_sc_hd__nor3_2
+XPHY_15782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45147_ _45147_/A VGND VGND VPWR VPWR _45147_/Y sky130_fd_sc_hd__inv_8
+XFILLER_201_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_711_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42359_ _42359_/A _42359_/B VGND VGND VPWR VPWR _79490_/D sky130_fd_sc_hd__nor2_2
+XFILLER_562_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61193_ _61040_/A _61193_/B _61192_/Y VGND VGND VPWR VPWR _61194_/C sky130_fd_sc_hd__nor3_2
+X_73179_ _73179_/A _73178_/X VGND VGND VPWR VPWR _73179_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_119_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_32_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_722_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_193_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_236_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60144_ _59822_/A _60141_/Y _60144_/C VGND VGND VPWR VPWR _60150_/B sky130_fd_sc_hd__nor3_2
+XFILLER_312_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49955_ _49988_/A _49967_/B VGND VGND VPWR VPWR _49956_/B sky130_fd_sc_hd__or2_2
+X_45078_ _45077_/Y _45078_/B VGND VGND VPWR VPWR _45078_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_158_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77987_ _77987_/CLK _50767_/Y VGND VGND VPWR VPWR _62613_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_490_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_416_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_653_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_506_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44029_ _79245_/Q VGND VGND VPWR VPWR _44029_/Y sky130_fd_sc_hd__inv_8
+X_48906_ _48848_/A _48900_/B VGND VGND VPWR VPWR _48906_/X sky130_fd_sc_hd__or2_2
+XFILLER_653_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67740_ _81155_/Q _67740_/B VGND VGND VPWR VPWR _67741_/C sky130_fd_sc_hd__nor2_2
+XFILLER_488_3064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79726_ _79757_/CLK _79726_/D VGND VGND VPWR VPWR _58842_/A sky130_fd_sc_hd__dfxtp_4
+X_60075_ _60075_/A _60075_/B _60075_/C VGND VGND VPWR VPWR _60099_/A sky130_fd_sc_hd__nor3_2
+XFILLER_312_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64952_ _64788_/A _64950_/Y _64951_/Y VGND VGND VPWR VPWR _64952_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_521_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76938_ _76939_/CLK _54742_/Y VGND VGND VPWR VPWR _76938_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_48_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_648_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49886_ _78220_/Q _49885_/X VGND VGND VPWR VPWR _49886_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_414_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_230_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63903_ _59143_/A _63901_/Y _63902_/Y VGND VGND VPWR VPWR _63907_/B sky130_fd_sc_hd__nor3_2
+XFILLER_663_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_234_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_140_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48837_ _63808_/A _48844_/B VGND VGND VPWR VPWR _48839_/A sky130_fd_sc_hd__nand2_2
+X_67671_ _80322_/Q _67341_/B VGND VGND VPWR VPWR _67672_/C sky130_fd_sc_hd__nor2_2
+XPHY_21280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79657_ _79626_/CLK _41298_/Y VGND VGND VPWR VPWR _58496_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_217_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64883_ _80466_/Q _65406_/B VGND VGND VPWR VPWR _64886_/B sky130_fd_sc_hd__nor2_2
+XFILLER_332_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76869_ _76871_/CLK _54990_/Y VGND VGND VPWR VPWR _59174_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_386_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_474_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_367_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_607_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69410_ _64599_/X _69408_/Y _69410_/C VGND VGND VPWR VPWR _69411_/C sky130_fd_sc_hd__nor3_2
+XFILLER_462_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_41_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66622_ _66622_/A _65965_/B VGND VGND VPWR VPWR _66622_/Y sky130_fd_sc_hd__nor2_2
+X_78608_ _78608_/CLK _48383_/Y VGND VGND VPWR VPWR _63449_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_490_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39570_ _39568_/X _39569_/Y VGND VGND VPWR VPWR _80091_/D sky130_fd_sc_hd__nand2_2
+XFILLER_85_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63834_ _63834_/A _63834_/B VGND VGND VPWR VPWR _63834_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_582_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48768_ _48864_/A _48768_/B VGND VGND VPWR VPWR _48768_/X sky130_fd_sc_hd__or2_2
+XPHY_20590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79588_ _79589_/CLK _79588_/D VGND VGND VPWR VPWR _67946_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_607_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_522_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_130_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38521_ _38666_/A _38512_/B VGND VGND VPWR VPWR _38521_/X sky130_fd_sc_hd__or2_2
+XFILLER_300_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_289_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69341_ _72354_/C _64213_/B VGND VGND VPWR VPWR _69342_/C sky130_fd_sc_hd__nor2_2
+XFILLER_632_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_347_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47719_ _63350_/A _47725_/B VGND VGND VPWR VPWR _47719_/Y sky130_fd_sc_hd__nand2_2
+X_66553_ _66715_/A _66553_/B _66552_/Y VGND VGND VPWR VPWR _66553_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_349_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78539_ _78517_/CLK _78539_/D VGND VGND VPWR VPWR _63844_/A sky130_fd_sc_hd__dfxtp_4
+X_63765_ _63634_/A _63765_/B _63764_/Y VGND VGND VPWR VPWR _63765_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_525_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_227_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60977_ _60347_/A _60971_/Y _60977_/C VGND VGND VPWR VPWR _60985_/B sky130_fd_sc_hd__nor3_2
+X_48699_ _48699_/A _48698_/X VGND VGND VPWR VPWR _78526_/D sky130_fd_sc_hd__nand2_2
+XFILLER_616_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_560_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_39_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_627_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_66_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65504_ _65497_/X _65500_/Y _65504_/C VGND VGND VPWR VPWR _65505_/C sky130_fd_sc_hd__nor3_2
+XFILLER_187_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_3070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38452_ _38361_/A VGND VGND VPWR VPWR _38522_/A sky130_fd_sc_hd__buf_1
+XFILLER_285_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50730_ _50727_/Y _50730_/B VGND VGND VPWR VPWR _50730_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_588_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62716_ _62564_/A _62716_/B _62716_/C VGND VGND VPWR VPWR _62717_/C sky130_fd_sc_hd__nor3_2
+X_69272_ _64599_/X _69270_/Y _69271_/Y VGND VGND VPWR VPWR _69272_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_148_1407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66484_ _64326_/X VGND VGND VPWR VPWR _66640_/B sky130_fd_sc_hd__buf_1
+XFILLER_281_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_470_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63696_ _63696_/A _59107_/B VGND VGND VPWR VPWR _63697_/C sky130_fd_sc_hd__nor2_2
+XFILLER_226_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_605_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_631_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80501_ _80518_/CLK _76195_/Y VGND VGND VPWR VPWR _80501_/Q sky130_fd_sc_hd__dfxtp_4
+X_68223_ _68223_/A _68725_/B VGND VGND VPWR VPWR _68223_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_380_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65435_ _65081_/A _65435_/B _65434_/Y VGND VGND VPWR VPWR _65439_/B sky130_fd_sc_hd__nor3_2
+XFILLER_235_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50661_ _50661_/A _50648_/X VGND VGND VPWR VPWR _50661_/X sky130_fd_sc_hd__or2_2
+X_38383_ _38383_/A _38382_/Y VGND VGND VPWR VPWR _80390_/D sky130_fd_sc_hd__nand2_2
+X_62647_ _62647_/A _62962_/B VGND VGND VPWR VPWR _62647_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_694_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81481_ _81457_/CLK _72192_/Y VGND VGND VPWR VPWR _68784_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_280_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_76_0_u_core.clock clkbuf_7_77_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_76_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_282_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_59_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52400_ _48547_/X _52280_/B VGND VGND VPWR VPWR _52400_/X sky130_fd_sc_hd__or2_2
+XFILLER_222_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_697_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_37_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80432_ _80370_/CLK _38220_/Y VGND VGND VPWR VPWR _64511_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_557_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68154_ _67994_/A _68150_/Y _68153_/Y VGND VGND VPWR VPWR _68154_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_495_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_675_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53380_ _53405_/B VGND VGND VPWR VPWR _53381_/B sky130_fd_sc_hd__buf_1
+XFILLER_55_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65366_ _65366_/A _66028_/B VGND VGND VPWR VPWR _65370_/B sky130_fd_sc_hd__nor2_2
+XFILLER_425_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50592_ _50582_/X VGND VGND VPWR VPWR _50602_/B sky130_fd_sc_hd__buf_1
+XFILLER_323_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62578_ _59882_/A VGND VGND VPWR VPWR _62579_/B sky130_fd_sc_hd__buf_1
+XFILLER_520_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67105_ _67105_/A _67105_/B _67105_/C VGND VGND VPWR VPWR _67111_/B sky130_fd_sc_hd__nor3_2
+XFILLER_206_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_276_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52331_ _52331_/A _52330_/X VGND VGND VPWR VPWR _52331_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_572_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64317_ _64317_/A _64305_/Y _64317_/C VGND VGND VPWR VPWR _64317_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_167_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_396_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61529_ _60910_/A _61527_/Y _61529_/C VGND VGND VPWR VPWR _61533_/B sky130_fd_sc_hd__nor3_2
+XFILLER_397_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80363_ _80346_/CLK _80363_/D VGND VGND VPWR VPWR _69030_/A sky130_fd_sc_hd__dfxtp_4
+X_68085_ _68085_/A _68406_/B VGND VGND VPWR VPWR _68085_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_309_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_456_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65297_ _64613_/X _65295_/Y _65296_/Y VGND VGND VPWR VPWR _65297_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_52_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_555_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_556_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39004_ _38994_/A VGND VGND VPWR VPWR _39060_/A sky130_fd_sc_hd__buf_1
+XFILLER_382_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_593_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_50_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55050_ _76852_/Q _55049_/X VGND VGND VPWR VPWR _55050_/X sky130_fd_sc_hd__and2_2
+XFILLER_716_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67036_ _75648_/C _66875_/B VGND VGND VPWR VPWR _67038_/B sky130_fd_sc_hd__nor2_2
+XFILLER_516_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52262_ _52262_/A _52262_/B VGND VGND VPWR VPWR _77594_/D sky130_fd_sc_hd__nand2_2
+X_64248_ _64248_/A VGND VGND VPWR VPWR _65021_/A sky130_fd_sc_hd__buf_1
+XFILLER_198_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80294_ _80354_/CLK _38778_/Y VGND VGND VPWR VPWR _38777_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_194_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_300_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_147_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54001_ _77133_/Q _53980_/B VGND VGND VPWR VPWR _54001_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_202_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_571_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_3066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51213_ _62182_/A _51198_/X VGND VGND VPWR VPWR _51213_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_493_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_506_0_u_core.clock clkbuf_9_507_0_u_core.clock/A VGND VGND VPWR VPWR _80384_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+Xclkbuf_7_101_0_u_core.clock clkbuf_6_50_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_203_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_52193_ _52193_/A _52192_/X VGND VGND VPWR VPWR _77613_/D sky130_fd_sc_hd__nand2_2
+XFILLER_163_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64179_ _64168_/A _64179_/B _64179_/C VGND VGND VPWR VPWR _64192_/B sky130_fd_sc_hd__nor3_2
+XPHY_22909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_198_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_239_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_161_0_u_core.clock clkbuf_7_80_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_323_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_419_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_317_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_571_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_614_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_323_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_702_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51144_ _51142_/A VGND VGND VPWR VPWR _51160_/B sky130_fd_sc_hd__buf_1
+XFILLER_89_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_415_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_219_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68987_ _68829_/A _68983_/Y _68987_/C VGND VGND VPWR VPWR _68996_/B sky130_fd_sc_hd__nor3_2
+XFILLER_235_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_454_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_434_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39906_ _40266_/A _39910_/B VGND VGND VPWR VPWR _39909_/A sky130_fd_sc_hd__or2_2
+XFILLER_63_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_588_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58740_ _58740_/A _58738_/Y _58740_/C VGND VGND VPWR VPWR _58744_/B sky130_fd_sc_hd__nor3_2
+XPHY_11718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_108_0_u_core.clock clkbuf_7_54_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_217_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_334_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55952_ _76678_/Q _42154_/B VGND VGND VPWR VPWR _55952_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_235_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67938_ _67600_/A _67937_/Y VGND VGND VPWR VPWR _67938_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_172_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51075_ _51073_/Y _51075_/B VGND VGND VPWR VPWR _51075_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_372_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_305_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_332_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_168_0_u_core.clock clkbuf_8_84_0_u_core.clock/X VGND VGND VPWR VPWR _79057_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_8_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1446 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_172_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_432_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_44_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50026_ _50026_/A _50025_/X VGND VGND VPWR VPWR _50026_/Y sky130_fd_sc_hd__nand2_2
+X_54903_ _54901_/Y _54902_/X VGND VGND VPWR VPWR _76894_/D sky130_fd_sc_hd__nand2_2
+XFILLER_666_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_682_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39837_ _39970_/A _39828_/B VGND VGND VPWR VPWR _39837_/X sky130_fd_sc_hd__or2_2
+XFILLER_133_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_135_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58671_ _69271_/A _58536_/B VGND VGND VPWR VPWR _58673_/B sky130_fd_sc_hd__nor2_2
+XFILLER_333_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_56_0_u_core.clock clkbuf_7_28_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_56_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_510_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55883_ _46836_/X _46031_/X VGND VGND VPWR VPWR _55892_/B sky130_fd_sc_hd__nor2_2
+XFILLER_213_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67869_ _67869_/A _67709_/B VGND VGND VPWR VPWR _67871_/B sky130_fd_sc_hd__nor2_2
+XFILLER_287_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_22_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_660_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57622_ _57937_/A _57615_/Y _57622_/C VGND VGND VPWR VPWR _57623_/B sky130_fd_sc_hd__nor3_2
+XPHY_6303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69608_ _55935_/A _69608_/B VGND VGND VPWR VPWR _69952_/A sky130_fd_sc_hd__nand2_2
+XFILLER_369_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_437_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54834_ _54831_/Y _54834_/B VGND VGND VPWR VPWR _76913_/D sky130_fd_sc_hd__nand2_2
+XFILLER_311_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_292_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39768_ _40155_/A _39753_/X VGND VGND VPWR VPWR _39768_/X sky130_fd_sc_hd__or2_2
+XPHY_6314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70880_ _70085_/X _70880_/B _70879_/X VGND VGND VPWR VPWR _70880_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_130_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_713_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_311_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38719_ _38714_/X _38718_/X _80309_/Q VGND VGND VPWR VPWR _38719_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_452_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69539_ _58801_/A _64800_/B VGND VGND VPWR VPWR _69540_/C sky130_fd_sc_hd__nor2_2
+X_57553_ _79998_/Q _57634_/B VGND VGND VPWR VPWR _57554_/C sky130_fd_sc_hd__nor2_2
+XPHY_5613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_608_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_523_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54765_ _54794_/A _54777_/B VGND VGND VPWR VPWR _54766_/B sky130_fd_sc_hd__or2_2
+XFILLER_92_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_426_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_446_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51977_ _51892_/A _51977_/B VGND VGND VPWR VPWR _51977_/X sky130_fd_sc_hd__or2_2
+X_39699_ _39689_/A _39692_/X _39699_/C VGND VGND VPWR VPWR _39699_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_291_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56504_ _58821_/A _56504_/B _56504_/C VGND VGND VPWR VPWR _56514_/A sky130_fd_sc_hd__nor3_2
+XFILLER_283_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_699_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41730_ _41693_/A VGND VGND VPWR VPWR _41731_/A sky130_fd_sc_hd__buf_1
+X_53716_ _53716_/A VGND VGND VPWR VPWR _53729_/B sky130_fd_sc_hd__buf_1
+XPHY_4912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72550_ _72550_/A _72550_/B _81401_/Q VGND VGND VPWR VPWR _72550_/Y sky130_fd_sc_hd__nand3_2
+XPHY_5657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50928_ _50985_/A _50928_/B VGND VGND VPWR VPWR _50928_/X sky130_fd_sc_hd__or2_2
+XFILLER_265_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57484_ _80157_/Q _57651_/B VGND VGND VPWR VPWR _57486_/B sky130_fd_sc_hd__nor2_2
+XFILLER_148_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54696_ _54810_/A _54684_/B VGND VGND VPWR VPWR _54696_/X sky130_fd_sc_hd__or2_2
+XPHY_37416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_404_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_461_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_595_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_246_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_508_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59223_ _59754_/A _59223_/B _59222_/Y VGND VGND VPWR VPWR _59224_/C sky130_fd_sc_hd__nor3_2
+XFILLER_96_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71501_ _71693_/A _71501_/B _71500_/Y VGND VGND VPWR VPWR _71501_/X sky130_fd_sc_hd__or3_2
+XFILLER_2_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_204_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56435_ _56435_/A _56434_/Y VGND VGND VPWR VPWR _56435_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_363_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_658_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53647_ _53647_/A VGND VGND VPWR VPWR _53761_/A sky130_fd_sc_hd__buf_1
+X_41661_ wbs_adr_i[9] _42406_/D _41913_/B _41914_/A VGND VGND VPWR VPWR _41662_/B
++ sky130_fd_sc_hd__or4_2
+XPHY_37449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72481_ _72555_/A VGND VGND VPWR VPWR _72482_/B sky130_fd_sc_hd__buf_1
+XPHY_4967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50859_ _50859_/A _50858_/X VGND VGND VPWR VPWR _77962_/D sky130_fd_sc_hd__nand2_2
+XFILLER_44_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_25_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_344_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_301_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_107_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_599_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43400_ _43400_/A _43400_/B VGND VGND VPWR VPWR _79360_/D sky130_fd_sc_hd__nand2_2
+XFILLER_281_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_70_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74220_ _74220_/A _74210_/B VGND VGND VPWR VPWR _74222_/A sky130_fd_sc_hd__or2_2
+XFILLER_709_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40612_ _40576_/A VGND VGND VPWR VPWR _40617_/A sky130_fd_sc_hd__buf_1
+XPHY_26214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71432_ _74049_/A VGND VGND VPWR VPWR _39155_/A sky130_fd_sc_hd__buf_1
+XFILLER_207_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_241_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59154_ _63620_/A _59154_/B _59153_/Y VGND VGND VPWR VPWR _59177_/B sky130_fd_sc_hd__nor3_2
+XFILLER_263_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44380_ _73262_/X VGND VGND VPWR VPWR _44416_/A sky130_fd_sc_hd__buf_1
+XFILLER_594_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56366_ _57191_/A VGND VGND VPWR VPWR _56366_/X sky130_fd_sc_hd__buf_1
+XFILLER_363_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_1586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41592_ _41598_/A _41606_/B _57292_/A VGND VGND VPWR VPWR _41592_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_240_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53578_ _53576_/Y _53578_/B VGND VGND VPWR VPWR _77247_/D sky130_fd_sc_hd__nand2_2
+XFILLER_400_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_73_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_109_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_26247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_693_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_34_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_619_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_537_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58105_ _58180_/A _58105_/B _58104_/Y VGND VGND VPWR VPWR _58105_/X sky130_fd_sc_hd__or3_2
+XFILLER_126_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43331_ _43257_/A VGND VGND VPWR VPWR _43346_/B sky130_fd_sc_hd__buf_1
+XFILLER_31_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55317_ _55323_/A _55317_/B _55316_/Y VGND VGND VPWR VPWR _55318_/B sky130_fd_sc_hd__or3_2
+XFILLER_200_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74151_ _76217_/A VGND VGND VPWR VPWR _74659_/A sky130_fd_sc_hd__buf_1
+XFILLER_400_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40543_ _40543_/A VGND VGND VPWR VPWR _40559_/B sky130_fd_sc_hd__buf_1
+XFILLER_717_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52529_ _52527_/Y _52529_/B VGND VGND VPWR VPWR _77523_/D sky130_fd_sc_hd__nand2_2
+XPHY_26269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59085_ _59533_/A _59084_/Y VGND VGND VPWR VPWR _59086_/C sky130_fd_sc_hd__nor2_2
+X_71363_ _70293_/X _71554_/B VGND VGND VPWR VPWR _71363_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_678_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56297_ _56526_/A VGND VGND VPWR VPWR _57112_/A sky130_fd_sc_hd__buf_1
+XFILLER_40_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_185_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73102_ _73102_/A _73102_/B _64223_/A VGND VGND VPWR VPWR _73102_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_300_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_177_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46050_ _42444_/A _42438_/C _46050_/C VGND VGND VPWR VPWR _46053_/B sky130_fd_sc_hd__or3_2
+XFILLER_202_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58036_ _57084_/A VGND VGND VPWR VPWR _58036_/X sky130_fd_sc_hd__buf_1
+X_70314_ _70314_/A _70311_/X VGND VGND VPWR VPWR _70314_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_519_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_36_0_u_core.clock clkbuf_9_37_0_u_core.clock/A VGND VGND VPWR VPWR _78896_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_25568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43262_ _42964_/A VGND VGND VPWR VPWR _43263_/A sky130_fd_sc_hd__buf_1
+X_55248_ _72130_/A _55248_/B VGND VGND VPWR VPWR _55299_/A sky130_fd_sc_hd__or2_2
+XPHY_14300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74082_ _74067_/A _74073_/B _74082_/C VGND VGND VPWR VPWR _74082_/Y sky130_fd_sc_hd__nand3_2
+XPHY_15045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_294_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_103_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40474_ _44239_/A VGND VGND VPWR VPWR _40604_/A sky130_fd_sc_hd__buf_1
+XFILLER_590_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71294_ _71224_/X _71274_/X _71240_/X _42559_/B VGND VGND VPWR VPWR _71295_/A sky130_fd_sc_hd__o22a_4
+XPHY_14311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_505_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45001_ _79445_/Q _44935_/B _43240_/A _44932_/A VGND VGND VPWR VPWR _45002_/B sky130_fd_sc_hd__o22a_4
+XFILLER_516_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_357_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42213_ wbs_dat_i[29] VGND VGND VPWR VPWR _42213_/Y sky130_fd_sc_hd__inv_8
+XFILLER_103_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77910_ _78428_/CLK _51053_/Y VGND VGND VPWR VPWR _61859_/A sky130_fd_sc_hd__dfxtp_4
+X_73033_ _42926_/A _73020_/X VGND VGND VPWR VPWR _73034_/B sky130_fd_sc_hd__or2_2
+XPHY_24867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_712_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_51_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70245_ _70202_/Y _70244_/Y VGND VGND VPWR VPWR _70246_/C sky130_fd_sc_hd__nor2_2
+XPHY_24878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43193_ _46447_/A _46176_/B VGND VGND VPWR VPWR _43193_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_691_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55179_ _55161_/A _55169_/Y _55179_/C VGND VGND VPWR VPWR _55179_/Y sky130_fd_sc_hd__nor3_2
+XPHY_13610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78890_ _78890_/CLK _78890_/D VGND VGND VPWR VPWR _46400_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_14355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_4_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_532_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42144_ _69702_/A _42141_/B VGND VGND VPWR VPWR _42144_/Y sky130_fd_sc_hd__nor2_2
+XPHY_13643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77841_ _77840_/CLK _77841_/D VGND VGND VPWR VPWR _51323_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_175_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_10_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70176_ _70162_/X _70173_/X _70174_/X _70175_/X VGND VGND VPWR VPWR _70176_/X sky130_fd_sc_hd__o22a_4
+XPHY_14399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59987_ _55000_/A _59987_/B VGND VGND VPWR VPWR _59987_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_370_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_49_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_374_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_317_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_473_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_326_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49740_ _49737_/Y _49739_/X VGND VGND VPWR VPWR _78260_/D sky130_fd_sc_hd__nand2_2
+XFILLER_140_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_370_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_370_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46952_ _46910_/A VGND VGND VPWR VPWR _46969_/A sky130_fd_sc_hd__buf_1
+XPHY_13698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42075_ _42093_/A VGND VGND VPWR VPWR _42090_/B sky130_fd_sc_hd__buf_1
+X_58938_ _59796_/A VGND VGND VPWR VPWR _59492_/A sky130_fd_sc_hd__buf_1
+X_77772_ _77769_/CLK _77772_/D VGND VGND VPWR VPWR _51592_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_720_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74984_ _74984_/A _74988_/B _67873_/A VGND VGND VPWR VPWR _74986_/A sky130_fd_sc_hd__nand3_2
+XFILLER_352_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_69_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_31077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_370_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_390_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79511_ _79511_/CLK _79511_/D VGND VGND VPWR VPWR _69674_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_12986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41026_ _40393_/A VGND VGND VPWR VPWR _41294_/A sky130_fd_sc_hd__buf_1
+XFILLER_84_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45903_ _41849_/Y _45815_/A _45772_/Y _45710_/X VGND VGND VPWR VPWR _45903_/X sky130_fd_sc_hd__o22a_4
+XFILLER_218_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_645_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_548_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76723_ _76720_/CLK _76723_/D VGND VGND VPWR VPWR _69862_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_12997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49671_ _61948_/A _49675_/B VGND VGND VPWR VPWR _49674_/A sky130_fd_sc_hd__nand2_2
+X_73935_ _73949_/A _73930_/X _73935_/C VGND VGND VPWR VPWR _73935_/Y sky130_fd_sc_hd__nand3_2
+XPHY_30365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46883_ _46875_/X _71273_/B _71194_/Y _46846_/X VGND VGND VPWR VPWR _46883_/X sky130_fd_sc_hd__o22a_4
+XFILLER_311_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58869_ _78980_/Q _58869_/B _78981_/Q VGND VGND VPWR VPWR _58872_/B sky130_fd_sc_hd__and3_2
+XFILLER_270_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_411_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_122_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60900_ _60900_/A _60739_/B VGND VGND VPWR VPWR _60900_/Y sky130_fd_sc_hd__nor2_2
+XPHY_8272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48622_ _48610_/A VGND VGND VPWR VPWR _48627_/B sky130_fd_sc_hd__buf_1
+XFILLER_7_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79442_ _79439_/CLK _79442_/D VGND VGND VPWR VPWR _58759_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_67_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_3070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45834_ _45834_/A _45816_/B VGND VGND VPWR VPWR _45839_/B sky130_fd_sc_hd__nor2_2
+XFILLER_27_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76654_ _76651_/CLK _76654_/D VGND VGND VPWR VPWR _76654_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_295_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_267_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61880_ _61877_/X _61880_/B _61880_/C VGND VGND VPWR VPWR _61880_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_706_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73866_ _73866_/A _73750_/B _64201_/A VGND VGND VPWR VPWR _73866_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_342_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_286_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75605_ _75620_/A _75605_/B _80650_/Q VGND VGND VPWR VPWR _75605_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_248_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60831_ _60680_/A _60829_/Y _60831_/C VGND VGND VPWR VPWR _60831_/Y sky130_fd_sc_hd__nor3_2
+X_72817_ _72318_/X _72820_/B VGND VGND VPWR VPWR _72817_/X sky130_fd_sc_hd__or2_2
+XPHY_7582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48553_ _48550_/Y _48552_/X VGND VGND VPWR VPWR _48553_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_268_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79373_ _81285_/CLK _43348_/Y VGND VGND VPWR VPWR _65113_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_282_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45765_ _45764_/Y _45695_/X VGND VGND VPWR VPWR _45767_/B sky130_fd_sc_hd__nor2_2
+XPHY_39330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76585_ VGND VGND VPWR VPWR _76585_/HI la_data_out[81] sky130_fd_sc_hd__conb_1
+XPHY_7593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42977_ _71889_/B _42971_/B VGND VGND VPWR VPWR _42980_/A sky130_fd_sc_hd__or2_2
+XFILLER_149_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73797_ _73784_/A _73791_/B _73797_/C VGND VGND VPWR VPWR _73798_/B sky130_fd_sc_hd__nand3_2
+XFILLER_409_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47504_ _47500_/Y _47504_/B VGND VGND VPWR VPWR _78827_/D sky130_fd_sc_hd__nand2_2
+XFILLER_436_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78324_ _78317_/CLK _78324_/D VGND VGND VPWR VPWR _49487_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_291_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44716_ _44716_/A _44716_/B _44716_/C VGND VGND VPWR VPWR _44716_/X sky130_fd_sc_hd__or3_2
+XFILLER_565_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63550_ _63550_/A _63550_/B VGND VGND VPWR VPWR _63550_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_229_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_597_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75536_ _75536_/A VGND VGND VPWR VPWR _76178_/A sky130_fd_sc_hd__buf_1
+XFILLER_349_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41928_ _41909_/A VGND VGND VPWR VPWR _43501_/A sky130_fd_sc_hd__buf_1
+XPHY_39374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60762_ _60616_/A _60762_/B VGND VGND VPWR VPWR _60800_/B sky130_fd_sc_hd__nor2_2
+XPHY_6892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48484_ _48484_/A _48483_/X VGND VGND VPWR VPWR _78582_/D sky130_fd_sc_hd__nand2_2
+XFILLER_247_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72748_ _72737_/X _72734_/B _72748_/C VGND VGND VPWR VPWR _72749_/B sky130_fd_sc_hd__nand3_2
+XFILLER_283_2955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45696_ _46051_/B _45695_/X VGND VGND VPWR VPWR _45696_/X sky130_fd_sc_hd__or2_2
+XPHY_38640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_47_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62501_ _50619_/A _62189_/X VGND VGND VPWR VPWR _62501_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47435_ _63696_/A _47435_/B VGND VGND VPWR VPWR _47438_/A sky130_fd_sc_hd__nand2_2
+XFILLER_1_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78255_ _78756_/CLK _49756_/Y VGND VGND VPWR VPWR _78255_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_38673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44647_ _79197_/Q VGND VGND VPWR VPWR _68021_/A sky130_fd_sc_hd__inv_8
+X_75467_ _75517_/A VGND VGND VPWR VPWR _75481_/B sky130_fd_sc_hd__buf_1
+X_63481_ _63326_/A _63479_/Y _63481_/C VGND VGND VPWR VPWR _63482_/C sky130_fd_sc_hd__nor3_2
+XFILLER_422_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41859_ _41827_/A _41858_/X VGND VGND VPWR VPWR _41859_/X sky130_fd_sc_hd__or2_2
+XFILLER_189_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72679_ _72682_/A _72674_/B _65782_/A VGND VGND VPWR VPWR _72680_/B sky130_fd_sc_hd__nand3_2
+X_60693_ _60536_/A _60693_/B VGND VGND VPWR VPWR _60727_/B sky130_fd_sc_hd__nor2_2
+XFILLER_363_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_405_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65220_ _65220_/A _65390_/B VGND VGND VPWR VPWR _65221_/C sky130_fd_sc_hd__nor2_2
+X_77206_ _77214_/CLK _77206_/D VGND VGND VPWR VPWR _77206_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_520_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_34_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74418_ _74418_/A _74414_/B VGND VGND VPWR VPWR _74418_/X sky130_fd_sc_hd__or2_2
+X_62432_ _62432_/A _62592_/B VGND VGND VPWR VPWR _62432_/Y sky130_fd_sc_hd__nor2_2
+XPHY_37972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47366_ _47433_/A _47384_/B VGND VGND VPWR VPWR _47367_/B sky130_fd_sc_hd__or2_2
+XFILLER_250_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_121 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78186_ _78186_/CLK _78186_/D VGND VGND VPWR VPWR _78186_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_559_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44578_ _44571_/A _44576_/Y _44578_/C VGND VGND VPWR VPWR _44578_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_206_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75398_ _75396_/X _75398_/B VGND VGND VPWR VPWR _75398_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_362_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_43_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49105_ _78421_/Q _49082_/B VGND VGND VPWR VPWR _49108_/A sky130_fd_sc_hd__nand2_2
+XFILLER_206_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_496_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_340_1530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46317_ _43015_/Y _46318_/A VGND VGND VPWR VPWR _46317_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_554_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65151_ _64321_/X _65149_/Y _65150_/Y VGND VGND VPWR VPWR _65151_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_231_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77137_ _77128_/CLK _77137_/D VGND VGND VPWR VPWR _53989_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43529_ _43538_/B VGND VGND VPWR VPWR _43529_/X sky130_fd_sc_hd__buf_1
+XFILLER_700_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62363_ _59087_/A VGND VGND VPWR VPWR _62363_/X sky130_fd_sc_hd__buf_1
+X_74349_ _74086_/A _74348_/X VGND VGND VPWR VPWR _74349_/X sky130_fd_sc_hd__or2_2
+XFILLER_379_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47297_ _49226_/A VGND VGND VPWR VPWR _47426_/A sky130_fd_sc_hd__buf_1
+XFILLER_422_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_538_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_393_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_297_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_477_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_2230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64102_ _64102_/A VGND VGND VPWR VPWR _65205_/A sky130_fd_sc_hd__buf_1
+XFILLER_125_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61314_ _61471_/A _61314_/B _61313_/Y VGND VGND VPWR VPWR _61314_/Y sky130_fd_sc_hd__nor3_2
+XPHY_26792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49036_ _63092_/A _49039_/B VGND VGND VPWR VPWR _49036_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_203_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_258_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46248_ _46243_/A _46244_/Y _46248_/C VGND VGND VPWR VPWR _79031_/D sky130_fd_sc_hd__nor3_2
+XFILLER_195_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65082_ _80979_/Q _65436_/B VGND VGND VPWR VPWR _65084_/B sky130_fd_sc_hd__nor2_2
+XFILLER_455_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77068_ _77061_/CLK _77068_/D VGND VGND VPWR VPWR _77068_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_164_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62294_ _62294_/A _61983_/X VGND VGND VPWR VPWR _62294_/Y sky130_fd_sc_hd__nor2_2
+XPHY_16280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_220_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_85 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_670_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_3096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_650_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68910_ _68910_/A _69474_/B VGND VGND VPWR VPWR _68911_/C sky130_fd_sc_hd__nor2_2
+X_64033_ _78780_/Q _64033_/B VGND VGND VPWR VPWR _64034_/C sky130_fd_sc_hd__nor2_2
+XFILLER_121_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76019_ _76001_/A VGND VGND VPWR VPWR _76020_/A sky130_fd_sc_hd__buf_1
+XFILLER_533_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61245_ _60765_/A _61243_/Y _61245_/C VGND VGND VPWR VPWR _61245_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_392_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_69_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46179_ _42857_/A _43194_/Y _46177_/X _46178_/Y VGND VGND VPWR VPWR _46179_/X sky130_fd_sc_hd__o22a_4
+X_69890_ _69890_/A VGND VGND VPWR VPWR _69890_/X sky130_fd_sc_hd__buf_1
+XFILLER_157_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_373_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_635_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_451_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_102_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_373_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_7_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68841_ _69137_/A _68801_/Y _68841_/C VGND VGND VPWR VPWR _68842_/C sky130_fd_sc_hd__nor3_2
+XFILLER_201_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_85_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61176_ _60394_/A VGND VGND VPWR VPWR _61177_/B sky130_fd_sc_hd__buf_1
+XFILLER_119_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_433_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_160_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60127_ _59317_/A VGND VGND VPWR VPWR _60135_/A sky130_fd_sc_hd__buf_1
+XFILLER_275_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_67_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49938_ _61760_/A _49932_/B VGND VGND VPWR VPWR _49940_/A sky130_fd_sc_hd__nand2_2
+XFILLER_259_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68772_ _69218_/A _68772_/B _68771_/Y VGND VGND VPWR VPWR _68772_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_448_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65984_ _65657_/A _65984_/B _65984_/C VGND VGND VPWR VPWR _65985_/C sky130_fd_sc_hd__nor3_2
+XFILLER_98_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_299_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_271_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_683_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67723_ _67723_/A _67383_/B VGND VGND VPWR VPWR _67724_/C sky130_fd_sc_hd__nor2_2
+XFILLER_258_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_41_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79709_ _79711_/CLK _41087_/Y VGND VGND VPWR VPWR _66788_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_566_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64935_ _69552_/A _64925_/Y _64935_/C VGND VGND VPWR VPWR _64935_/Y sky130_fd_sc_hd__nor3_2
+X_60058_ _60058_/A VGND VGND VPWR VPWR _60065_/A sky130_fd_sc_hd__buf_1
+XFILLER_707_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49869_ _62368_/A _49858_/B VGND VGND VPWR VPWR _49871_/A sky130_fd_sc_hd__nand2_2
+X_80981_ _80929_/CLK _74256_/Y VGND VGND VPWR VPWR _80981_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_459_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_113_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_252_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51900_ _51900_/A _51899_/X VGND VGND VPWR VPWR _77690_/D sky130_fd_sc_hd__nand2_2
+X_39622_ _39620_/X _39621_/Y VGND VGND VPWR VPWR _80077_/D sky130_fd_sc_hd__nand2_2
+XFILLER_449_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_419_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67654_ _67654_/A _67653_/X VGND VGND VPWR VPWR _67654_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_680_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64866_ _64866_/A _65390_/B VGND VGND VPWR VPWR _64867_/C sky130_fd_sc_hd__nor2_2
+XFILLER_475_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52880_ _52880_/A _52898_/B VGND VGND VPWR VPWR _52881_/B sky130_fd_sc_hd__or2_2
+XFILLER_301_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_252_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_583_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66605_ _72661_/C _66287_/B VGND VGND VPWR VPWR _66606_/C sky130_fd_sc_hd__nor2_2
+XFILLER_434_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51831_ _51712_/A _51849_/B VGND VGND VPWR VPWR _51832_/B sky130_fd_sc_hd__or2_2
+XFILLER_462_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39553_ _39364_/A VGND VGND VPWR VPWR _39629_/A sky130_fd_sc_hd__buf_1
+X_63817_ _59304_/X _63817_/B _63817_/C VGND VGND VPWR VPWR _63818_/C sky130_fd_sc_hd__nor3_2
+XFILLER_607_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_85_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67585_ _67585_/A _67585_/B VGND VGND VPWR VPWR _67586_/C sky130_fd_sc_hd__nor2_2
+XFILLER_681_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_38_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64797_ _64305_/A _64797_/B _64796_/Y VGND VGND VPWR VPWR _64797_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_58_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_94_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_462_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_423_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_367_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_39_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38504_ _38518_/A _38509_/B _68376_/A VGND VGND VPWR VPWR _38505_/B sky130_fd_sc_hd__nand3_2
+XFILLER_113_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_282_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69324_ _69324_/A _69324_/B _69323_/Y VGND VGND VPWR VPWR _69332_/B sky130_fd_sc_hd__nor3_2
+XFILLER_445_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54550_ _54102_/A VGND VGND VPWR VPWR _54664_/A sky130_fd_sc_hd__buf_1
+XFILLER_345_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_39_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66536_ _66536_/A _66536_/B _66535_/Y VGND VGND VPWR VPWR _66692_/C sky130_fd_sc_hd__or3_2
+XFILLER_66_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51762_ _51733_/A _51753_/B VGND VGND VPWR VPWR _51762_/X sky130_fd_sc_hd__or2_2
+X_63748_ _63748_/A _63161_/B VGND VGND VPWR VPWR _63750_/B sky130_fd_sc_hd__nor2_2
+X_39484_ _39239_/A _39378_/A VGND VGND VPWR VPWR _39484_/X sky130_fd_sc_hd__or2_2
+XFILLER_363_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_329_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_360_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53501_ _53501_/A _53437_/B VGND VGND VPWR VPWR _53527_/B sky130_fd_sc_hd__or2_2
+XPHY_3507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50713_ _50830_/A _50725_/B VGND VGND VPWR VPWR _50714_/B sky130_fd_sc_hd__or2_2
+X_38435_ _38399_/A VGND VGND VPWR VPWR _38439_/A sky130_fd_sc_hd__buf_1
+XFILLER_198_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69255_ _69255_/A _64392_/B VGND VGND VPWR VPWR _69255_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_503_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54481_ _54481_/A _54480_/X VGND VGND VPWR VPWR _54481_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_345_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66467_ _66464_/X _66467_/B _66467_/C VGND VGND VPWR VPWR _66471_/B sky130_fd_sc_hd__nor3_2
+XFILLER_430_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51693_ _51691_/Y _51693_/B VGND VGND VPWR VPWR _77744_/D sky130_fd_sc_hd__nand2_2
+XPHY_3529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63679_ _63810_/A _63675_/Y _63678_/Y VGND VGND VPWR VPWR _63679_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_345_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_41_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_180_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_465_0_u_core.clock clkbuf_9_465_0_u_core.clock/A VGND VGND VPWR VPWR _80766_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_243_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56220_ _64354_/A _56219_/X VGND VGND VPWR VPWR _56220_/Y sky130_fd_sc_hd__nor2_2
+X_68206_ _80518_/Q _68206_/B VGND VGND VPWR VPWR _68206_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_560_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53432_ _53432_/A _53426_/B VGND VGND VPWR VPWR _53434_/A sky130_fd_sc_hd__nand2_2
+XFILLER_208_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65418_ _65589_/A _65414_/Y _65417_/Y VGND VGND VPWR VPWR _65418_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_599_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38366_ _38374_/A _38366_/B _80394_/Q VGND VGND VPWR VPWR _38366_/Y sky130_fd_sc_hd__nand3_2
+X_50644_ _62634_/A _50651_/B VGND VGND VPWR VPWR _50646_/A sky130_fd_sc_hd__nand2_2
+XFILLER_694_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69186_ _69324_/A _69186_/B _69185_/Y VGND VGND VPWR VPWR _69194_/B sky130_fd_sc_hd__nor3_2
+X_81464_ _80891_/CLK _81464_/D VGND VGND VPWR VPWR _72285_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_358_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66398_ _76023_/C _66555_/B VGND VGND VPWR VPWR _66398_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_306_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_323_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56151_ _56131_/A _56151_/B VGND VGND VPWR VPWR _56152_/C sky130_fd_sc_hd__nor2_2
+X_80415_ _80414_/CLK _80415_/D VGND VGND VPWR VPWR _38287_/C sky130_fd_sc_hd__dfxtp_4
+X_68137_ _67968_/X _68132_/Y _68137_/C VGND VGND VPWR VPWR _68138_/C sky130_fd_sc_hd__nor3_2
+XFILLER_495_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_194_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53363_ _53360_/Y _53362_/X VGND VGND VPWR VPWR _77306_/D sky130_fd_sc_hd__nand2_2
+XPHY_34609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65349_ _65346_/X _65347_/Y _65349_/C VGND VGND VPWR VPWR _65350_/C sky130_fd_sc_hd__nor3_2
+XFILLER_17_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38297_ _38293_/A _38293_/B _80412_/Q VGND VGND VPWR VPWR _38297_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_456_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50575_ _50275_/A VGND VGND VPWR VPWR _50661_/A sky130_fd_sc_hd__buf_1
+X_81395_ _81330_/CLK _72572_/Y VGND VGND VPWR VPWR _81395_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_675_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_260_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55102_ _70504_/A _70537_/X _55191_/A VGND VGND VPWR VPWR _55102_/X sky130_fd_sc_hd__or3_2
+XFILLER_653_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52314_ _52314_/A _52314_/B VGND VGND VPWR VPWR _77580_/D sky130_fd_sc_hd__nand2_2
+XFILLER_718_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80346_ _80346_/CLK _80346_/D VGND VGND VPWR VPWR _66240_/A sky130_fd_sc_hd__dfxtp_4
+X_56082_ _44942_/B _56082_/B VGND VGND VPWR VPWR _56083_/A sky130_fd_sc_hd__nor2_2
+X_68068_ _68068_/A VGND VGND VPWR VPWR _68392_/A sky130_fd_sc_hd__buf_1
+XFILLER_495_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53294_ _53294_/A _53294_/B VGND VGND VPWR VPWR _53294_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_358_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_545_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_555_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_393_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_202_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_375_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55033_ _54977_/A _55030_/B VGND VGND VPWR VPWR _55034_/B sky130_fd_sc_hd__or2_2
+X_59910_ _59753_/A _59910_/B _59909_/Y VGND VGND VPWR VPWR _59910_/Y sky130_fd_sc_hd__nor3_2
+X_67019_ _66197_/A VGND VGND VPWR VPWR _67344_/B sky130_fd_sc_hd__buf_1
+XFILLER_614_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_593_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52245_ _52187_/A _52245_/B VGND VGND VPWR VPWR _52245_/X sky130_fd_sc_hd__or2_2
+XFILLER_30_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80277_ _80279_/CLK _38839_/Y VGND VGND VPWR VPWR _80277_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_121_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_339_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_513_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_551_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_104_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_536_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_374_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70030_ _70027_/Y _70029_/X VGND VGND VPWR VPWR _70031_/B sky130_fd_sc_hd__or2_2
+XFILLER_653_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59841_ _55004_/A _59678_/B VGND VGND VPWR VPWR _59841_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_68_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_714_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40190_ _40186_/X _40189_/Y VGND VGND VPWR VPWR _79935_/D sky130_fd_sc_hd__nand2_2
+XFILLER_351_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52176_ _52776_/A VGND VGND VPWR VPWR _52177_/A sky130_fd_sc_hd__buf_1
+XPHY_22739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_194_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_498_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_352_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_200_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51127_ _51011_/A _51123_/X VGND VGND VPWR VPWR _51127_/X sky130_fd_sc_hd__or2_2
+XPHY_11504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59772_ _59271_/A _59763_/Y _59771_/Y VGND VGND VPWR VPWR _59773_/B sky130_fd_sc_hd__nor3_2
+XFILLER_702_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56984_ _56738_/X _56950_/X _56983_/X _74509_/Y _56824_/X VGND VGND VPWR VPWR _56984_/Y
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_274_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_330_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58723_ _69393_/A _58439_/B VGND VGND VPWR VPWR _58723_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_317_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55935_ _55935_/A _69588_/Y _69644_/Y VGND VGND VPWR VPWR _55936_/A sky130_fd_sc_hd__or3_2
+X_51058_ _51083_/B VGND VGND VPWR VPWR _51058_/X sky130_fd_sc_hd__buf_1
+XFILLER_287_3022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_466_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71981_ _71864_/A _71981_/B VGND VGND VPWR VPWR _71981_/X sky130_fd_sc_hd__or2_2
+XFILLER_89_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_46_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_410_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_686_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50009_ _50009_/A _50027_/B VGND VGND VPWR VPWR _50009_/X sky130_fd_sc_hd__or2_2
+X_42900_ _71187_/B _42908_/B VGND VGND VPWR VPWR _42902_/A sky130_fd_sc_hd__or2_2
+XFILLER_4_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73720_ _73720_/A _73720_/B _65596_/A VGND VGND VPWR VPWR _73720_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_330_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70932_ _70525_/A _70523_/Y VGND VGND VPWR VPWR _70932_/Y sky130_fd_sc_hd__nor2_2
+XPHY_10858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58654_ _58788_/A _58654_/B _58654_/C VGND VGND VPWR VPWR _58662_/A sky130_fd_sc_hd__nor3_2
+XFILLER_249_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_454_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_445_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43880_ _43880_/A _43866_/B VGND VGND VPWR VPWR _43886_/B sky130_fd_sc_hd__nor2_2
+XPHY_6100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_565_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55866_ _43517_/A _45659_/X VGND VGND VPWR VPWR _55867_/C sky130_fd_sc_hd__and2_2
+XFILLER_493_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_190_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_40_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_3054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_218_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57605_ _57923_/A _57605_/B _57604_/Y VGND VGND VPWR VPWR _57609_/B sky130_fd_sc_hd__nor3_2
+XFILLER_647_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42831_ _42830_/Y VGND VGND VPWR VPWR _42832_/B sky130_fd_sc_hd__buf_1
+X_54817_ _76916_/Q _54831_/B VGND VGND VPWR VPWR _54817_/Y sky130_fd_sc_hd__nand2_2
+X_73651_ _73627_/A VGND VGND VPWR VPWR _73652_/A sky130_fd_sc_hd__buf_1
+XFILLER_330_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_406_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_287_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58585_ _39904_/C _58585_/B VGND VGND VPWR VPWR _58586_/C sky130_fd_sc_hd__nor2_2
+X_70863_ _70915_/A _70846_/X _71593_/B _71597_/A VGND VGND VPWR VPWR _70864_/B sky130_fd_sc_hd__or4_2
+X_55797_ _76773_/Q _55797_/B VGND VGND VPWR VPWR _55800_/A sky130_fd_sc_hd__nand2_2
+XPHY_5410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_523_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_467_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_3098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_385_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72602_ _72676_/A VGND VGND VPWR VPWR _72608_/B sky130_fd_sc_hd__buf_1
+XPHY_19812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45550_ _44709_/B _45554_/B VGND VGND VPWR VPWR _45551_/C sky130_fd_sc_hd__nor2_2
+XFILLER_723_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57536_ _66788_/A _57220_/X VGND VGND VPWR VPWR _57536_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_606_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76370_ _76251_/A _76373_/B VGND VGND VPWR VPWR _76370_/X sky130_fd_sc_hd__or2_2
+XFILLER_363_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54748_ _54746_/Y _54748_/B VGND VGND VPWR VPWR _76936_/D sky130_fd_sc_hd__nand2_2
+X_42762_ _42736_/X _42761_/X _70692_/Y _42750_/X VGND VGND VPWR VPWR _42762_/X sky130_fd_sc_hd__o22a_4
+XFILLER_508_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73582_ _73589_/A _73568_/B _81147_/Q VGND VGND VPWR VPWR _73582_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_723_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_109_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70794_ _70794_/A _70794_/B _70793_/Y VGND VGND VPWR VPWR _70795_/A sky130_fd_sc_hd__or3_2
+XFILLER_233_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_701_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_455_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44501_ _44500_/A _44501_/B VGND VGND VPWR VPWR _44502_/B sky130_fd_sc_hd__nor2_2
+XPHY_5476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75321_ _75321_/A _75321_/B VGND VGND VPWR VPWR _75321_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_308_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_205_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_699_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41713_ _55235_/A _41712_/Y _41713_/C VGND VGND VPWR VPWR _41714_/B sky130_fd_sc_hd__and3_2
+XPHY_37224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72533_ _72531_/X _72533_/B VGND VGND VPWR VPWR _81406_/D sky130_fd_sc_hd__nand2_2
+XFILLER_324_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45481_ _45476_/A _45246_/B _45476_/C VGND VGND VPWR VPWR _45481_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_423_2840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57467_ _79965_/Q _57309_/B VGND VGND VPWR VPWR _57469_/B sky130_fd_sc_hd__nor2_2
+XFILLER_37_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_363_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42693_ _42702_/A _42681_/Y _42692_/Y VGND VGND VPWR VPWR _42693_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_521_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54679_ _54667_/A VGND VGND VPWR VPWR _54684_/B sky130_fd_sc_hd__buf_1
+XFILLER_677_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_423_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47220_ _46447_/B _47101_/B VGND VGND VPWR VPWR _47221_/B sky130_fd_sc_hd__nor2_2
+X_59206_ _59119_/A VGND VGND VPWR VPWR _63159_/A sky130_fd_sc_hd__buf_1
+XPHY_36523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78040_ _78044_/CLK _50569_/Y VGND VGND VPWR VPWR _62194_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44432_ _44406_/X _44428_/Y _44432_/C VGND VGND VPWR VPWR _44432_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56418_ _64656_/A _56223_/X VGND VGND VPWR VPWR _56418_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_281_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75252_ _75234_/A VGND VGND VPWR VPWR _75256_/B sky130_fd_sc_hd__buf_1
+XFILLER_504_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_18_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41644_ _79056_/Q _79055_/Q VGND VGND VPWR VPWR _41644_/X sky130_fd_sc_hd__or2_2
+XPHY_36534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72464_ _72462_/X _72463_/Y VGND VGND VPWR VPWR _72464_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_77_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_246_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57398_ _57238_/X _57398_/B _57397_/Y VGND VGND VPWR VPWR _57398_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_183_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_26022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_198_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_25_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74203_ _74189_/A _74203_/B _80995_/Q VGND VGND VPWR VPWR _74204_/B sky130_fd_sc_hd__nand3_2
+XFILLER_701_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59137_ _59137_/A VGND VGND VPWR VPWR _59138_/A sky130_fd_sc_hd__buf_1
+X_47151_ _47123_/X VGND VGND VPWR VPWR _47156_/A sky130_fd_sc_hd__inv_8
+X_71415_ _71409_/X _71415_/B _71415_/C _71414_/Y VGND VGND VPWR VPWR _71415_/X sky130_fd_sc_hd__or4_2
+XFILLER_224_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_685_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_393_219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56349_ _80239_/Q _56349_/B VGND VGND VPWR VPWR _56350_/C sky130_fd_sc_hd__nor2_2
+XPHY_25310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44363_ _44352_/Y _44574_/A VGND VGND VPWR VPWR _44565_/A sky130_fd_sc_hd__or2_4
+XFILLER_302_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75183_ _75183_/A _75192_/B VGND VGND VPWR VPWR _75183_/X sky130_fd_sc_hd__or2_2
+XFILLER_493_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_302_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41575_ _41575_/A _41575_/B VGND VGND VPWR VPWR _41575_/Y sky130_fd_sc_hd__nand2_2
+XPHY_35844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72395_ _72298_/A VGND VGND VPWR VPWR _72410_/A sky130_fd_sc_hd__buf_1
+XFILLER_387_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_379_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_14_0_u_core.clock clkbuf_5_7_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_29_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_186_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46102_ _43045_/Y _43065_/B VGND VGND VPWR VPWR _46108_/D sky130_fd_sc_hd__nor2_2
+XFILLER_144_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_474_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43314_ _42952_/A _43313_/X VGND VGND VPWR VPWR _43316_/A sky130_fd_sc_hd__or2_2
+XFILLER_591_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74134_ _74134_/A _74134_/B VGND VGND VPWR VPWR _81011_/D sky130_fd_sc_hd__nand2_2
+XFILLER_298_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40526_ _40374_/X _40526_/B VGND VGND VPWR VPWR _40526_/X sky130_fd_sc_hd__or2_2
+XFILLER_618_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47082_ _47082_/A _47082_/B VGND VGND VPWR VPWR _47083_/B sky130_fd_sc_hd__and2_2
+XFILLER_671_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71346_ _71346_/A _42916_/A VGND VGND VPWR VPWR _71346_/X sky130_fd_sc_hd__or2_2
+X_59068_ _59067_/X VGND VGND VPWR VPWR _59362_/B sky130_fd_sc_hd__buf_1
+XFILLER_142_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44294_ _44073_/X _44291_/Y _64860_/A _44293_/X VGND VGND VPWR VPWR _44295_/B sky130_fd_sc_hd__o22a_4
+XFILLER_122_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79991_ _80121_/CLK _79991_/D VGND VGND VPWR VPWR _79991_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_357_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_535_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_145_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46033_ _46033_/A _55909_/A _46033_/C VGND VGND VPWR VPWR _46033_/X sky130_fd_sc_hd__or3_2
+XFILLER_636_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58019_ _58019_/A _58334_/B VGND VGND VPWR VPWR _58019_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_589_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43245_ _72154_/B _43360_/B VGND VGND VPWR VPWR _43245_/X sky130_fd_sc_hd__or2_2
+XPHY_14130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74065_ _74600_/A _74061_/B VGND VGND VPWR VPWR _74065_/X sky130_fd_sc_hd__or2_2
+X_78942_ _79497_/CLK _78942_/D VGND VGND VPWR VPWR _46827_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_70_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40457_ _40590_/A _40456_/X VGND VGND VPWR VPWR _40457_/X sky130_fd_sc_hd__or2_2
+XFILLER_177_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_519_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71277_ _71787_/A VGND VGND VPWR VPWR _71419_/A sky130_fd_sc_hd__buf_1
+XFILLER_328_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_294_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_103_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_590_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61030_ _77856_/Q _60401_/B VGND VGND VPWR VPWR _61032_/B sky130_fd_sc_hd__nor2_2
+XFILLER_343_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73016_ _73005_/A _73010_/B _73016_/C VGND VGND VPWR VPWR _73016_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_589_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_503_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70228_ _70227_/X VGND VGND VPWR VPWR _70228_/X sky130_fd_sc_hd__buf_1
+XPHY_14174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43176_ _43171_/A _43175_/Y VGND VGND VPWR VPWR _43177_/C sky130_fd_sc_hd__nor2_2
+XFILLER_116_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_613_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78873_ _78988_/Q _55859_/X _47231_/X VGND VGND VPWR VPWR _46617_/A sky130_fd_sc_hd__dfstp_4
+XFILLER_550_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40388_ _40386_/X _40388_/B VGND VGND VPWR VPWR _40388_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_190_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_99_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42127_ _42125_/Y _42126_/Y _42109_/C VGND VGND VPWR VPWR _79519_/D sky130_fd_sc_hd__nor3_2
+XFILLER_236_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77824_ _77749_/CLK _51386_/Y VGND VGND VPWR VPWR _61034_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_64_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70159_ _70226_/A _70158_/X VGND VGND VPWR VPWR _70160_/B sky130_fd_sc_hd__nor2_2
+XFILLER_214_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47984_ _47829_/A VGND VGND VPWR VPWR _48021_/A sky130_fd_sc_hd__buf_1
+XFILLER_330_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_567_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49723_ _78264_/Q _49708_/X VGND VGND VPWR VPWR _49723_/Y sky130_fd_sc_hd__nand2_2
+XPHY_30140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46935_ _46930_/X _46934_/X VGND VGND VPWR VPWR _46936_/B sky130_fd_sc_hd__and2_2
+XPHY_12783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42058_ _69624_/C _42077_/B VGND VGND VPWR VPWR _42063_/B sky130_fd_sc_hd__nor2_2
+X_77755_ _77755_/CLK _77755_/D VGND VGND VPWR VPWR _77755_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_296_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_45_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_116_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_1190 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74967_ _74967_/A _74966_/X VGND VGND VPWR VPWR _74967_/Y sky130_fd_sc_hd__nand2_2
+X_62981_ _62981_/A _62344_/X VGND VGND VPWR VPWR _62982_/C sky130_fd_sc_hd__nor2_2
+XPHY_12794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_95_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64720_ _75938_/C _64480_/X VGND VGND VPWR VPWR _64721_/C sky130_fd_sc_hd__nor2_2
+XFILLER_510_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41009_ _40988_/A VGND VGND VPWR VPWR _41010_/A sky130_fd_sc_hd__buf_1
+XFILLER_110_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76706_ _79194_/CLK _76706_/D VGND VGND VPWR VPWR _70297_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_567_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_528_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73918_ _73914_/X _73917_/Y VGND VGND VPWR VPWR _81059_/D sky130_fd_sc_hd__nand2_2
+X_61932_ _78166_/Q _62092_/B VGND VGND VPWR VPWR _61934_/B sky130_fd_sc_hd__nor2_2
+XFILLER_368_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_87 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49654_ _49654_/A _49644_/X VGND VGND VPWR VPWR _49654_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_645_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_121_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46866_ _46029_/A VGND VGND VPWR VPWR _46867_/A sky130_fd_sc_hd__buf_1
+XFILLER_725_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_643_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77686_ _77686_/CLK _51912_/Y VGND VGND VPWR VPWR _60668_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_348_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74898_ _74894_/X _74897_/Y VGND VGND VPWR VPWR _80827_/D sky130_fd_sc_hd__nand2_2
+XFILLER_268_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_587_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_42_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_96_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_329_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_231_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_583_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_673_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48605_ _48486_/X _48580_/A VGND VGND VPWR VPWR _48606_/B sky130_fd_sc_hd__or2_2
+XFILLER_548_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_416_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79425_ _79425_/CLK _42954_/Y VGND VGND VPWR VPWR _57439_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45817_ _45745_/Y _45792_/X VGND VGND VPWR VPWR _45817_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_608_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64651_ _64816_/A _64651_/B VGND VGND VPWR VPWR _64651_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_110_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_660_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_411_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76637_ _76798_/CLK _55871_/Y VGND VGND VPWR VPWR _76637_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_446_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61863_ _61541_/A _61855_/Y _61862_/Y VGND VGND VPWR VPWR _61864_/B sky130_fd_sc_hd__nor3_2
+XFILLER_67_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49585_ _53407_/A _49557_/B VGND VGND VPWR VPWR _49585_/X sky130_fd_sc_hd__or2_2
+X_73849_ _73969_/A _73845_/B VGND VGND VPWR VPWR _73851_/A sky130_fd_sc_hd__or2_2
+XFILLER_633_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_110_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46797_ _46793_/B _46797_/B _46787_/X VGND VGND VPWR VPWR _46797_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_665_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_2_0_0_u_core.clock clkbuf_1_0_3_u_core.clock/X VGND VGND VPWR VPWR clkbuf_2_0_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_23_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_471_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_444_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63602_ _58979_/A _63598_/Y _63601_/Y VGND VGND VPWR VPWR _63602_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_463_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_661_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60814_ _60814_/A _60665_/B VGND VGND VPWR VPWR _60815_/C sky130_fd_sc_hd__nor2_2
+XFILLER_307_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67370_ _67370_/A VGND VGND VPWR VPWR _67375_/A sky130_fd_sc_hd__buf_1
+XFILLER_526_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48536_ _48474_/X _48533_/B VGND VGND VPWR VPWR _48537_/B sky130_fd_sc_hd__or2_2
+XFILLER_270_307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79356_ _79589_/CLK _79356_/D VGND VGND VPWR VPWR _57925_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_614_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_3027 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45748_ _45747_/Y _45717_/X VGND VGND VPWR VPWR _45749_/C sky130_fd_sc_hd__nor2_2
+XFILLER_110_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64582_ _64594_/A VGND VGND VPWR VPWR _68130_/A sky130_fd_sc_hd__buf_1
+XFILLER_286_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76568_ VGND VGND VPWR VPWR _76568_/HI la_data_out[64] sky130_fd_sc_hd__conb_1
+XFILLER_698_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61794_ _61636_/A _61794_/B _61793_/Y VGND VGND VPWR VPWR _61806_/B sky130_fd_sc_hd__nor3_2
+XPHY_39171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_580_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66321_ _65658_/A _66317_/Y _66321_/C VGND VGND VPWR VPWR _66321_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_342_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78307_ _78307_/CLK _49565_/Y VGND VGND VPWR VPWR _62733_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_40_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63533_ _63533_/A _63223_/X VGND VGND VPWR VPWR _63533_/Y sky130_fd_sc_hd__nor2_2
+X_75519_ _75513_/A _75503_/B _75519_/C VGND VGND VPWR VPWR _75520_/B sky130_fd_sc_hd__nand3_2
+XFILLER_620_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60745_ _60577_/X _60737_/Y _60745_/C VGND VGND VPWR VPWR _60761_/A sky130_fd_sc_hd__nor3_2
+X_48467_ _48465_/X _48466_/X VGND VGND VPWR VPWR _48467_/X sky130_fd_sc_hd__or2_2
+XFILLER_504_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79287_ _79216_/CLK _43871_/Y VGND VGND VPWR VPWR _79287_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_63_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_3062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45679_ _43527_/X _45679_/B VGND VGND VPWR VPWR _45679_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_282_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76499_ VGND VGND VPWR VPWR _76499_/HI io_out[29] sky130_fd_sc_hd__conb_1
+XFILLER_247_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_659_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38220_ _38218_/X _38220_/B VGND VGND VPWR VPWR _38220_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_463_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69040_ _81131_/Q _69180_/B VGND VGND VPWR VPWR _69042_/B sky130_fd_sc_hd__nor2_2
+XFILLER_91_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_695_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47418_ _48101_/A VGND VGND VPWR VPWR _48828_/A sky130_fd_sc_hd__inv_8
+XFILLER_127_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66252_ _66252_/A _65596_/B VGND VGND VPWR VPWR _66252_/Y sky130_fd_sc_hd__nor2_2
+X_78238_ _77699_/CLK _49823_/Y VGND VGND VPWR VPWR _61910_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63464_ _63444_/Y _63463_/Y VGND VGND VPWR VPWR _63464_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_1_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_585_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60676_ _60676_/A VGND VGND VPWR VPWR _61303_/B sky130_fd_sc_hd__buf_1
+XFILLER_324_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_260_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48398_ _48398_/A _48413_/B VGND VGND VPWR VPWR _48398_/X sky130_fd_sc_hd__or2_2
+XFILLER_698_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_264_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_189_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_601_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65203_ _69420_/B VGND VGND VPWR VPWR _65204_/B sky130_fd_sc_hd__buf_1
+XFILLER_694_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_34_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62415_ _62415_/A _62105_/X VGND VGND VPWR VPWR _62415_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_595_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_2052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47349_ _63213_/A _47343_/B VGND VGND VPWR VPWR _47349_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_578_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78169_ _78170_/CLK _78169_/D VGND VGND VPWR VPWR _50075_/A sky130_fd_sc_hd__dfxtp_4
+X_66183_ _67005_/A VGND VGND VPWR VPWR _66183_/X sky130_fd_sc_hd__buf_1
+XFILLER_149_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63395_ _63809_/A _63393_/Y _63395_/C VGND VGND VPWR VPWR _63395_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_555_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_365_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_393_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_657_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80200_ _80200_/CLK _39136_/Y VGND VGND VPWR VPWR _58372_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_637_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65134_ _64298_/A VGND VGND VPWR VPWR _65135_/A sky130_fd_sc_hd__buf_1
+XFILLER_637_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_91_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50360_ _50360_/A _50359_/X VGND VGND VPWR VPWR _50360_/Y sky130_fd_sc_hd__nand2_2
+X_62346_ _62044_/A _62343_/Y _62345_/Y VGND VGND VPWR VPWR _62351_/B sky130_fd_sc_hd__nor3_2
+XFILLER_418_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81180_ _81190_/CLK _81180_/D VGND VGND VPWR VPWR _73455_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_554_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_3101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_319_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49019_ _49019_/A _49019_/B VGND VGND VPWR VPWR _49019_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_618_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80131_ _80157_/CLK _80131_/D VGND VGND VPWR VPWR _80131_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_337_179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_713_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65065_ _65065_/A _65065_/B _65064_/Y VGND VGND VPWR VPWR _65065_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_470_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_352_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69942_ _69601_/A _69952_/A VGND VGND VPWR VPWR _69942_/X sky130_fd_sc_hd__or2_2
+XFILLER_179_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_715_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50291_ _50291_/A _50291_/B VGND VGND VPWR VPWR _78115_/D sky130_fd_sc_hd__nand2_2
+X_62277_ _62118_/X _62273_/Y _62277_/C VGND VGND VPWR VPWR _62277_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_195_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_175_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_12_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_318_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52030_ _51732_/A VGND VGND VPWR VPWR _52060_/A sky130_fd_sc_hd__buf_1
+X_64016_ _48202_/A _63885_/B VGND VGND VPWR VPWR _64017_/C sky130_fd_sc_hd__nor2_2
+XFILLER_375_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80062_ _80094_/CLK _39679_/Y VGND VGND VPWR VPWR _67006_/A sky130_fd_sc_hd__dfxtp_4
+X_61228_ _61228_/A _61228_/B _61227_/Y VGND VGND VPWR VPWR _61228_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_133_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69873_ _69955_/A _71109_/B VGND VGND VPWR VPWR _69883_/B sky130_fd_sc_hd__nor2_2
+XFILLER_353_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_334_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_134_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_144_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68824_ _65186_/A VGND VGND VPWR VPWR _68825_/B sky130_fd_sc_hd__buf_1
+XFILLER_353_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_514_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_318_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61159_ _77761_/Q _61159_/B VGND VGND VPWR VPWR _61162_/B sky130_fd_sc_hd__nor2_2
+XFILLER_479_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38984_ _38994_/A VGND VGND VPWR VPWR _38984_/X sky130_fd_sc_hd__buf_1
+XFILLER_232_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_255_0_u_core.clock clkbuf_9_255_0_u_core.clock/A VGND VGND VPWR VPWR _79778_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_195_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_550_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_467_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_353_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_278_429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_433_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68755_ _67932_/A VGND VGND VPWR VPWR _69344_/B sky130_fd_sc_hd__buf_1
+XFILLER_494_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53981_ _53981_/A _53980_/X VGND VGND VPWR VPWR _53981_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_47_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65967_ _79736_/Q _65967_/B VGND VGND VPWR VPWR _65968_/C sky130_fd_sc_hd__nor2_2
+XFILLER_351_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_726_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_286_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_141_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55720_ _72051_/A _55401_/A VGND VGND VPWR VPWR _55737_/A sky130_fd_sc_hd__or2_2
+XFILLER_232_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67706_ _67203_/X _67701_/Y _67705_/Y VGND VGND VPWR VPWR _67717_/B sky130_fd_sc_hd__nor3_2
+XFILLER_41_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52932_ _77414_/Q _52936_/B VGND VGND VPWR VPWR _52932_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_8_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64918_ _64570_/X VGND VGND VPWR VPWR _64919_/B sky130_fd_sc_hd__buf_1
+XFILLER_287_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68686_ _66217_/A VGND VGND VPWR VPWR _68687_/B sky130_fd_sc_hd__buf_1
+X_80964_ _80969_/CLK _74321_/Y VGND VGND VPWR VPWR _80964_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_263_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65898_ _65898_/A VGND VGND VPWR VPWR _66556_/B sky130_fd_sc_hd__buf_1
+XFILLER_648_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_664_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_490_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39605_ _39603_/X _39604_/Y VGND VGND VPWR VPWR _80081_/D sky130_fd_sc_hd__nand2_2
+XFILLER_101_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_80_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55651_ _55643_/Y _55646_/Y _55651_/C VGND VGND VPWR VPWR _55651_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_642_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67637_ _66814_/A VGND VGND VPWR VPWR _67803_/B sky130_fd_sc_hd__buf_1
+XFILLER_382_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_246_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52863_ _60911_/A _52863_/B VGND VGND VPWR VPWR _52865_/A sky130_fd_sc_hd__nand2_2
+XFILLER_86_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64849_ _64831_/Y _64849_/B VGND VGND VPWR VPWR _64850_/B sky130_fd_sc_hd__nor2_2
+XFILLER_449_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80895_ _80902_/CLK _80895_/D VGND VGND VPWR VPWR _67083_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_269_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54602_ _54602_/A _54601_/X VGND VGND VPWR VPWR _54602_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51814_ _77711_/Q _51817_/B VGND VGND VPWR VPWR _51814_/Y sky130_fd_sc_hd__nand2_2
+X_39536_ _39518_/A VGND VGND VPWR VPWR _39550_/B sky130_fd_sc_hd__buf_1
+XFILLER_407_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58370_ _57173_/A VGND VGND VPWR VPWR _58373_/A sky130_fd_sc_hd__buf_1
+XFILLER_41_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_429_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55582_ _55551_/A _45229_/B VGND VGND VPWR VPWR _55583_/C sky130_fd_sc_hd__nor2_2
+X_67568_ _67744_/A _67566_/Y _67568_/C VGND VGND VPWR VPWR _67568_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_651_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52794_ _52794_/A VGND VGND VPWR VPWR _52810_/B sky130_fd_sc_hd__buf_1
+XPHY_28919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_180_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_262_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57321_ _66503_/A _57320_/X VGND VGND VPWR VPWR _57321_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_247_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69307_ _69307_/A _69303_/Y _69306_/Y VGND VGND VPWR VPWR _69315_/B sky130_fd_sc_hd__nor3_2
+XPHY_4038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_149_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54533_ _76993_/Q _54533_/B VGND VGND VPWR VPWR _54533_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_82_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66519_ _80315_/Q _67185_/B VGND VGND VPWR VPWR _66520_/C sky130_fd_sc_hd__nor2_2
+XFILLER_284_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_247_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51745_ _61439_/A _51752_/B VGND VGND VPWR VPWR _51747_/A sky130_fd_sc_hd__nand2_2
+X_39467_ _39217_/A _39463_/X VGND VGND VPWR VPWR _39467_/X sky130_fd_sc_hd__or2_2
+XFILLER_404_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_42_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_329_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_282_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67499_ _80129_/Q _67335_/B VGND VGND VPWR VPWR _67501_/B sky130_fd_sc_hd__nor2_2
+XFILLER_26_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_203_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_525_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_677_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_45 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_19_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_579_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_577_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_380_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38418_ _38421_/A _38421_/B _80380_/Q VGND VGND VPWR VPWR _38418_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_243_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57252_ _66358_/A _57085_/B VGND VGND VPWR VPWR _57252_/Y sky130_fd_sc_hd__nor2_2
+X_69238_ _38873_/C _69516_/B VGND VGND VPWR VPWR _69238_/Y sky130_fd_sc_hd__nor2_2
+X_81516_ _81509_/CLK _81516_/D VGND VGND VPWR VPWR _69229_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54464_ _54464_/A _54479_/B VGND VGND VPWR VPWR _54464_/X sky130_fd_sc_hd__or2_2
+XPHY_17728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_403_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_67 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39398_ _39434_/A VGND VGND VPWR VPWR _39413_/B sky130_fd_sc_hd__buf_1
+X_51676_ _51675_/X VGND VGND VPWR VPWR _51676_/X sky130_fd_sc_hd__buf_1
+XPHY_3359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_596_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_104_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56203_ _42111_/A VGND VGND VPWR VPWR _56826_/A sky130_fd_sc_hd__buf_1
+XFILLER_58_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53415_ _53415_/A _53414_/X VGND VGND VPWR VPWR _77291_/D sky130_fd_sc_hd__nand2_2
+XPHY_1902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38349_ _38338_/X _38366_/B _38349_/C VGND VGND VPWR VPWR _38349_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_475_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50627_ _50655_/A _50633_/B VGND VGND VPWR VPWR _50628_/B sky130_fd_sc_hd__or2_2
+XFILLER_694_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81447_ _81203_/CLK _81447_/D VGND VGND VPWR VPWR _68416_/A sky130_fd_sc_hd__dfxtp_4
+X_57183_ _57349_/A _57165_/Y _57183_/C VGND VGND VPWR VPWR _57183_/X sky130_fd_sc_hd__or3_2
+X_69169_ _69307_/A _69165_/Y _69169_/C VGND VGND VPWR VPWR _69177_/B sky130_fd_sc_hd__nor3_2
+XFILLER_558_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_440_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54395_ _54395_/A _54395_/B VGND VGND VPWR VPWR _54395_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_179_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_518_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71200_ _71500_/A VGND VGND VPWR VPWR _71717_/A sky130_fd_sc_hd__buf_1
+XFILLER_718_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_573_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56134_ _56098_/A VGND VGND VPWR VPWR _56153_/A sky130_fd_sc_hd__buf_1
+XFILLER_706_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41360_ _41374_/A _41367_/B _57134_/A VGND VGND VPWR VPWR _41361_/B sky130_fd_sc_hd__nand3_2
+XFILLER_719_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53346_ _77309_/Q _53341_/B VGND VGND VPWR VPWR _53346_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_221_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72180_ _74689_/A VGND VGND VPWR VPWR _74011_/A sky130_fd_sc_hd__buf_1
+XFILLER_421_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50558_ _50856_/A VGND VGND VPWR VPWR _50649_/A sky130_fd_sc_hd__buf_1
+XFILLER_584_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_178_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81378_ _81378_/CLK _81378_/D VGND VGND VPWR VPWR _67595_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_384_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_551_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_538_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40311_ _40314_/A _40322_/B _79902_/Q VGND VGND VPWR VPWR _40312_/B sky130_fd_sc_hd__nand3_2
+XFILLER_371_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71131_ _71661_/A _71129_/Y _71130_/X VGND VGND VPWR VPWR _71131_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_457_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80329_ _80346_/CLK _80329_/D VGND VGND VPWR VPWR _38646_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_23215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56065_ _56091_/A _45104_/B VGND VGND VPWR VPWR _56065_/Y sky130_fd_sc_hd__nor2_2
+X_41291_ _41022_/X _41291_/B VGND VGND VPWR VPWR _41291_/X sky130_fd_sc_hd__or2_2
+XFILLER_614_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53277_ _53277_/A VGND VGND VPWR VPWR _54935_/B sky130_fd_sc_hd__buf_1
+XPHY_33749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_109_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_139_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50489_ _48547_/X _50369_/B VGND VGND VPWR VPWR _50489_/X sky130_fd_sc_hd__or2_2
+XFILLER_572_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_104_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_418_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_715_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43030_ _43029_/Y _43030_/B VGND VGND VPWR VPWR _43030_/X sky130_fd_sc_hd__or2_2
+X_55016_ _76861_/Q _54998_/B VGND VGND VPWR VPWR _55016_/Y sky130_fd_sc_hd__nand2_2
+X_40242_ _40258_/A _40127_/X _64656_/A VGND VGND VPWR VPWR _40243_/B sky130_fd_sc_hd__nand3_2
+XFILLER_276_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52228_ _52228_/A _52223_/X VGND VGND VPWR VPWR _52230_/A sky130_fd_sc_hd__nand2_2
+XFILLER_325_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71062_ _70095_/A _71146_/B VGND VGND VPWR VPWR _71069_/B sky130_fd_sc_hd__nor2_2
+XFILLER_716_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_551_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_85_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_339_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70013_ _42453_/A VGND VGND VPWR VPWR _70014_/B sky130_fd_sc_hd__inv_8
+XPHY_22558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59824_ _76993_/Q _59499_/B VGND VGND VPWR VPWR _59825_/C sky130_fd_sc_hd__nor2_2
+XFILLER_172_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_586_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40173_ _39790_/X _40161_/X VGND VGND VPWR VPWR _40173_/X sky130_fd_sc_hd__or2_2
+X_52159_ _51405_/A VGND VGND VPWR VPWR _52763_/A sky130_fd_sc_hd__buf_1
+X_75870_ _75888_/A VGND VGND VPWR VPWR _75881_/B sky130_fd_sc_hd__buf_1
+XFILLER_512_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_296_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_28_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74821_ _75947_/A _74665_/B VGND VGND VPWR VPWR _74834_/A sky130_fd_sc_hd__or2_2
+XFILLER_117_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59755_ _59912_/A _59755_/B _59755_/C VGND VGND VPWR VPWR _59773_/A sky130_fd_sc_hd__nor3_2
+XFILLER_133_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44981_ _44981_/A VGND VGND VPWR VPWR _45069_/B sky130_fd_sc_hd__buf_1
+X_56967_ _56967_/A _56641_/B VGND VGND VPWR VPWR _56969_/B sky130_fd_sc_hd__nor2_2
+XFILLER_627_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_65_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46720_ _46720_/A VGND VGND VPWR VPWR _46720_/X sky130_fd_sc_hd__buf_1
+XFILLER_137_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58706_ _56290_/A _58706_/B _58705_/Y VGND VGND VPWR VPWR _58710_/B sky130_fd_sc_hd__nor3_2
+XFILLER_486_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77540_ _77540_/CLK _77540_/D VGND VGND VPWR VPWR _61555_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_235_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43932_ _43940_/A _43930_/Y _43931_/Y VGND VGND VPWR VPWR _43933_/C sky130_fd_sc_hd__nor3_2
+XFILLER_81_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55918_ _42468_/A _55918_/B _55918_/C VGND VGND VPWR VPWR _55918_/Y sky130_fd_sc_hd__nor3_2
+XPHY_10644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74752_ _75249_/A _74747_/B VGND VGND VPWR VPWR _74752_/X sky130_fd_sc_hd__or2_2
+XFILLER_627_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_1_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59686_ _77072_/Q _59686_/B VGND VGND VPWR VPWR _59686_/Y sky130_fd_sc_hd__nor2_2
+X_71964_ _71964_/A _71975_/A VGND VGND VPWR VPWR _71964_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_173_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56898_ _56649_/A _56894_/Y _56898_/C VGND VGND VPWR VPWR _56906_/A sky130_fd_sc_hd__nor3_2
+XFILLER_494_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_466_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_384_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73703_ _73703_/A _73703_/B VGND VGND VPWR VPWR _73703_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_454_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58637_ _58637_/A _58704_/B VGND VGND VPWR VPWR _58639_/B sky130_fd_sc_hd__nor2_2
+X_70915_ _70915_/A VGND VGND VPWR VPWR _71631_/A sky130_fd_sc_hd__inv_8
+X_46651_ _78988_/Q _46651_/B VGND VGND VPWR VPWR _46651_/X sky130_fd_sc_hd__xor2_2
+XPHY_10688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77471_ _77454_/CLK _77471_/D VGND VGND VPWR VPWR _60734_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_4_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55849_ _49252_/A _55826_/A VGND VGND VPWR VPWR _55850_/B sky130_fd_sc_hd__or2_2
+X_43863_ _74999_/A _43891_/B VGND VGND VPWR VPWR _43864_/C sky130_fd_sc_hd__nor2_2
+XFILLER_615_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74683_ _74683_/A VGND VGND VPWR VPWR _75318_/A sky130_fd_sc_hd__buf_1
+XFILLER_24_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_185_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71895_ _71895_/A _71233_/A VGND VGND VPWR VPWR _71895_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_210_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_213_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79210_ _81212_/CLK _79210_/D VGND VGND VPWR VPWR _44612_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_670_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45602_ _45599_/A _45602_/B _45602_/C VGND VGND VPWR VPWR _45602_/Y sky130_fd_sc_hd__nor3_2
+X_76422_ _76403_/A VGND VGND VPWR VPWR _76426_/B sky130_fd_sc_hd__buf_1
+XFILLER_308_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42814_ _79110_/Q VGND VGND VPWR VPWR _64094_/A sky130_fd_sc_hd__inv_8
+XFILLER_699_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49370_ _49370_/A _49370_/B VGND VGND VPWR VPWR _78357_/D sky130_fd_sc_hd__nand2_2
+X_73634_ _73628_/X _73633_/Y VGND VGND VPWR VPWR _81134_/D sky130_fd_sc_hd__nand2_2
+XFILLER_408_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_369_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46582_ _78998_/Q _46587_/B VGND VGND VPWR VPWR _46582_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_630_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58568_ _58568_/A _58566_/Y _58567_/Y VGND VGND VPWR VPWR _58569_/C sky130_fd_sc_hd__nor3_2
+XFILLER_59_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70846_ _70845_/X VGND VGND VPWR VPWR _70846_/X sky130_fd_sc_hd__buf_1
+XFILLER_248_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43794_ _43877_/A VGND VGND VPWR VPWR _43854_/A sky130_fd_sc_hd__buf_1
+XFILLER_264_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48321_ _48414_/A _48316_/B VGND VGND VPWR VPWR _48321_/X sky130_fd_sc_hd__or2_2
+XFILLER_363_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_273_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79141_ _76714_/CLK _45545_/Y VGND VGND VPWR VPWR _79141_/Q sky130_fd_sc_hd__dfxtp_4
+X_45533_ _45442_/A _45533_/B VGND VGND VPWR VPWR _45533_/Y sky130_fd_sc_hd__nor2_2
+XPHY_37010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57519_ _57288_/A _57519_/B _57518_/Y VGND VGND VPWR VPWR _57520_/B sky130_fd_sc_hd__nor3_2
+X_76353_ _38235_/A _76353_/B VGND VGND VPWR VPWR _76353_/X sky130_fd_sc_hd__or2_2
+XPHY_5273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42745_ _42734_/A _42745_/B _42744_/Y VGND VGND VPWR VPWR _42745_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_46_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73565_ _73565_/A _73565_/B VGND VGND VPWR VPWR _81152_/D sky130_fd_sc_hd__nand2_2
+XFILLER_445_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58499_ _58499_/A _58708_/B VGND VGND VPWR VPWR _58500_/C sky130_fd_sc_hd__nor2_2
+X_70777_ _70775_/Y _70777_/B _70725_/C VGND VGND VPWR VPWR _70778_/B sky130_fd_sc_hd__or3_2
+XFILLER_501_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_430 _59163_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_265_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_205_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_441_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_441 _59688_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75304_ _75326_/A VGND VGND VPWR VPWR _75313_/B sky130_fd_sc_hd__buf_1
+XFILLER_261_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_452 _59234_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_37054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60530_ _60530_/A _60214_/B VGND VGND VPWR VPWR _60532_/B sky130_fd_sc_hd__nor2_2
+X_48252_ _48163_/X _48252_/B VGND VGND VPWR VPWR _48253_/B sky130_fd_sc_hd__or2_2
+X_72516_ _72228_/A _72500_/X VGND VGND VPWR VPWR _72516_/X sky130_fd_sc_hd__or2_2
+XFILLER_379_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79072_ _79051_/CLK _79072_/D VGND VGND VPWR VPWR _42270_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_221_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_57_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_463 _60824_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_426_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45464_ _45464_/A VGND VGND VPWR VPWR _45471_/A sky130_fd_sc_hd__buf_1
+XPHY_37065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76284_ _76284_/A VGND VGND VPWR VPWR _76291_/B sky130_fd_sc_hd__buf_1
+XFILLER_567_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42676_ _42654_/X _42675_/X _70516_/Y _42480_/X VGND VGND VPWR VPWR _42676_/X sky130_fd_sc_hd__o22a_4
+XFILLER_226_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_474 _65228_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_523_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73496_ _73494_/Y _73495_/X VGND VGND VPWR VPWR _81169_/D sky130_fd_sc_hd__nand2_2
+XPHY_37076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_324_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_485 _64591_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_402_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_260_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47203_ _47197_/Y _47202_/Y _47200_/C VGND VGND VPWR VPWR _78886_/D sky130_fd_sc_hd__nor3_2
+XANTENNA_496 _68231_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_78023_ _78046_/CLK _50631_/Y VGND VGND VPWR VPWR _62031_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_226_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44415_ _44406_/X _44407_/Y _44415_/C VGND VGND VPWR VPWR _79235_/D sky130_fd_sc_hd__nor3_2
+XFILLER_603_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_158_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75235_ _75355_/A _75246_/B VGND VGND VPWR VPWR _75235_/X sky130_fd_sc_hd__or2_2
+X_41627_ _42886_/A _41514_/B _56480_/A VGND VGND VPWR VPWR _41628_/B sky130_fd_sc_hd__nand3_2
+XFILLER_31_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48183_ _48180_/Y _48182_/X VGND VGND VPWR VPWR _78658_/D sky130_fd_sc_hd__nand2_2
+X_60461_ _59657_/A VGND VGND VPWR VPWR _60500_/A sky130_fd_sc_hd__buf_1
+XPHY_3882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72447_ _72433_/A _72457_/B _65282_/A VGND VGND VPWR VPWR _72448_/B sky130_fd_sc_hd__nand3_2
+XFILLER_324_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_302_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45395_ _45394_/Y _45425_/B VGND VGND VPWR VPWR _45395_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_725_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_634_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62200_ _62200_/A _61563_/X VGND VGND VPWR VPWR _62201_/C sky130_fd_sc_hd__nor2_2
+XFILLER_105_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_204_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47134_ _47167_/B _47134_/B _47134_/C VGND VGND VPWR VPWR _78908_/D sky130_fd_sc_hd__nor3_2
+XFILLER_200_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44346_ _44522_/A VGND VGND VPWR VPWR _66695_/A sky130_fd_sc_hd__inv_8
+XFILLER_319_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63180_ _78662_/Q _63180_/B VGND VGND VPWR VPWR _63181_/C sky130_fd_sc_hd__nor2_2
+XPHY_25140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75166_ _75111_/X VGND VGND VPWR VPWR _75166_/X sky130_fd_sc_hd__buf_1
+XFILLER_690_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41558_ _41056_/X _41547_/B VGND VGND VPWR VPWR _41558_/X sky130_fd_sc_hd__or2_2
+XPHY_35674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60392_ _59230_/A VGND VGND VPWR VPWR _60399_/A sky130_fd_sc_hd__buf_1
+XFILLER_294_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72378_ _72382_/A _72370_/X _68416_/A VGND VGND VPWR VPWR _72378_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_452_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_718_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_419_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_713_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_35_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_456_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_200_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_693_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62131_ _62131_/A _61664_/B VGND VGND VPWR VPWR _62132_/C sky130_fd_sc_hd__nor2_2
+XPHY_34962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74117_ _74014_/A VGND VGND VPWR VPWR _74137_/A sky130_fd_sc_hd__buf_1
+XFILLER_375_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40509_ _39242_/A VGND VGND VPWR VPWR _40509_/X sky130_fd_sc_hd__buf_1
+XFILLER_302_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_452_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71329_ _70352_/X _71387_/B VGND VGND VPWR VPWR _71330_/B sky130_fd_sc_hd__nor2_2
+X_47065_ _70726_/X _46983_/B VGND VGND VPWR VPWR _47066_/C sky130_fd_sc_hd__and2_2
+XFILLER_673_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_1_0_2_u_core.clock clkbuf_1_0_2_u_core.clock/A VGND VGND VPWR VPWR clkbuf_1_0_3_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_13_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44277_ _44208_/X _44274_/Y _65210_/A _44276_/X VGND VGND VPWR VPWR _44277_/X sky130_fd_sc_hd__o22a_4
+XPHY_25195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75097_ _75095_/X _75096_/Y VGND VGND VPWR VPWR _80774_/D sky130_fd_sc_hd__nand2_2
+XFILLER_372_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79974_ _79961_/CLK _79974_/D VGND VGND VPWR VPWR _79974_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_174_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_551_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41489_ _41506_/A VGND VGND VPWR VPWR _41503_/A sky130_fd_sc_hd__buf_1
+XPHY_34984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_3055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_632_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46016_ _46015_/X VGND VGND VPWR VPWR _46028_/A sky130_fd_sc_hd__buf_1
+XFILLER_272_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43228_ _79405_/Q _47240_/A VGND VGND VPWR VPWR _43230_/B sky130_fd_sc_hd__nor2_2
+XFILLER_417_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62062_ _62023_/X _62061_/Y VGND VGND VPWR VPWR _62062_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_610_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74048_ _74048_/A _74047_/Y VGND VGND VPWR VPWR _74048_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_122_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78925_ _78940_/CLK _78925_/D VGND VGND VPWR VPWR _71574_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_534_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_712_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_515_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_515_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_677_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_353_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_126_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61013_ _60855_/A _61013_/B _61012_/Y VGND VGND VPWR VPWR _61013_/Y sky130_fd_sc_hd__nor3_2
+XPHY_23782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_471_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_489_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_551_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_138_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66870_ _44023_/A _67033_/B VGND VGND VPWR VPWR _66870_/Y sky130_fd_sc_hd__nor2_2
+X_43159_ _43139_/A VGND VGND VPWR VPWR _46157_/B sky130_fd_sc_hd__inv_8
+XPHY_13270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78856_ _78849_/CLK _78856_/D VGND VGND VPWR VPWR _63522_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_233_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_532_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_417_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_64 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_49_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_610_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_272_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_233_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65821_ _65503_/A _65821_/B _65821_/C VGND VGND VPWR VPWR _65822_/C sky130_fd_sc_hd__nor3_2
+X_77807_ _77814_/CLK _77807_/D VGND VGND VPWR VPWR _60881_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_64_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_102_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_253_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47967_ _47967_/A _47966_/X VGND VGND VPWR VPWR _78711_/D sky130_fd_sc_hd__nand2_2
+XFILLER_430_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_413_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78787_ _78251_/CLK _78787_/D VGND VGND VPWR VPWR _78787_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_250_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_585_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75999_ _75999_/A _76007_/B VGND VGND VPWR VPWR _75999_/X sky130_fd_sc_hd__or2_2
+XFILLER_430_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49706_ _49704_/Y _49705_/X VGND VGND VPWR VPWR _78269_/D sky130_fd_sc_hd__nand2_2
+XFILLER_218_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68540_ _68540_/A _68540_/B _68539_/Y VGND VGND VPWR VPWR _68560_/A sky130_fd_sc_hd__nor3_2
+XFILLER_7_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46918_ _46918_/A _46917_/X VGND VGND VPWR VPWR _46919_/B sky130_fd_sc_hd__and2_2
+X_65752_ _81143_/Q _65424_/X VGND VGND VPWR VPWR _65753_/C sky130_fd_sc_hd__nor2_2
+XFILLER_284_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77738_ _77734_/CLK _77738_/D VGND VGND VPWR VPWR _51714_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_25_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62964_ _63576_/A _62962_/Y _62964_/C VGND VGND VPWR VPWR _62968_/B sky130_fd_sc_hd__nor3_2
+XFILLER_311_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47898_ _47835_/A _47910_/B VGND VGND VPWR VPWR _47898_/X sky130_fd_sc_hd__or2_2
+XFILLER_411_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_96_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_468_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_95_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_643_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64703_ _75697_/C _64862_/B VGND VGND VPWR VPWR _64705_/B sky130_fd_sc_hd__nor2_2
+XFILLER_563_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61915_ _61915_/A _61760_/B VGND VGND VPWR VPWR _61915_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_284_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49637_ _61949_/A _49634_/B VGND VGND VPWR VPWR _49639_/A sky130_fd_sc_hd__nand2_2
+XFILLER_81_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68471_ _68968_/A _68469_/Y _68471_/C VGND VGND VPWR VPWR _68471_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_255_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46849_ _46849_/A _46878_/B VGND VGND VPWR VPWR _46851_/B sky130_fd_sc_hd__nor2_2
+XFILLER_626_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77669_ _77171_/CLK _77669_/D VGND VGND VPWR VPWR _51969_/A sky130_fd_sc_hd__dfxtp_4
+X_65683_ _65683_/A _65523_/B VGND VGND VPWR VPWR _65683_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_7_69_0_u_core.clock clkbuf_7_69_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_69_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_68_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_133_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62895_ _78308_/Q _62584_/B VGND VGND VPWR VPWR _62896_/C sky130_fd_sc_hd__nor2_2
+XFILLER_4_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67422_ _66757_/X _67418_/Y _67421_/Y VGND VGND VPWR VPWR _67422_/Y sky130_fd_sc_hd__nor3_2
+X_79408_ _79501_/CLK _43219_/Y VGND VGND VPWR VPWR _79408_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64634_ _64634_/A _69465_/B VGND VGND VPWR VPWR _64634_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_255_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_20_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61846_ _61846_/A VGND VGND VPWR VPWR _62309_/B sky130_fd_sc_hd__buf_1
+XFILLER_426_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49568_ _49626_/A _49577_/B VGND VGND VPWR VPWR _49568_/X sky130_fd_sc_hd__or2_2
+XFILLER_633_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80680_ _80630_/CLK _80680_/D VGND VGND VPWR VPWR _75460_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_561_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_149_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_224_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39321_ _39319_/X _39320_/Y VGND VGND VPWR VPWR _39321_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_77_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_209_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48519_ _48451_/X _48518_/X VGND VGND VPWR VPWR _48529_/A sky130_fd_sc_hd__or2_2
+XFILLER_58_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67353_ _44781_/A VGND VGND VPWR VPWR _67353_/Y sky130_fd_sc_hd__inv_8
+XFILLER_614_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79339_ _81263_/CLK _43474_/Y VGND VGND VPWR VPWR _56559_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_224_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64565_ _67005_/A VGND VGND VPWR VPWR _69552_/A sky130_fd_sc_hd__buf_1
+XFILLER_719_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61777_ _78149_/Q _61929_/B VGND VGND VPWR VPWR _61777_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_599_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49499_ _49499_/A VGND VGND VPWR VPWR _49804_/A sky130_fd_sc_hd__buf_1
+XFILLER_459_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_36_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_601_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_586_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66304_ _65798_/A _66304_/B _66303_/Y VGND VGND VPWR VPWR _66304_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_624_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39252_ _76343_/A _38740_/B VGND VGND VPWR VPWR _39255_/A sky130_fd_sc_hd__or2_2
+X_51530_ _51472_/A _51551_/B VGND VGND VPWR VPWR _51531_/B sky130_fd_sc_hd__or2_2
+X_63516_ _63199_/X _63516_/B _63515_/Y VGND VGND VPWR VPWR _63517_/C sky130_fd_sc_hd__nor3_2
+XFILLER_90_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_127_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60728_ _60728_/A _60728_/B VGND VGND VPWR VPWR _60728_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_326_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_205_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67284_ _67607_/A _67282_/Y _67284_/C VGND VGND VPWR VPWR _67284_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_602_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64496_ _65905_/A VGND VGND VPWR VPWR _64496_/X sky130_fd_sc_hd__buf_1
+XFILLER_498_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38203_ _76430_/A _38206_/B _80437_/Q VGND VGND VPWR VPWR _38204_/B sky130_fd_sc_hd__nand3_2
+X_81301_ _81301_/CLK _72954_/Y VGND VGND VPWR VPWR _81301_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_320_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69023_ _80555_/Q _68367_/B VGND VGND VPWR VPWR _69025_/B sky130_fd_sc_hd__nor2_2
+XFILLER_574_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_244_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66235_ _80474_/Q _66235_/B VGND VGND VPWR VPWR _66235_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_342_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39183_ _39180_/X _39182_/Y VGND VGND VPWR VPWR _39183_/Y sky130_fd_sc_hd__nand2_2
+X_51461_ _51461_/A _51461_/B VGND VGND VPWR VPWR _77807_/D sky130_fd_sc_hd__nand2_2
+XFILLER_205_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63447_ _78376_/Q _63125_/X VGND VGND VPWR VPWR _63448_/C sky130_fd_sc_hd__nor2_2
+XFILLER_412_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_339_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_719_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60659_ _77734_/Q _60189_/B VGND VGND VPWR VPWR _60660_/C sky130_fd_sc_hd__nor2_2
+XFILLER_691_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_154_0_u_core.clock clkbuf_7_77_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_309_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_240_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_719_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_574_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53200_ _53200_/A _53218_/B VGND VGND VPWR VPWR _53200_/X sky130_fd_sc_hd__or2_2
+XFILLER_60_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50412_ _50412_/A _50411_/X VGND VGND VPWR VPWR _50412_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_402_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81232_ _81201_/CLK _73255_/Y VGND VGND VPWR VPWR _81232_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_500_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_338_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54180_ _59473_/A _54168_/B VGND VGND VPWR VPWR _54182_/A sky130_fd_sc_hd__nand2_2
+X_66166_ _80025_/Q _65828_/X VGND VGND VPWR VPWR _66166_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_14_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51392_ _51392_/A _51391_/X VGND VGND VPWR VPWR _51392_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_176_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63378_ _49187_/A _62909_/B VGND VGND VPWR VPWR _63379_/C sky130_fd_sc_hd__nor2_2
+XFILLER_222_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_716_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53131_ _53075_/A _53131_/B VGND VGND VPWR VPWR _53132_/B sky130_fd_sc_hd__or2_2
+XFILLER_320_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65117_ _56717_/A _64782_/B VGND VGND VPWR VPWR _65117_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_20_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50343_ _50245_/A _50343_/B VGND VGND VPWR VPWR _50343_/X sky130_fd_sc_hd__or2_2
+XFILLER_556_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62329_ _50744_/A _61714_/X VGND VGND VPWR VPWR _62329_/Y sky130_fd_sc_hd__nor2_2
+X_81163_ _81133_/CLK _73524_/Y VGND VGND VPWR VPWR _69041_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_688_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66097_ _66097_/A _65603_/B VGND VGND VPWR VPWR _66097_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_554_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_88_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_590_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80114_ _80073_/CLK _39483_/Y VGND VGND VPWR VPWR _56594_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_552_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53062_ _53053_/A VGND VGND VPWR VPWR _53072_/B sky130_fd_sc_hd__buf_1
+XFILLER_307_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65048_ _65048_/A VGND VGND VPWR VPWR _66718_/A sky130_fd_sc_hd__buf_1
+XFILLER_86_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69925_ _69804_/A _69924_/Y VGND VGND VPWR VPWR _69926_/A sky130_fd_sc_hd__or2_2
+XFILLER_355_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_118_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_49_0_u_core.clock clkbuf_8_49_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_99_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_50274_ _51022_/A VGND VGND VPWR VPWR _50275_/A sky130_fd_sc_hd__buf_1
+XFILLER_145_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81094_ _81133_/CLK _73785_/Y VGND VGND VPWR VPWR _81094_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_453_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_555_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_105_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52013_ _51715_/A VGND VGND VPWR VPWR _52048_/A sky130_fd_sc_hd__buf_1
+XFILLER_279_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_337_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80045_ _79947_/CLK _80045_/D VGND VGND VPWR VPWR _39745_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_273_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_533_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57870_ _57870_/A _57634_/B VGND VGND VPWR VPWR _57871_/C sky130_fd_sc_hd__nor2_2
+X_69856_ _69854_/X _69856_/B VGND VGND VPWR VPWR _74663_/A sky130_fd_sc_hd__nor2_2
+XFILLER_47_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_106_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_238_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_134_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56821_ _56821_/A _56820_/Y VGND VGND VPWR VPWR _56821_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_628_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68807_ _79977_/Q _68304_/B VGND VGND VPWR VPWR _68808_/C sky130_fd_sc_hd__nor2_2
+XPHY_20419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38967_ _38967_/A _38966_/Y VGND VGND VPWR VPWR _80243_/D sky130_fd_sc_hd__nand2_2
+XFILLER_134_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_138_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69787_ _69754_/A VGND VGND VPWR VPWR _55895_/B sky130_fd_sc_hd__inv_8
+XFILLER_216_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66999_ _67823_/A VGND VGND VPWR VPWR _67658_/A sky130_fd_sc_hd__buf_1
+XFILLER_59_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59540_ _77223_/Q _59540_/B VGND VGND VPWR VPWR _59542_/B sky130_fd_sc_hd__nor2_2
+XFILLER_448_2913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56752_ _56752_/A _56752_/B VGND VGND VPWR VPWR _56752_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_249_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68738_ _68738_/A _68728_/Y _68738_/C VGND VGND VPWR VPWR _68760_/A sky130_fd_sc_hd__nor3_2
+XFILLER_409_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53964_ _77144_/Q _53964_/B VGND VGND VPWR VPWR _53964_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_151_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38898_ _38898_/A _38897_/Y VGND VGND VPWR VPWR _80262_/D sky130_fd_sc_hd__nand2_2
+XFILLER_494_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55703_ _55703_/A _55703_/B VGND VGND VPWR VPWR _55704_/B sky130_fd_sc_hd__or2_2
+XFILLER_683_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_546_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_624_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52915_ _61236_/A _52924_/B VGND VGND VPWR VPWR _52919_/A sky130_fd_sc_hd__nand2_2
+XFILLER_3_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59471_ _59093_/A VGND VGND VPWR VPWR _59471_/X sky130_fd_sc_hd__buf_1
+XFILLER_448_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_87_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_491_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80947_ _80914_/CLK _80947_/D VGND VGND VPWR VPWR _80947_/Q sky130_fd_sc_hd__dfxtp_4
+X_56683_ _39717_/C _56764_/B VGND VGND VPWR VPWR _56684_/C sky130_fd_sc_hd__nor2_2
+XFILLER_112_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68669_ _68669_/A _68669_/B _68668_/Y VGND VGND VPWR VPWR _68670_/C sky130_fd_sc_hd__nor3_2
+XFILLER_429_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_47_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53895_ _53838_/A _53904_/B VGND VGND VPWR VPWR _53896_/B sky130_fd_sc_hd__or2_2
+XFILLER_661_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_28_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_290_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_1533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58422_ _58645_/A _58421_/Y VGND VGND VPWR VPWR _58422_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_115_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70700_ _70699_/X VGND VGND VPWR VPWR _70700_/Y sky130_fd_sc_hd__inv_8
+XFILLER_625_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55634_ _55517_/X _55634_/B VGND VGND VPWR VPWR _55634_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_210_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40860_ _40619_/A _40855_/B VGND VGND VPWR VPWR _40862_/A sky130_fd_sc_hd__or2_2
+XFILLER_46_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52846_ _52846_/A _52845_/X VGND VGND VPWR VPWR _52846_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_612_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_449_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71680_ _71544_/X _71680_/B VGND VGND VPWR VPWR _71680_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_407_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_246_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80878_ _80845_/CLK _74671_/Y VGND VGND VPWR VPWR _69434_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_82_0_u_core.clock clkbuf_9_83_0_u_core.clock/A VGND VGND VPWR VPWR _77434_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_661_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_383_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_265_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39519_ _39529_/A _39529_/B _58453_/A VGND VGND VPWR VPWR _39520_/B sky130_fd_sc_hd__nand3_2
+XPHY_18204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58353_ _58264_/X _58353_/B _58353_/C VGND VGND VPWR VPWR _58361_/A sky130_fd_sc_hd__nor3_2
+X_70631_ _70045_/B _70631_/B VGND VGND VPWR VPWR _70631_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_243_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_720_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55565_ _55304_/A _45190_/B VGND VGND VPWR VPWR _55565_/X sky130_fd_sc_hd__or2_2
+XFILLER_364_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40791_ _40789_/X _40791_/B VGND VGND VPWR VPWR _40791_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_245_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_578_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52777_ _52893_/A _52785_/B VGND VGND VPWR VPWR _52777_/X sky130_fd_sc_hd__or2_2
+XPHY_28749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_446_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_76_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57304_ _57223_/A _57300_/Y _57304_/C VGND VGND VPWR VPWR _57304_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_407_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_360_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42530_ _42561_/A _42522_/Y _42529_/Y VGND VGND VPWR VPWR _42530_/Y sky130_fd_sc_hd__nor3_2
+X_54516_ _54516_/A _54516_/B VGND VGND VPWR VPWR _76997_/D sky130_fd_sc_hd__nand2_2
+Xclkbuf_9_29_0_u_core.clock clkbuf_9_29_0_u_core.clock/A VGND VGND VPWR VPWR _77920_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_203_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73350_ _73353_/A _73353_/B _81208_/Q VGND VGND VPWR VPWR _73352_/A sky130_fd_sc_hd__nand3_2
+XFILLER_282_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_549_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51728_ _51728_/A VGND VGND VPWR VPWR _51729_/A sky130_fd_sc_hd__buf_1
+XFILLER_420_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58284_ _58052_/A _58282_/Y _58283_/Y VGND VGND VPWR VPWR _58288_/B sky130_fd_sc_hd__nor3_2
+XPHY_18259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70562_ _69745_/X _76655_/Q _70591_/A VGND VGND VPWR VPWR _70563_/B sky130_fd_sc_hd__and3_2
+XFILLER_431_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_674_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_58_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55496_ _55352_/A _55496_/B VGND VGND VPWR VPWR _55502_/B sky130_fd_sc_hd__nor2_2
+XFILLER_145_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_153_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_54_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72301_ _74120_/A VGND VGND VPWR VPWR _72950_/A sky130_fd_sc_hd__buf_1
+XPHY_16802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57235_ _79994_/Q _57471_/B VGND VGND VPWR VPWR _57236_/C sky130_fd_sc_hd__nor2_2
+XFILLER_93_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_592_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_58_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42461_ _42461_/A VGND VGND VPWR VPWR _42589_/A sky130_fd_sc_hd__buf_1
+XFILLER_564_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54447_ _54447_/A _54447_/B VGND VGND VPWR VPWR _77017_/D sky130_fd_sc_hd__nand2_2
+X_73281_ _74958_/A _73284_/B VGND VGND VPWR VPWR _73281_/X sky130_fd_sc_hd__or2_2
+XFILLER_54_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_243_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51659_ _77753_/Q _51662_/B VGND VGND VPWR VPWR _51659_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70493_ _70492_/Y _70299_/C _70484_/A _70170_/A VGND VGND VPWR VPWR _70493_/X sky130_fd_sc_hd__o22a_4
+XFILLER_497_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_262_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_399_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_494_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44200_ _44521_/A VGND VGND VPWR VPWR _44269_/A sky130_fd_sc_hd__buf_1
+XPHY_1721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75020_ _75020_/A _75030_/B _66227_/A VGND VGND VPWR VPWR _75020_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_518_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41412_ _41401_/A _41401_/B _41412_/C VGND VGND VPWR VPWR _41412_/Y sky130_fd_sc_hd__nand3_2
+XPHY_34214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_655_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_633_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72232_ _72893_/A VGND VGND VPWR VPWR _72234_/A sky130_fd_sc_hd__buf_1
+XPHY_2477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_577_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_557_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45180_ _45061_/A _45180_/B VGND VGND VPWR VPWR _45180_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_655_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57166_ _57166_/A _57085_/B VGND VGND VPWR VPWR _57166_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_545_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42392_ _42307_/A _42387_/Y _42392_/C VGND VGND VPWR VPWR _42392_/Y sky130_fd_sc_hd__nor3_2
+X_54378_ _54378_/A _54378_/B VGND VGND VPWR VPWR _54378_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_303_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_715_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_694_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_718_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_518_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_319_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44131_ _44179_/A _44131_/B VGND VGND VPWR VPWR _79262_/D sky130_fd_sc_hd__nor2_2
+XFILLER_278_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56117_ _56111_/A _45345_/B VGND VGND VPWR VPWR _56126_/B sky130_fd_sc_hd__nor2_2
+XFILLER_357_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_706_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41343_ _41338_/X _41343_/B VGND VGND VPWR VPWR _41343_/Y sky130_fd_sc_hd__nand2_2
+XPHY_33524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53329_ _53451_/A _53344_/B VGND VGND VPWR VPWR _53330_/B sky130_fd_sc_hd__or2_2
+XFILLER_156_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72163_ _76073_/A VGND VGND VPWR VPWR _74672_/A sky130_fd_sc_hd__buf_1
+XFILLER_295_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_560_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57097_ _57345_/A _57097_/B _57096_/Y VGND VGND VPWR VPWR _57098_/C sky130_fd_sc_hd__nor3_2
+XPHY_33535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_167_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_13_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71114_ _71108_/X _71114_/B _71114_/C _71113_/Y VGND VGND VPWR VPWR _71114_/X sky130_fd_sc_hd__or4_2
+XPHY_33568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44062_ _69423_/A _44061_/Y VGND VGND VPWR VPWR _44062_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_100_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_633_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56048_ _55984_/A _56048_/B _56048_/C VGND VGND VPWR VPWR _56049_/B sky130_fd_sc_hd__or3_2
+XPHY_23045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41274_ _42883_/A _41274_/B VGND VGND VPWR VPWR _41274_/X sky130_fd_sc_hd__or2_2
+XFILLER_721_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76971_ _76963_/CLK _54617_/Y VGND VGND VPWR VPWR _76971_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_358_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72094_ _71080_/A _72094_/B VGND VGND VPWR VPWR _72095_/B sky130_fd_sc_hd__nor2_2
+XPHY_22311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43013_ _46085_/A _43013_/B _79035_/Q VGND VGND VPWR VPWR _46219_/B sky130_fd_sc_hd__and3_2
+XFILLER_109_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78710_ _78734_/CLK _47970_/Y VGND VGND VPWR VPWR _63157_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_514_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_414_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_272_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40225_ _40225_/A _40225_/B _79925_/Q VGND VGND VPWR VPWR _40226_/B sky130_fd_sc_hd__nand3_2
+XFILLER_371_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75922_ _75920_/X _75922_/B VGND VGND VPWR VPWR _75922_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71045_ _71045_/A _71044_/X VGND VGND VPWR VPWR _71045_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_434_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_312_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48870_ _48861_/A VGND VGND VPWR VPWR _48877_/B sky130_fd_sc_hd__buf_1
+XPHY_21610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_551_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79690_ _79599_/CLK _79690_/D VGND VGND VPWR VPWR _68940_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_87_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_191_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_513_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47821_ _47946_/A _47821_/B VGND VGND VPWR VPWR _47825_/A sky130_fd_sc_hd__or2_2
+XFILLER_430_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_685_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59807_ _59807_/A VGND VGND VPWR VPWR _59812_/A sky130_fd_sc_hd__buf_1
+XFILLER_139_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78641_ _78638_/CLK _48244_/Y VGND VGND VPWR VPWR _48242_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_431_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40156_ _40150_/X _40152_/X _79944_/Q VGND VGND VPWR VPWR _40156_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_458_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75853_ _75866_/A _75866_/B _68702_/A VGND VGND VPWR VPWR _75854_/B sky130_fd_sc_hd__nand3_2
+XPHY_22399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_191_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57999_ _58088_/A _57999_/B VGND VGND VPWR VPWR _58024_/B sky130_fd_sc_hd__nor2_2
+XFILLER_313_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_26_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_312_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74804_ _74795_/X _74790_/B _64870_/A VGND VGND VPWR VPWR _74805_/B sky130_fd_sc_hd__nand3_2
+XFILLER_529_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59738_ _59900_/A _59738_/B _59738_/C VGND VGND VPWR VPWR _59746_/B sky130_fd_sc_hd__nor3_2
+XPHY_21698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_349_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47752_ _78766_/Q _47755_/B VGND VGND VPWR VPWR _47752_/Y sky130_fd_sc_hd__nand2_2
+XPHY_10430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_646_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78572_ _78561_/CLK _48524_/Y VGND VGND VPWR VPWR _63993_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_77_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40087_ _40076_/A _40087_/B _79962_/Q VGND VGND VPWR VPWR _40087_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_332_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44964_ _44897_/A _44963_/Y VGND VGND VPWR VPWR _79174_/D sky130_fd_sc_hd__nor2_2
+XFILLER_656_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75784_ _75802_/A VGND VGND VPWR VPWR _75792_/B sky130_fd_sc_hd__buf_1
+XPHY_20964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_42_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_666_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_388_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_332_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72996_ _72989_/A _72988_/X _81292_/Q VGND VGND VPWR VPWR _72996_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_284_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_10452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_77_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46703_ _46171_/B _46703_/B VGND VGND VPWR VPWR _46704_/B sky130_fd_sc_hd__or2_2
+X_77523_ _77528_/CLK _77523_/D VGND VGND VPWR VPWR _77523_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_20997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_627_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43915_ _43915_/A _43923_/A VGND VGND VPWR VPWR _43916_/B sky130_fd_sc_hd__nor2_2
+XFILLER_564_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74735_ _74739_/A _74720_/X _80865_/Q VGND VGND VPWR VPWR _74735_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_584_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_310_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47683_ _47776_/A _47674_/X VGND VGND VPWR VPWR _47684_/B sky130_fd_sc_hd__or2_2
+XFILLER_215_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71947_ _71864_/A _71947_/B VGND VGND VPWR VPWR _71947_/X sky130_fd_sc_hd__or2_2
+X_59669_ _77032_/Q _59669_/B VGND VGND VPWR VPWR _59669_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_267_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44895_ _44631_/B _44895_/B VGND VGND VPWR VPWR _44895_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_78_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_226_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_580_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61700_ _61700_/A _61700_/B _61700_/C VGND VGND VPWR VPWR _61704_/B sky130_fd_sc_hd__nor3_2
+XFILLER_65_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49422_ _49359_/X _49419_/B VGND VGND VPWR VPWR _49423_/B sky130_fd_sc_hd__or2_2
+XFILLER_707_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_608_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46634_ _46634_/A _78982_/Q VGND VGND VPWR VPWR _46636_/A sky130_fd_sc_hd__xor2_2
+XFILLER_562_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77454_ _77454_/CLK _52786_/Y VGND VGND VPWR VPWR _60578_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_4_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43846_ _43854_/A _43846_/B _43846_/C VGND VGND VPWR VPWR _43846_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_169_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62680_ _62053_/X _62678_/Y _62680_/C VGND VGND VPWR VPWR _62680_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_615_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74666_ _74696_/A VGND VGND VPWR VPWR _74680_/B sky130_fd_sc_hd__buf_1
+XFILLER_564_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71878_ _70688_/X _71788_/B VGND VGND VPWR VPWR _71878_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_625_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_463_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_267_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_59_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_59_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76405_ _76402_/X _76404_/Y VGND VGND VPWR VPWR _76405_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_365_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_343_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_308_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_304_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61631_ _51592_/A _61161_/B VGND VGND VPWR VPWR _61632_/C sky130_fd_sc_hd__nor2_2
+XFILLER_670_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73617_ _73623_/A _73614_/B _81137_/Q VGND VGND VPWR VPWR _73617_/Y sky130_fd_sc_hd__nand3_2
+X_49353_ _51161_/A VGND VGND VPWR VPWR _49353_/X sky130_fd_sc_hd__buf_1
+XFILLER_347_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46565_ _42835_/Y _46567_/A _79000_/Q _47098_/A VGND VGND VPWR VPWR _46566_/B sky130_fd_sc_hd__o22a_4
+X_70829_ _70829_/A _70829_/B VGND VGND VPWR VPWR _70829_/X sky130_fd_sc_hd__and2_2
+Xclkbuf_4_0_1_u_core.clock clkbuf_4_0_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_5_1_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_630_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_88 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_98_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_245_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77385_ _77755_/CLK _53039_/Y VGND VGND VPWR VPWR _61164_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_280_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43777_ _43769_/A _43784_/B VGND VGND VPWR VPWR _43783_/B sky130_fd_sc_hd__nor2_2
+XPHY_5070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74597_ _74579_/A VGND VGND VPWR VPWR _74598_/A sky130_fd_sc_hd__buf_1
+XFILLER_423_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40989_ _41005_/A _40992_/B _64951_/A VGND VGND VPWR VPWR _40989_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48304_ _48931_/A VGND VGND VPWR VPWR _48306_/A sky130_fd_sc_hd__buf_1
+XFILLER_523_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79124_ _76700_/CLK _79124_/D VGND VGND VPWR VPWR _45603_/A sky130_fd_sc_hd__dfxtp_4
+X_45516_ _56495_/A _45521_/B VGND VGND VPWR VPWR _45516_/Y sky130_fd_sc_hd__nor2_2
+X_64350_ _64191_/A _64350_/B _64349_/Y VGND VGND VPWR VPWR _64356_/B sky130_fd_sc_hd__nor3_2
+XFILLER_601_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76336_ _76333_/X _76335_/Y VGND VGND VPWR VPWR _76336_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42728_ _42628_/X _42727_/X _70627_/Y _42685_/X VGND VGND VPWR VPWR _42728_/X sky130_fd_sc_hd__o22a_4
+XFILLER_248_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61562_ _77588_/Q _61562_/B VGND VGND VPWR VPWR _61565_/B sky130_fd_sc_hd__nor2_2
+X_49284_ _49226_/A _55019_/A VGND VGND VPWR VPWR _49294_/A sky130_fd_sc_hd__or2_2
+X_73548_ _73668_/A _73545_/B VGND VGND VPWR VPWR _73548_/X sky130_fd_sc_hd__or2_2
+XFILLER_441_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46496_ _79012_/Q VGND VGND VPWR VPWR _46506_/A sky130_fd_sc_hd__inv_8
+XFILLER_244_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_260 _40295_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_76_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_703_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_540_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_271 _41107_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_367_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_324_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63301_ _63301_/A _63299_/Y _63300_/Y VGND VGND VPWR VPWR _63301_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_692_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_282 _44521_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+Xclkbuf_4_3_0_u_core.clock clkbuf_4_3_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_4_3_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_583_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_402_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_163_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60513_ _60513_/A _60353_/B VGND VGND VPWR VPWR _60513_/Y sky130_fd_sc_hd__nor2_2
+X_48235_ _63884_/A _48230_/X VGND VGND VPWR VPWR _48237_/A sky130_fd_sc_hd__nand2_2
+XFILLER_367_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79055_ _76848_/CLK _79055_/D VGND VGND VPWR VPWR _79055_/Q sky130_fd_sc_hd__dfxtp_4
+XANTENNA_293 _49233_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_163_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45447_ _70580_/A _45457_/B VGND VGND VPWR VPWR _45447_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64281_ _64600_/A VGND VGND VPWR VPWR _64282_/A sky130_fd_sc_hd__buf_1
+X_76267_ _76277_/A _76281_/B _80484_/Q VGND VGND VPWR VPWR _76267_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_716_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61493_ _61493_/A _61182_/B VGND VGND VPWR VPWR _61493_/Y sky130_fd_sc_hd__nor2_2
+X_42659_ _42449_/A VGND VGND VPWR VPWR _42660_/D sky130_fd_sc_hd__buf_1
+XFILLER_222_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73479_ _73479_/A _73478_/X VGND VGND VPWR VPWR _73479_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_37_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_343_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_695_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_726_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_454_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66020_ _57085_/A _65854_/B VGND VGND VPWR VPWR _66020_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_591_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_296_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78006_ _78006_/CLK _50691_/Y VGND VGND VPWR VPWR _61866_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63232_ _63144_/X _63232_/B _63231_/Y VGND VGND VPWR VPWR _63232_/Y sky130_fd_sc_hd__nor3_2
+X_75218_ _75218_/A _75218_/B _80742_/Q VGND VGND VPWR VPWR _75218_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_653_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48166_ _63026_/A _48166_/B VGND VGND VPWR VPWR _48169_/A sky130_fd_sc_hd__nand2_2
+X_60444_ _60122_/A _60442_/Y _60444_/C VGND VGND VPWR VPWR _60448_/B sky130_fd_sc_hd__nor3_2
+XFILLER_548_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45378_ _45045_/A _45378_/B VGND VGND VPWR VPWR _45379_/B sky130_fd_sc_hd__nor2_2
+XPHY_35460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76198_ _76152_/A VGND VGND VPWR VPWR _76199_/A sky130_fd_sc_hd__buf_1
+XFILLER_509_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_174_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_501_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_539_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_654_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_204_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_634_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47117_ _47116_/X VGND VGND VPWR VPWR _47117_/X sky130_fd_sc_hd__buf_1
+XFILLER_400_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44329_ _44327_/Y _44402_/A VGND VGND VPWR VPWR _44373_/A sky130_fd_sc_hd__or2_2
+XFILLER_552_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63163_ _78694_/Q _63325_/B VGND VGND VPWR VPWR _63164_/C sky130_fd_sc_hd__nor2_2
+X_75149_ _75149_/A _75145_/B _65889_/A VGND VGND VPWR VPWR _75149_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_359_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48097_ _48095_/Y _48097_/B VGND VGND VPWR VPWR _78678_/D sky130_fd_sc_hd__nand2_2
+X_60375_ _63005_/A VGND VGND VPWR VPWR _60375_/X sky130_fd_sc_hd__buf_1
+XFILLER_259_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_524_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_85_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62114_ _78303_/Q _62114_/B VGND VGND VPWR VPWR _62114_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_713_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47048_ _47048_/A _47047_/X VGND VGND VPWR VPWR _47052_/A sky130_fd_sc_hd__or2_2
+XFILLER_176_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67971_ _67971_/A _67971_/B _67971_/C VGND VGND VPWR VPWR _67971_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_192_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79957_ _79925_/CLK _79957_/D VGND VGND VPWR VPWR _65514_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_372_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63094_ _62630_/X _63092_/Y _63093_/Y VGND VGND VPWR VPWR _63094_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_372_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_432_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69710_ _69716_/A _55945_/C _69709_/X _42143_/A _69670_/X VGND VGND VPWR VPWR _69859_/A
++ sky130_fd_sc_hd__a32o_4
+XFILLER_686_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66922_ _67746_/A VGND VGND VPWR VPWR _67577_/A sky130_fd_sc_hd__buf_1
+X_62045_ _62045_/A _61732_/B VGND VGND VPWR VPWR _62047_/B sky130_fd_sc_hd__nor2_2
+X_78908_ _78903_/CLK _78908_/D VGND VGND VPWR VPWR _46320_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_505_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39870_ _76205_/A VGND VGND VPWR VPWR _39994_/A sky130_fd_sc_hd__buf_1
+XFILLER_44_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_142_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_342_0_u_core.clock clkbuf_9_343_0_u_core.clock/A VGND VGND VPWR VPWR _78734_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_520_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79888_ _79892_/CLK _40363_/Y VGND VGND VPWR VPWR _64643_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_304_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_515_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_354_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_667_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_157_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_9_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_131_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38821_ _38821_/A _38821_/B VGND VGND VPWR VPWR _38821_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_350_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69641_ _64087_/A _69641_/B VGND VGND VPWR VPWR _69648_/A sky130_fd_sc_hd__or2_2
+XFILLER_389_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_83_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_217_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66853_ _66853_/A _66851_/Y _66853_/C VGND VGND VPWR VPWR _66853_/Y sky130_fd_sc_hd__nor3_2
+X_78839_ _78840_/CLK _78839_/D VGND VGND VPWR VPWR _63261_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_213_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48999_ _48999_/A _48999_/B VGND VGND VPWR VPWR _49000_/B sky130_fd_sc_hd__or2_2
+XFILLER_649_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_645_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_2657 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65804_ _65486_/A _65804_/B _65803_/Y VGND VGND VPWR VPWR _65804_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_582_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38752_ _38768_/A _38760_/B _80300_/Q VGND VGND VPWR VPWR _38752_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_272_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69572_ _69572_/A VGND VGND VPWR VPWR _69654_/A sky130_fd_sc_hd__buf_1
+XFILLER_44_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66784_ _66132_/X _66782_/Y _66783_/Y VGND VGND VPWR VPWR _66784_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_492_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_725_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_684_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63996_ _59092_/X _63988_/Y _63995_/Y VGND VGND VPWR VPWR _63996_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_96_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_233_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_151_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80801_ _80809_/CLK _80801_/D VGND VGND VPWR VPWR _67374_/A sky130_fd_sc_hd__dfxtp_4
+X_68523_ _75460_/C _68350_/B VGND VGND VPWR VPWR _68524_/C sky130_fd_sc_hd__nor2_2
+XFILLER_445_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65735_ _76313_/C _66235_/B VGND VGND VPWR VPWR _65738_/B sky130_fd_sc_hd__nor2_2
+XFILLER_649_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38683_ _38689_/A _38689_/B _80319_/Q VGND VGND VPWR VPWR _38683_/Y sky130_fd_sc_hd__nand3_2
+X_50961_ _61692_/A _50955_/B VGND VGND VPWR VPWR _50961_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_508_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62947_ _78757_/Q _62792_/X VGND VGND VPWR VPWR _62949_/B sky130_fd_sc_hd__nor2_2
+XFILLER_77_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_168_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_25_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_3077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_170_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_458_0_u_core.clock clkbuf_9_459_0_u_core.clock/A VGND VGND VPWR VPWR _80969_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_96_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_634_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52700_ _52700_/A _52714_/B VGND VGND VPWR VPWR _52700_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_211_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80732_ _80734_/CLK _75255_/Y VGND VGND VPWR VPWR _80732_/Q sky130_fd_sc_hd__dfxtp_4
+X_68454_ _68454_/A _68451_/Y _68454_/C VGND VGND VPWR VPWR _68455_/C sky130_fd_sc_hd__nor3_2
+XFILLER_367_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53680_ _53680_/A _53691_/B VGND VGND VPWR VPWR _53683_/A sky130_fd_sc_hd__nand2_2
+X_65666_ _75799_/C _65820_/B VGND VGND VPWR VPWR _65666_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_260_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62878_ _62878_/A _62877_/Y VGND VGND VPWR VPWR _62879_/B sky130_fd_sc_hd__nor2_2
+X_50892_ _50889_/Y _50892_/B VGND VGND VPWR VPWR _50892_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_472_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_626_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67405_ _67405_/A _67572_/B VGND VGND VPWR VPWR _67405_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_432_226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_77_1613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52631_ _52181_/A VGND VGND VPWR VPWR _52718_/A sky130_fd_sc_hd__buf_1
+X_64617_ _64259_/A VGND VGND VPWR VPWR _64781_/B sky130_fd_sc_hd__buf_1
+XFILLER_271_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61829_ _61514_/A _61829_/B _61829_/C VGND VGND VPWR VPWR _61829_/Y sky130_fd_sc_hd__nor3_2
+X_80663_ _80630_/CLK _80663_/D VGND VGND VPWR VPWR _75544_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68385_ _68719_/A _68385_/B VGND VGND VPWR VPWR _68425_/B sky130_fd_sc_hd__nor2_2
+XFILLER_563_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_285_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_506_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_59_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_209_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65597_ _81142_/Q _65424_/X VGND VGND VPWR VPWR _65598_/C sky130_fd_sc_hd__nor2_2
+XFILLER_414_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_322_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_600_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39304_ _39304_/A VGND VGND VPWR VPWR _39316_/B sky130_fd_sc_hd__buf_1
+XFILLER_205_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_329_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55350_ _55340_/X _55349_/X VGND VGND VPWR VPWR _55350_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_244_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67336_ _80160_/Q _67180_/B VGND VGND VPWR VPWR _67337_/C sky130_fd_sc_hd__nor2_2
+XFILLER_420_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52562_ _61072_/A _52555_/B VGND VGND VPWR VPWR _52564_/A sky130_fd_sc_hd__nand2_2
+XFILLER_498_3077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64548_ _74657_/C _64750_/B VGND VGND VPWR VPWR _64552_/B sky130_fd_sc_hd__nor2_2
+XFILLER_441_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_240_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_361_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_25_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80594_ _80495_/CLK _80594_/D VGND VGND VPWR VPWR _75814_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_678_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54301_ _54301_/A _54300_/X VGND VGND VPWR VPWR _54301_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_16_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51513_ _51485_/A _51519_/B VGND VGND VPWR VPWR _51514_/B sky130_fd_sc_hd__or2_2
+XFILLER_209_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39235_ _39235_/A _39103_/X VGND VGND VPWR VPWR _39235_/X sky130_fd_sc_hd__or2_2
+XFILLER_398_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_0_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67267_ _66757_/X _67262_/Y _67266_/Y VGND VGND VPWR VPWR _67267_/Y sky130_fd_sc_hd__nor3_2
+X_55281_ _46003_/A _55404_/B VGND VGND VPWR VPWR _55281_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_90_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52493_ _54404_/A _52428_/X VGND VGND VPWR VPWR _52519_/B sky130_fd_sc_hd__or2_2
+X_64479_ _65228_/A VGND VGND VPWR VPWR _65898_/A sky130_fd_sc_hd__buf_1
+XFILLER_528_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_181_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57020_ _57348_/A _57020_/B VGND VGND VPWR VPWR _57021_/C sky130_fd_sc_hd__nor2_2
+X_69006_ _44086_/A _68680_/B VGND VGND VPWR VPWR _69007_/C sky130_fd_sc_hd__nor2_2
+XPHY_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54232_ _54232_/A VGND VGND VPWR VPWR _54241_/B sky130_fd_sc_hd__buf_1
+X_66218_ _80698_/Q _66540_/B VGND VGND VPWR VPWR _66220_/B sky130_fd_sc_hd__nor2_2
+XFILLER_558_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39166_ _39142_/A VGND VGND VPWR VPWR _39166_/X sky130_fd_sc_hd__buf_1
+X_51444_ _51472_/A _51465_/B VGND VGND VPWR VPWR _51445_/B sky130_fd_sc_hd__or2_2
+XFILLER_71_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_691_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_240_1537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_652_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67198_ _67198_/A _67517_/B VGND VGND VPWR VPWR _67198_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_33_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_354_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_140_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_715_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_326_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_570_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_81215_ _81211_/CLK _81215_/D VGND VGND VPWR VPWR _67094_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_377_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_338_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54163_ _77092_/Q _54174_/B VGND VGND VPWR VPWR _54163_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_14_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66149_ _57184_/A _65983_/B VGND VGND VPWR VPWR _66149_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_279_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_542_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51375_ _51289_/A _51393_/B VGND VGND VPWR VPWR _51375_/X sky130_fd_sc_hd__or2_2
+X_39097_ _38613_/A _38984_/X VGND VGND VPWR VPWR _39099_/A sky130_fd_sc_hd__or2_2
+XFILLER_355_3059 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_14_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_634_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_570_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_5_27_0_u_core.clock clkbuf_4_13_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_6_55_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_53114_ _77363_/Q _53109_/X VGND VGND VPWR VPWR _53116_/A sky130_fd_sc_hd__nand2_2
+XFILLER_49_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_716_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50326_ _50326_/A _50326_/B VGND VGND VPWR VPWR _50326_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_88_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81146_ _81144_/CLK _81146_/D VGND VGND VPWR VPWR _73586_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_703_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_118_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54094_ _54094_/A _54093_/X VGND VGND VPWR VPWR _54094_/Y sky130_fd_sc_hd__nand2_2
+X_58971_ _58971_/A VGND VGND VPWR VPWR _59808_/A sky130_fd_sc_hd__buf_1
+XFILLER_255_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_146_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_341_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_337_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_156_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53045_ _53045_/A _53044_/X VGND VGND VPWR VPWR _53045_/Y sky130_fd_sc_hd__nand2_2
+X_57922_ _41562_/C _58165_/B VGND VGND VPWR VPWR _57923_/C sky130_fd_sc_hd__nor2_2
+XPHY_31429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69908_ _69907_/X VGND VGND VPWR VPWR _69913_/A sky130_fd_sc_hd__inv_8
+XPHY_9303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50257_ _50257_/A _50256_/X VGND VGND VPWR VPWR _78122_/D sky130_fd_sc_hd__nand2_2
+XFILLER_353_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_513_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_307_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81077_ _81166_/CLK _81077_/D VGND VGND VPWR VPWR _65428_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_418_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40010_ _40030_/A VGND VGND VPWR VPWR _40114_/B sky130_fd_sc_hd__buf_1
+XFILLER_322_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_2443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80028_ _80123_/CLK _80028_/D VGND VGND VPWR VPWR _80028_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_20205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_533_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57853_ _58170_/A _57848_/Y _57852_/Y VGND VGND VPWR VPWR _57861_/A sky130_fd_sc_hd__nor3_2
+XPHY_30739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69839_ _55901_/A _69796_/B VGND VGND VPWR VPWR _69840_/B sky130_fd_sc_hd__and2_2
+XPHY_9358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_321_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50188_ _78139_/Q _50195_/B VGND VGND VPWR VPWR _50190_/A sky130_fd_sc_hd__nand2_2
+XFILLER_251_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_270_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39999_ _39999_/A _39985_/X _79985_/Q VGND VGND VPWR VPWR _40000_/B sky130_fd_sc_hd__nand3_2
+XPHY_9369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_388_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_133_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_468_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_388_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56804_ _56648_/A _56802_/Y _56803_/Y VGND VGND VPWR VPWR _56804_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_114_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_483_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72850_ _72850_/A _72850_/B VGND VGND VPWR VPWR _81323_/D sky130_fd_sc_hd__nand2_2
+XPHY_8657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57784_ _79937_/Q _57548_/B VGND VGND VPWR VPWR _57785_/C sky130_fd_sc_hd__nor2_2
+XPHY_7923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54996_ _54996_/A _54996_/B VGND VGND VPWR VPWR _76868_/D sky130_fd_sc_hd__nand2_2
+XFILLER_232_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_62_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71801_ _71699_/A _42967_/A VGND VGND VPWR VPWR _71801_/X sky130_fd_sc_hd__or2_2
+X_59523_ _59523_/A _59685_/B VGND VGND VPWR VPWR _59523_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_75_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_60_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_366_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56735_ _56364_/X _56734_/Y VGND VGND VPWR VPWR _56735_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_219_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41961_ _41947_/A _41959_/X _41925_/X _41960_/X VGND VGND VPWR VPWR _41961_/X sky130_fd_sc_hd__o22a_4
+XPHY_39704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53947_ _53947_/A _53946_/X VGND VGND VPWR VPWR _53947_/Y sky130_fd_sc_hd__nand2_2
+X_72781_ _72788_/A _72784_/B _66604_/A VGND VGND VPWR VPWR _72781_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_40_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1475 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_409_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43700_ _43887_/A VGND VGND VPWR VPWR _43700_/Y sky130_fd_sc_hd__inv_8
+XFILLER_5_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74520_ _74519_/Y _74528_/B VGND VGND VPWR VPWR _74521_/B sky130_fd_sc_hd__or2_2
+X_40912_ _40901_/A _40906_/B _68437_/A VGND VGND VPWR VPWR _40913_/B sky130_fd_sc_hd__nand3_2
+XPHY_29214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59454_ _54690_/A _63869_/B VGND VGND VPWR VPWR _59454_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_290_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71732_ _70601_/Y _71732_/B VGND VGND VPWR VPWR _71733_/B sky130_fd_sc_hd__or2_2
+XFILLER_448_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_60_0_u_core.clock clkbuf_5_30_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_6_60_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_264_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44680_ _44781_/A _44782_/C VGND VGND VPWR VPWR _44681_/B sky130_fd_sc_hd__nand2_2
+XPHY_29225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56666_ _79987_/Q _56666_/B VGND VGND VPWR VPWR _56667_/C sky130_fd_sc_hd__nor2_2
+XFILLER_451_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41892_ _41887_/X _44993_/A _41883_/X _45397_/A VGND VGND VPWR VPWR _41892_/X sky130_fd_sc_hd__o22a_4
+XFILLER_75_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53878_ _53850_/A _53866_/B VGND VGND VPWR VPWR _53879_/B sky130_fd_sc_hd__or2_2
+XFILLER_327_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_3092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_569_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_3054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58405_ _58405_/A _58634_/B VGND VGND VPWR VPWR _58406_/C sky130_fd_sc_hd__nor2_2
+XFILLER_696_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43631_ _43628_/X _43631_/B _43630_/Y VGND VGND VPWR VPWR _79312_/D sky130_fd_sc_hd__nor3_2
+XFILLER_147_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55617_ _55617_/A _55616_/X VGND VGND VPWR VPWR _76797_/D sky130_fd_sc_hd__nand2_2
+XFILLER_210_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_644_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74451_ _74447_/X _74450_/X VGND VGND VPWR VPWR _74451_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_366_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40843_ _40843_/A _40843_/B _57161_/A VGND VGND VPWR VPWR _40844_/B sky130_fd_sc_hd__nand3_2
+XFILLER_612_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52829_ _52829_/A VGND VGND VPWR VPWR _52839_/B sky130_fd_sc_hd__buf_1
+XFILLER_95_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59385_ _59385_/A _64003_/B VGND VGND VPWR VPWR _59387_/B sky130_fd_sc_hd__nor2_2
+XPHY_29269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71663_ _71399_/A _70525_/A VGND VGND VPWR VPWR _71663_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_622_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56597_ _80050_/Q _56764_/B VGND VGND VPWR VPWR _56597_/Y sky130_fd_sc_hd__nor2_2
+XPHY_28535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73402_ _73402_/A _73401_/X VGND VGND VPWR VPWR _73402_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_56_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46350_ _46350_/A _46320_/Y _46350_/C VGND VGND VPWR VPWR _46350_/X sky130_fd_sc_hd__or3_2
+X_58336_ _58336_/A _58336_/B _58336_/C VGND VGND VPWR VPWR _58336_/Y sky130_fd_sc_hd__nor3_2
+X_70614_ _70614_/A VGND VGND VPWR VPWR _70615_/A sky130_fd_sc_hd__inv_8
+X_77170_ _77171_/CLK _77170_/D VGND VGND VPWR VPWR _59951_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43562_ _43562_/A VGND VGND VPWR VPWR _43577_/B sky130_fd_sc_hd__buf_1
+XFILLER_227_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55548_ _71705_/A _55536_/B VGND VGND VPWR VPWR _55548_/X sky130_fd_sc_hd__or2_2
+X_74382_ _74388_/A _74388_/B _80947_/Q VGND VGND VPWR VPWR _74382_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_461_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_216_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40774_ _40386_/A _40777_/B VGND VGND VPWR VPWR _40774_/X sky130_fd_sc_hd__or2_2
+XFILLER_188_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71594_ _70648_/X _71593_/Y VGND VGND VPWR VPWR _71594_/X sky130_fd_sc_hd__or2_2
+XFILLER_223_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_677_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45301_ _45328_/A _45278_/Y _45300_/Y VGND VGND VPWR VPWR _45301_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_524_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76121_ _76121_/A VGND VGND VPWR VPWR _38265_/A sky130_fd_sc_hd__buf_1
+XFILLER_565_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42513_ _42511_/X _70134_/B _70138_/X _42512_/X VGND VGND VPWR VPWR _42513_/X sky130_fd_sc_hd__o22a_4
+XFILLER_717_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73333_ _73331_/Y _73333_/B VGND VGND VPWR VPWR _73333_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_231_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_54_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_43_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_325_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46281_ _43212_/A _46696_/A VGND VGND VPWR VPWR _46281_/Y sky130_fd_sc_hd__nand2_2
+X_70545_ _70544_/A _70543_/A VGND VGND VPWR VPWR _70546_/A sky130_fd_sc_hd__or2_2
+XPHY_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58267_ _58184_/A _58267_/B _58267_/C VGND VGND VPWR VPWR _58271_/B sky130_fd_sc_hd__nor3_2
+XFILLER_70_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_502_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43493_ _55256_/A VGND VGND VPWR VPWR _55599_/A sky130_fd_sc_hd__buf_1
+XFILLER_579_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55479_ _55457_/A _55479_/B VGND VGND VPWR VPWR _55479_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_579_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_566_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48020_ _78699_/Q _48015_/X VGND VGND VPWR VPWR _48020_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_401_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_297_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45232_ _45171_/A _45231_/X VGND VGND VPWR VPWR _45232_/X sky130_fd_sc_hd__or2_2
+XPHY_34000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_179_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57218_ _56400_/X VGND VGND VPWR VPWR _57218_/X sky130_fd_sc_hd__buf_1
+X_76052_ _76050_/X _76051_/Y VGND VGND VPWR VPWR _80531_/D sky130_fd_sc_hd__nand2_2
+XFILLER_592_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42444_ _42444_/A _42443_/X VGND VGND VPWR VPWR _42445_/A sky130_fd_sc_hd__or2_2
+XFILLER_187_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_364_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_3104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_73264_ _73264_/A VGND VGND VPWR VPWR _73265_/B sky130_fd_sc_hd__buf_1
+XFILLER_243_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58198_ _58048_/A _58197_/Y VGND VGND VPWR VPWR _58198_/Y sky130_fd_sc_hd__nor2_2
+XPHY_17399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70476_ _70472_/Y _70048_/Y _70053_/A _70475_/X VGND VGND VPWR VPWR _70476_/X sky130_fd_sc_hd__o22a_4
+XPHY_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_395_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75003_ _75003_/A _74995_/X VGND VGND VPWR VPWR _75003_/X sky130_fd_sc_hd__or2_2
+XPHY_34044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72215_ _72215_/A VGND VGND VPWR VPWR _74718_/A sky130_fd_sc_hd__buf_1
+XFILLER_317_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45163_ _45264_/A _55549_/B VGND VGND VPWR VPWR _45163_/X sky130_fd_sc_hd__or2_2
+XPHY_33310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57149_ _79929_/Q _57310_/B VGND VGND VPWR VPWR _57150_/C sky130_fd_sc_hd__nor2_2
+XPHY_34055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_420_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42375_ _42301_/A _42373_/Y _42375_/C VGND VGND VPWR VPWR _42381_/A sky130_fd_sc_hd__nor3_2
+X_73195_ _74079_/A VGND VGND VPWR VPWR _75010_/A sky130_fd_sc_hd__buf_1
+XFILLER_616_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_197_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_1539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_722_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44114_ _44066_/A _44112_/X _44114_/C VGND VGND VPWR VPWR _44115_/C sky130_fd_sc_hd__nor3_2
+XPHY_15986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79811_ _79809_/CLK _79811_/D VGND VGND VPWR VPWR _67821_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_344_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_670_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41326_ _41321_/X _41315_/B _67448_/A VGND VGND VPWR VPWR _41327_/B sky130_fd_sc_hd__nand3_2
+XFILLER_626_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60160_ _76867_/Q _59987_/B VGND VGND VPWR VPWR _60161_/C sky130_fd_sc_hd__nor2_2
+XFILLER_291_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72146_ _71471_/A _72141_/Y _72145_/Y VGND VGND VPWR VPWR _72146_/X sky130_fd_sc_hd__or3_2
+XFILLER_652_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49971_ _50028_/A _49967_/B VGND VGND VPWR VPWR _49971_/X sky130_fd_sc_hd__or2_2
+X_45094_ _45182_/A _45093_/Y VGND VGND VPWR VPWR _45094_/Y sky130_fd_sc_hd__nor2_2
+XPHY_32620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_291_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_414_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_710_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_298_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44045_ _44226_/A _44044_/X VGND VGND VPWR VPWR _44045_/X sky130_fd_sc_hd__or2_4
+X_48922_ _48293_/A VGND VGND VPWR VPWR _49047_/A sky130_fd_sc_hd__buf_1
+XPHY_22130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_40_0_u_core.clock clkbuf_7_41_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_40_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_171_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79742_ _79737_/CLK _79742_/D VGND VGND VPWR VPWR _57618_/A sky130_fd_sc_hd__dfxtp_4
+X_41257_ _41260_/A _41264_/B _56727_/A VGND VGND VPWR VPWR _41258_/B sky130_fd_sc_hd__nand3_2
+XFILLER_124_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60091_ _60091_/A VGND VGND VPWR VPWR _60092_/B sky130_fd_sc_hd__buf_1
+X_76954_ _76921_/CLK _54681_/Y VGND VGND VPWR VPWR _76954_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_355_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72077_ _72061_/Y _72067_/X VGND VGND VPWR VPWR _72083_/A sky130_fd_sc_hd__nor2_2
+XFILLER_100_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_351_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_486_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_84_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_614_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40208_ _40208_/A _40208_/B VGND VGND VPWR VPWR _40208_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_319_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75905_ _75624_/A VGND VGND VPWR VPWR _75982_/A sky130_fd_sc_hd__buf_1
+X_71028_ _70879_/A _71028_/B VGND VGND VPWR VPWR _71030_/B sky130_fd_sc_hd__and2_2
+XFILLER_80_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48853_ _63078_/A _48838_/B VGND VGND VPWR VPWR _48853_/Y sky130_fd_sc_hd__nand2_2
+Xclkbuf_7_0_0_u_core.clock clkbuf_7_1_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_1_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_22185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79673_ _79641_/CLK _79673_/D VGND VGND VPWR VPWR _66141_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_702_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_312_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41188_ _41173_/A _41178_/B _79686_/Q VGND VGND VPWR VPWR _41189_/B sky130_fd_sc_hd__nand3_2
+XFILLER_152_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_158_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76885_ _76890_/CLK _76885_/D VGND VGND VPWR VPWR _59256_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47804_ _47804_/A _47804_/B VGND VGND VPWR VPWR _47804_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_135_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78624_ _78667_/CLK _48317_/Y VGND VGND VPWR VPWR _63487_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40139_ _40137_/X _40138_/Y VGND VGND VPWR VPWR _79948_/D sky130_fd_sc_hd__nand2_2
+XPHY_21484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63850_ _63850_/A _63850_/B _63849_/Y VGND VGND VPWR VPWR _63850_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_685_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75836_ _75844_/A _75844_/B _75836_/C VGND VGND VPWR VPWR _75837_/B sky130_fd_sc_hd__nand3_2
+XFILLER_410_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_529_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_469_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48784_ _48940_/A VGND VGND VPWR VPWR _48848_/A sky130_fd_sc_hd__buf_1
+XPHY_9892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_458_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45996_ _42786_/B _45986_/B VGND VGND VPWR VPWR _45997_/C sky130_fd_sc_hd__nor2_2
+XFILLER_152_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_709_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_646_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62801_ _62158_/X _62801_/B _62801_/C VGND VGND VPWR VPWR _62801_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_332_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47735_ _47735_/A _47735_/B VGND VGND VPWR VPWR _47735_/Y sky130_fd_sc_hd__nand2_2
+XPHY_10260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_3_6_1_u_core.clock clkbuf_3_6_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_3_6_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_78555_ _78108_/CLK _48587_/Y VGND VGND VPWR VPWR _63847_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_117_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44947_ _44938_/X _44947_/B VGND VGND VPWR VPWR _44947_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_662_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63781_ _63781_/A _63364_/X VGND VGND VPWR VPWR _63782_/C sky130_fd_sc_hd__nor2_2
+XFILLER_662_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75767_ _75755_/X _75774_/B _75767_/C VGND VGND VPWR VPWR _75767_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_238_443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_430_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60993_ _60680_/A _60991_/Y _60993_/C VGND VGND VPWR VPWR _60993_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_289_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72979_ _73511_/A _72842_/B _81295_/Q VGND VGND VPWR VPWR _72980_/B sky130_fd_sc_hd__nand3_2
+XFILLER_239_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_367_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_616_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65520_ _56845_/A _65839_/B VGND VGND VPWR VPWR _65521_/C sky130_fd_sc_hd__nor2_2
+XFILLER_267_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77506_ _77506_/CLK _77506_/D VGND VGND VPWR VPWR _77506_/Q sky130_fd_sc_hd__dfxtp_4
+X_62732_ _62732_/A _62894_/B VGND VGND VPWR VPWR _62732_/Y sky130_fd_sc_hd__nor2_2
+X_74718_ _74718_/A VGND VGND VPWR VPWR _75223_/A sky130_fd_sc_hd__buf_1
+XFILLER_679_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47666_ _47704_/A _47666_/B VGND VGND VPWR VPWR _47666_/X sky130_fd_sc_hd__or2_2
+XFILLER_349_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78486_ _78477_/CLK _78486_/D VGND VGND VPWR VPWR _63078_/A sky130_fd_sc_hd__dfxtp_4
+X_44878_ _44901_/A _44665_/X VGND VGND VPWR VPWR _44894_/C sky130_fd_sc_hd__or2_2
+XFILLER_254_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75698_ _75695_/X _75698_/B VGND VGND VPWR VPWR _75698_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_605_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_584_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_681_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_267_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_81_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_65_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49405_ _62739_/A _49401_/B VGND VGND VPWR VPWR _49405_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_454_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_399_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_580_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46617_ _46617_/A _46624_/C VGND VGND VPWR VPWR _46618_/B sky130_fd_sc_hd__nor2_2
+XFILLER_503_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65451_ _65280_/A _65445_/Y _65450_/Y VGND VGND VPWR VPWR _65451_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_4_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77437_ _77438_/CLK _52846_/Y VGND VGND VPWR VPWR _60432_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_601_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43829_ _43739_/X _43825_/Y _43824_/A _43828_/Y VGND VGND VPWR VPWR _43830_/B sky130_fd_sc_hd__o22a_4
+X_62663_ _62489_/X _62653_/Y _62662_/Y VGND VGND VPWR VPWR _62663_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_542_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_503_2715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74649_ _74136_/A _74659_/B VGND VGND VPWR VPWR _74652_/A sky130_fd_sc_hd__or2_2
+XFILLER_703_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47597_ _63048_/A _47597_/B VGND VGND VPWR VPWR _47597_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_241_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_225_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_20_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_59_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64402_ _65186_/A VGND VGND VPWR VPWR _64839_/B sky130_fd_sc_hd__buf_1
+XFILLER_80_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_523_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61614_ _61614_/A VGND VGND VPWR VPWR _61931_/A sky130_fd_sc_hd__buf_1
+XFILLER_59_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49336_ _49354_/A _49438_/A VGND VGND VPWR VPWR _49336_/X sky130_fd_sc_hd__or2_2
+XPHY_29792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68170_ _67846_/A _68170_/B _68169_/Y VGND VGND VPWR VPWR _68171_/C sky130_fd_sc_hd__nor3_2
+XFILLER_408_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_132_0_u_core.clock clkbuf_8_66_0_u_core.clock/X VGND VGND VPWR VPWR _78307_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_263_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46548_ _46546_/X _46547_/X VGND VGND VPWR VPWR _79004_/D sky130_fd_sc_hd__nand2_2
+XFILLER_523_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65382_ _44029_/Y _65712_/B VGND VGND VPWR VPWR _65382_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_679_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77368_ _77365_/CLK _77368_/D VGND VGND VPWR VPWR _77368_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62594_ _62591_/X _62592_/Y _62594_/C VGND VGND VPWR VPWR _62594_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_675_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_241_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_501_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_378_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67121_ _64272_/A VGND VGND VPWR VPWR _67779_/B sky130_fd_sc_hd__buf_1
+XFILLER_568_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_20_0_u_core.clock clkbuf_7_10_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_20_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_79107_ _79095_/CLK _79107_/D VGND VGND VPWR VPWR _79107_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_181_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_410_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76319_ _76319_/A _76318_/Y VGND VGND VPWR VPWR _80470_/D sky130_fd_sc_hd__nand2_2
+XFILLER_621_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64333_ _64124_/A VGND VGND VPWR VPWR _64334_/A sky130_fd_sc_hd__buf_1
+XFILLER_343_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61545_ _53110_/A _61086_/B VGND VGND VPWR VPWR _61546_/C sky130_fd_sc_hd__nor2_2
+X_49267_ _49264_/Y _49266_/X VGND VGND VPWR VPWR _78378_/D sky130_fd_sc_hd__nand2_2
+XFILLER_406_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_718_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46479_ _43506_/A _43505_/X VGND VGND VPWR VPWR _46479_/X sky130_fd_sc_hd__xor2_2
+XFILLER_21_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_406_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77299_ _77294_/CLK _77299_/D VGND VGND VPWR VPWR _60237_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_378_3059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_241_0_u_core.clock clkbuf_8_241_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_483_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_411_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_241_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_421_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39020_ _39024_/A _39017_/B _80229_/Q VGND VGND VPWR VPWR _39020_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_206_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_597_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48218_ _48216_/Y _48217_/X VGND VGND VPWR VPWR _78648_/D sky130_fd_sc_hd__nand2_2
+X_67052_ _67377_/A _67052_/B _67051_/Y VGND VGND VPWR VPWR _67071_/A sky130_fd_sc_hd__nor3_2
+XFILLER_194_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79038_ _76684_/CLK _79038_/D VGND VGND VPWR VPWR _42048_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_692_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_50_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64264_ _64264_/A _64264_/B _64264_/C VGND VGND VPWR VPWR _64264_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_476_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61476_ _77771_/Q _61161_/B VGND VGND VPWR VPWR _61477_/C sky130_fd_sc_hd__nor2_2
+X_49198_ _49198_/A VGND VGND VPWR VPWR _49199_/B sky130_fd_sc_hd__buf_1
+XFILLER_18_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_537_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_296_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66003_ _66003_/A _66499_/B VGND VGND VPWR VPWR _66003_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_33_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63215_ _62591_/X _63213_/Y _63214_/Y VGND VGND VPWR VPWR _63216_/C sky130_fd_sc_hd__nor3_2
+XFILLER_673_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_536_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60427_ _77477_/Q _60426_/X VGND VGND VPWR VPWR _60427_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_524_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48149_ _48149_/A _48149_/B VGND VGND VPWR VPWR _78666_/D sky130_fd_sc_hd__nand2_2
+XFILLER_394_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_336_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64195_ _64118_/X _64195_/B VGND VGND VPWR VPWR _64245_/B sky130_fd_sc_hd__nor2_2
+XFILLER_179_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_248_0_u_core.clock clkbuf_9_249_0_u_core.clock/A VGND VGND VPWR VPWR _79794_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_714_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_651_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81000_ _80845_/CLK _74186_/Y VGND VGND VPWR VPWR _81000_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_391_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_293_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_493_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51160_ _62093_/A _51160_/B VGND VGND VPWR VPWR _51162_/A sky130_fd_sc_hd__nand2_2
+XFILLER_302_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63146_ _62365_/A VGND VGND VPWR VPWR _63468_/B sky130_fd_sc_hd__buf_1
+XFILLER_336_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60358_ _77132_/Q _60519_/B VGND VGND VPWR VPWR _60360_/B sky130_fd_sc_hd__nor2_2
+XFILLER_194_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_580_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50111_ _49813_/A VGND VGND VPWR VPWR _50111_/X sky130_fd_sc_hd__buf_1
+XFILLER_540_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39922_ _40281_/A _39921_/X VGND VGND VPWR VPWR _39924_/A sky130_fd_sc_hd__or2_2
+XFILLER_390_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_176_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_700_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51091_ _77899_/Q _51086_/X VGND VGND VPWR VPWR _51091_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_647_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63077_ _63077_/A _62767_/X VGND VGND VPWR VPWR _63079_/B sky130_fd_sc_hd__nor2_2
+X_67954_ _64626_/X VGND VGND VPWR VPWR _68611_/A sky130_fd_sc_hd__buf_1
+XFILLER_83_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_317_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_513_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60289_ _60289_/A _60286_/Y _60288_/Y VGND VGND VPWR VPWR _60289_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_350_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_127_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_239_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_1491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_170_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_432_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66905_ _66083_/A VGND VGND VPWR VPWR _67392_/A sky130_fd_sc_hd__buf_1
+XFILLER_489_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50042_ _78178_/Q _50049_/B VGND VGND VPWR VPWR _50042_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_289_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62028_ _77999_/Q _61717_/B VGND VGND VPWR VPWR _62029_/C sky130_fd_sc_hd__nor2_2
+XFILLER_530_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_530_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39853_ _39851_/X _39852_/Y VGND VGND VPWR VPWR _80022_/D sky130_fd_sc_hd__nand2_2
+XFILLER_118_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_666_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67885_ _67885_/A VGND VGND VPWR VPWR _68052_/B sky130_fd_sc_hd__buf_1
+XFILLER_471_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_89_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_115_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_493_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_477_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_569_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38804_ _38544_/A _38800_/B VGND VGND VPWR VPWR _38804_/X sky130_fd_sc_hd__or2_2
+XFILLER_311_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_315_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_667_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69624_ _69638_/A _69638_/B _69624_/C _69621_/D VGND VGND VPWR VPWR _69625_/D sky130_fd_sc_hd__or4_2
+XPHY_7208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54850_ _54847_/Y _54849_/X VGND VGND VPWR VPWR _76909_/D sky130_fd_sc_hd__nand2_2
+X_66836_ _66172_/X _66832_/Y _66836_/C VGND VGND VPWR VPWR _66836_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_647_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39784_ _39782_/X _39783_/Y VGND VGND VPWR VPWR _80037_/D sky130_fd_sc_hd__nand2_2
+XPHY_7219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53801_ _77188_/Q _53808_/B VGND VGND VPWR VPWR _53801_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38735_ _38731_/X _38631_/B _38735_/C VGND VGND VPWR VPWR _38736_/B sky130_fd_sc_hd__nand3_2
+XFILLER_311_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69555_ _64250_/A _69555_/B _69554_/Y VGND VGND VPWR VPWR _69556_/C sky130_fd_sc_hd__nor3_2
+XFILLER_628_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66767_ _72535_/C _66767_/B VGND VGND VPWR VPWR _66767_/Y sky130_fd_sc_hd__nor2_2
+X_54781_ _54810_/A _54775_/B VGND VGND VPWR VPWR _54782_/B sky130_fd_sc_hd__or2_2
+XFILLER_6_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51993_ _51936_/A _51993_/B VGND VGND VPWR VPWR _51994_/B sky130_fd_sc_hd__or2_2
+XFILLER_389_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63979_ _63979_/A _63977_/Y _63979_/C VGND VGND VPWR VPWR _63979_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_508_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_426_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_664_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_29_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_702_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56520_ _56440_/A _56520_/B _56519_/Y VGND VGND VPWR VPWR _56520_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_367_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68506_ _68337_/A _68506_/B _68506_/C VGND VGND VPWR VPWR _68506_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_230_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53732_ _53761_/A _53716_/A VGND VGND VPWR VPWR _53733_/B sky130_fd_sc_hd__or2_2
+XFILLER_465_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65718_ _65718_/A _66219_/B VGND VGND VPWR VPWR _65719_/C sky130_fd_sc_hd__nor2_2
+XPHY_5817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38666_ _38666_/A _38669_/B VGND VGND VPWR VPWR _38666_/X sky130_fd_sc_hd__or2_2
+X_50944_ _50942_/Y _50944_/B VGND VGND VPWR VPWR _50944_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_77_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69486_ _64241_/A _69486_/B _69486_/C VGND VGND VPWR VPWR _69487_/C sky130_fd_sc_hd__nor3_2
+XFILLER_271_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_367_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_3062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66698_ _66695_/Y _66698_/B _66697_/Y VGND VGND VPWR VPWR _66860_/C sky130_fd_sc_hd__or3_2
+XFILLER_285_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_426_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_3163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_281_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_508_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_634_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56451_ _57663_/A VGND VGND VPWR VPWR _56606_/B sky130_fd_sc_hd__buf_1
+XFILLER_383_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_661_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80715_ _80714_/CLK _75321_/Y VGND VGND VPWR VPWR _75320_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_405_237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_68437_ _68437_/A _69081_/B VGND VGND VPWR VPWR _68438_/C sky130_fd_sc_hd__nor2_2
+XFILLER_285_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_84_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_38_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53663_ _77225_/Q _53663_/B VGND VGND VPWR VPWR _53665_/A sky130_fd_sc_hd__nand2_2
+XFILLER_525_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65649_ _65488_/A _65640_/Y _65648_/Y VGND VGND VPWR VPWR _65649_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_211_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50875_ _50875_/A _50874_/X VGND VGND VPWR VPWR _50875_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_246_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38597_ _38605_/A _38605_/B _65060_/A VGND VGND VPWR VPWR _38598_/B sky130_fd_sc_hd__nand3_2
+XFILLER_96_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_461_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_37_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_109_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55402_ _71304_/Y _55430_/B VGND VGND VPWR VPWR _55402_/X sky130_fd_sc_hd__or2_2
+XFILLER_721_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52614_ _52763_/A VGND VGND VPWR VPWR _52614_/X sky130_fd_sc_hd__buf_1
+XFILLER_341_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59170_ _59889_/A VGND VGND VPWR VPWR _59728_/B sky130_fd_sc_hd__buf_1
+XFILLER_90_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80646_ _80641_/CLK _75621_/Y VGND VGND VPWR VPWR _80646_/Q sky130_fd_sc_hd__dfxtp_4
+X_68368_ _65879_/A VGND VGND VPWR VPWR _68369_/B sky130_fd_sc_hd__buf_1
+X_56382_ _58463_/A VGND VGND VPWR VPWR _58809_/B sky130_fd_sc_hd__buf_1
+XFILLER_77_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53594_ _53592_/Y _53594_/B VGND VGND VPWR VPWR _77243_/D sky130_fd_sc_hd__nand2_2
+XFILLER_168_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_460_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_541_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_404_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58121_ _68148_/A _58194_/B VGND VGND VPWR VPWR _58121_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_459_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_401_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_309_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55333_ _55422_/A _55333_/B VGND VGND VPWR VPWR _55334_/B sky130_fd_sc_hd__or2_2
+XFILLER_630_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67319_ _67158_/A _67319_/B _67319_/C VGND VGND VPWR VPWR _67323_/B sky130_fd_sc_hd__nor3_2
+XFILLER_298_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52545_ _52545_/A _52544_/X VGND VGND VPWR VPWR _52545_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_478_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80577_ _80577_/CLK _75882_/Y VGND VGND VPWR VPWR _67379_/A sky130_fd_sc_hd__dfxtp_4
+X_68299_ _67644_/X _68296_/Y _68298_/Y VGND VGND VPWR VPWR _68299_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_240_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_220_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_502_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_298_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_129_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39218_ _39205_/A _39200_/B _65698_/A VGND VGND VPWR VPWR _39219_/B sky130_fd_sc_hd__nand3_2
+XFILLER_224_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58052_ _58052_/A _58050_/Y _58052_/C VGND VGND VPWR VPWR _58058_/B sky130_fd_sc_hd__nor3_2
+X_70330_ _70330_/A VGND VGND VPWR VPWR _70330_/Y sky130_fd_sc_hd__inv_8
+XFILLER_16_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_169_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55264_ _55264_/A VGND VGND VPWR VPWR _55274_/A sky130_fd_sc_hd__inv_8
+XFILLER_240_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40490_ _40471_/X _40503_/B _65523_/A VGND VGND VPWR VPWR _40491_/B sky130_fd_sc_hd__nand3_2
+XFILLER_107_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52476_ _52473_/Y _52475_/X VGND VGND VPWR VPWR _77537_/D sky130_fd_sc_hd__nand2_2
+XPHY_25739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_259_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_212_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_498_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57003_ _65854_/A _57085_/B VGND VGND VPWR VPWR _57003_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_320_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_723_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54215_ _54215_/A _54215_/B VGND VGND VPWR VPWR _77078_/D sky130_fd_sc_hd__nand2_2
+XPHY_15238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_574_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39149_ _39147_/X _39149_/B VGND VGND VPWR VPWR _39149_/Y sky130_fd_sc_hd__nand2_2
+X_51427_ _51728_/A VGND VGND VPWR VPWR _51516_/A sky130_fd_sc_hd__buf_1
+XPHY_15249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_166_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70261_ _70183_/A _70261_/B _70260_/Y VGND VGND VPWR VPWR _70262_/A sky130_fd_sc_hd__or3_2
+XPHY_14504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_518_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_712_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55195_ _55195_/A _55195_/B VGND VGND VPWR VPWR _76832_/D sky130_fd_sc_hd__or2_2
+XFILLER_652_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_88_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72000_ _71999_/A _71999_/B VGND VGND VPWR VPWR _72000_/X sky130_fd_sc_hd__and2_2
+XPHY_14537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_140_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_218_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_715_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_446_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42160_ _42177_/C _42159_/X VGND VGND VPWR VPWR _42161_/B sky130_fd_sc_hd__nor2_2
+XPHY_14548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54146_ _77097_/Q _54146_/B VGND VGND VPWR VPWR _54146_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_552_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51358_ _51358_/A _51361_/B VGND VGND VPWR VPWR _51358_/X sky130_fd_sc_hd__or2_2
+XFILLER_159_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70192_ _76670_/Q VGND VGND VPWR VPWR _70192_/Y sky130_fd_sc_hd__inv_8
+XPHY_14559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41111_ _39211_/A VGND VGND VPWR VPWR _41111_/X sky130_fd_sc_hd__buf_1
+XFILLER_49_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_341_214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50309_ _50281_/A _50283_/X VGND VGND VPWR VPWR _50310_/B sky130_fd_sc_hd__or2_2
+XFILLER_101_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81129_ _80790_/CLK _73654_/Y VGND VGND VPWR VPWR _81129_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_351_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58954_ _59387_/A _58949_/Y _58953_/Y VGND VGND VPWR VPWR _58954_/Y sky130_fd_sc_hd__nor3_2
+XPHY_13858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42091_ _42091_/A _42095_/B VGND VGND VPWR VPWR _42091_/Y sky130_fd_sc_hd__nor2_2
+X_54077_ _54062_/A VGND VGND VPWR VPWR _54083_/B sky130_fd_sc_hd__buf_1
+XFILLER_257_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_669_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51289_ _51289_/A _51307_/B VGND VGND VPWR VPWR _51290_/B sky130_fd_sc_hd__or2_2
+XFILLER_316_1405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_341_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_3_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41042_ _43801_/A VGND VGND VPWR VPWR _41042_/X sky130_fd_sc_hd__buf_1
+XFILLER_685_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57905_ _67624_/A _57826_/X VGND VGND VPWR VPWR _57905_/Y sky130_fd_sc_hd__nor2_2
+X_53028_ _53028_/A _53049_/B VGND VGND VPWR VPWR _53029_/B sky130_fd_sc_hd__or2_2
+XPHY_9133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73951_ _73951_/A _73951_/B VGND VGND VPWR VPWR _73954_/A sky130_fd_sc_hd__or2_2
+XFILLER_175_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58885_ _58884_/X VGND VGND VPWR VPWR _58910_/A sky130_fd_sc_hd__buf_1
+XFILLER_79_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_62_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_587_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72902_ _72901_/X _72882_/X _81312_/Q VGND VGND VPWR VPWR _72903_/B sky130_fd_sc_hd__nand3_2
+XFILLER_295_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45850_ _79088_/Q _45850_/B VGND VGND VPWR VPWR _45850_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_646_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57836_ _67463_/A _57915_/B VGND VGND VPWR VPWR _57836_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_583_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76670_ _76671_/CLK _76670_/D VGND VGND VPWR VPWR _76670_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_587_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_7_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73882_ _73882_/A _73882_/B VGND VGND VPWR VPWR _73882_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_27_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_428_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_685_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44801_ _44773_/X _44800_/Y VGND VGND VPWR VPWR _44801_/X sky130_fd_sc_hd__and2_2
+XFILLER_114_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75621_ _75621_/A _75620_/Y VGND VGND VPWR VPWR _75621_/Y sky130_fd_sc_hd__nand2_2
+XPHY_20079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_134_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_546_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72833_ _72846_/A VGND VGND VPWR VPWR _72974_/B sky130_fd_sc_hd__buf_1
+XFILLER_114_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45781_ _45780_/Y _45695_/X VGND VGND VPWR VPWR _45781_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_663_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57767_ _57923_/A _57767_/B _57766_/Y VGND VGND VPWR VPWR _57767_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_437_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42993_ _42991_/X _42993_/B VGND VGND VPWR VPWR _42993_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_169_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54979_ _59729_/A _54976_/B VGND VGND VPWR VPWR _54981_/A sky130_fd_sc_hd__nand2_2
+XFILLER_409_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_264_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_625_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_612_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47520_ _47520_/A _47520_/B VGND VGND VPWR VPWR _78824_/D sky130_fd_sc_hd__nand2_2
+XFILLER_723_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59506_ _59506_/A _59504_/Y _59505_/Y VGND VGND VPWR VPWR _59506_/Y sky130_fd_sc_hd__nor3_2
+XPHY_39523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78340_ _78338_/CLK _49432_/Y VGND VGND VPWR VPWR _62795_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_130_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44732_ _44645_/A _44707_/B VGND VGND VPWR VPWR _44732_/Y sky130_fd_sc_hd__nor2_2
+XPHY_7786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56718_ _65116_/A _56643_/B VGND VGND VPWR VPWR _56718_/Y sky130_fd_sc_hd__nor2_2
+X_75552_ _75552_/A _75548_/B VGND VGND VPWR VPWR _75555_/A sky130_fd_sc_hd__or2_2
+XFILLER_384_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_327_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41944_ _41942_/Y _41943_/Y VGND VGND VPWR VPWR _41945_/B sky130_fd_sc_hd__nor2_2
+XPHY_39534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72764_ _72764_/A _72763_/Y VGND VGND VPWR VPWR _81345_/D sky130_fd_sc_hd__nand2_2
+XPHY_7797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57698_ _67131_/A _57613_/X VGND VGND VPWR VPWR _57698_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_236_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74503_ _74500_/X _74503_/B VGND VGND VPWR VPWR _74503_/Y sky130_fd_sc_hd__nand2_2
+XPHY_39567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_598_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47451_ _62948_/A _47451_/B VGND VGND VPWR VPWR _47453_/A sky130_fd_sc_hd__nand2_2
+X_59437_ _59437_/A VGND VGND VPWR VPWR _59440_/A sky130_fd_sc_hd__buf_1
+X_71715_ _70850_/X _71716_/B VGND VGND VPWR VPWR _71717_/B sky130_fd_sc_hd__nor2_2
+XFILLER_108_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_605_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78271_ _78277_/CLK _49700_/Y VGND VGND VPWR VPWR _62101_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44663_ _44663_/A _44662_/Y VGND VGND VPWR VPWR _44663_/X sky130_fd_sc_hd__or2_2
+XPHY_28310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56649_ _56649_/A _56644_/Y _56648_/Y VGND VGND VPWR VPWR _56649_/Y sky130_fd_sc_hd__nor3_2
+X_75483_ _75503_/A _75498_/B _80675_/Q VGND VGND VPWR VPWR _75483_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41875_ _41868_/X _41872_/X _45284_/A _41874_/X VGND VGND VPWR VPWR _41875_/X sky130_fd_sc_hd__o22a_4
+XPHY_39589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72695_ _72296_/X VGND VGND VPWR VPWR _72773_/A sky130_fd_sc_hd__buf_1
+XPHY_28321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_327_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_641_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46402_ _46402_/A VGND VGND VPWR VPWR _46402_/Y sky130_fd_sc_hd__inv_8
+XPHY_38866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77222_ _77214_/CLK _53674_/Y VGND VGND VPWR VPWR _77222_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_28343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_405_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43614_ _71643_/A _43599_/B VGND VGND VPWR VPWR _43616_/B sky130_fd_sc_hd__nor2_2
+X_74434_ _74029_/X _74425_/B VGND VGND VPWR VPWR _74434_/X sky130_fd_sc_hd__or2_2
+XFILLER_409_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40826_ _40823_/A _40823_/B _66829_/A VGND VGND VPWR VPWR _40827_/B sky130_fd_sc_hd__nand3_2
+XPHY_29099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71646_ _71646_/A _71383_/B VGND VGND VPWR VPWR _71646_/Y sky130_fd_sc_hd__nor2_2
+X_47382_ _47449_/A _47382_/B VGND VGND VPWR VPWR _47382_/X sky130_fd_sc_hd__or2_2
+XFILLER_598_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59368_ _59531_/A _59368_/B _59367_/Y VGND VGND VPWR VPWR _59368_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_147_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_216_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44594_ _44586_/A _44592_/Y _44594_/C VGND VGND VPWR VPWR _44595_/C sky130_fd_sc_hd__nor3_2
+XFILLER_524_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_143_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_340_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49121_ _49087_/A _49120_/X VGND VGND VPWR VPWR _49121_/X sky130_fd_sc_hd__or2_2
+XFILLER_16_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46333_ _43034_/Y _46330_/B _46329_/Y _46332_/Y VGND VGND VPWR VPWR _46333_/X sky130_fd_sc_hd__a211o_4
+XFILLER_496_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58319_ _58220_/X _58319_/B VGND VGND VPWR VPWR _58339_/B sky130_fd_sc_hd__nor2_2
+XFILLER_600_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77153_ _77719_/CLK _53931_/Y VGND VGND VPWR VPWR _77153_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_245_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43545_ _43562_/A VGND VGND VPWR VPWR _43552_/B sky130_fd_sc_hd__buf_1
+XFILLER_207_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74365_ _74363_/X _74364_/Y VGND VGND VPWR VPWR _80952_/D sky130_fd_sc_hd__nand2_2
+XFILLER_376_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40757_ _40755_/X _40756_/Y VGND VGND VPWR VPWR _79791_/D sky130_fd_sc_hd__nand2_2
+XPHY_27664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59299_ _59299_/A _63892_/B VGND VGND VPWR VPWR _59300_/C sky130_fd_sc_hd__nor2_2
+XFILLER_31_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71577_ _71839_/A _70468_/X VGND VGND VPWR VPWR _71578_/B sky130_fd_sc_hd__nor2_2
+XFILLER_106_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_305_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76104_ _76124_/A _76118_/B _80520_/Q VGND VGND VPWR VPWR _76105_/B sky130_fd_sc_hd__nand3_2
+XFILLER_160_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49052_ _63683_/A _49052_/B VGND VGND VPWR VPWR _49052_/Y sky130_fd_sc_hd__nand2_2
+X_61330_ _61330_/A _61483_/B VGND VGND VPWR VPWR _61330_/Y sky130_fd_sc_hd__nor2_2
+XPHY_26952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73316_ _73305_/X _73306_/X _67417_/A VGND VGND VPWR VPWR _73319_/A sky130_fd_sc_hd__nand3_2
+XPHY_27697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_403_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46264_ _46259_/A _46260_/Y _46264_/C VGND VGND VPWR VPWR _79028_/D sky130_fd_sc_hd__nor3_2
+X_70528_ _70528_/A _70503_/B VGND VGND VPWR VPWR _70530_/B sky130_fd_sc_hd__nor2_2
+XFILLER_526_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77084_ _77093_/CLK _77084_/D VGND VGND VPWR VPWR _77084_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_176_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43476_ _43476_/A _43476_/B _56482_/A VGND VGND VPWR VPWR _43476_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_34_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74296_ _74285_/A _74285_/B _80970_/Q VGND VGND VPWR VPWR _74297_/B sky130_fd_sc_hd__nand3_2
+XPHY_17185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40688_ _40670_/A VGND VGND VPWR VPWR _40693_/A sky130_fd_sc_hd__buf_1
+XFILLER_674_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_160_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_501_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48003_ _48000_/Y _48002_/X VGND VGND VPWR VPWR _48003_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_519_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_392_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_305_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45215_ _45323_/A _45214_/Y VGND VGND VPWR VPWR _45215_/Y sky130_fd_sc_hd__nor2_2
+X_76035_ _75998_/A VGND VGND VPWR VPWR _76036_/B sky130_fd_sc_hd__buf_1
+XFILLER_553_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42427_ _42427_/A _42426_/Y _46033_/A VGND VGND VPWR VPWR _42428_/D sky130_fd_sc_hd__or3_2
+XFILLER_157_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61261_ _77586_/Q _60781_/B VGND VGND VPWR VPWR _61263_/B sky130_fd_sc_hd__nor2_2
+X_73247_ _75049_/A _73258_/B VGND VGND VPWR VPWR _73247_/X sky130_fd_sc_hd__or2_2
+XFILLER_379_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_557_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46195_ _46195_/A _46085_/X _46195_/C VGND VGND VPWR VPWR _46195_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_537_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70459_ _70459_/A VGND VGND VPWR VPWR _70460_/D sky130_fd_sc_hd__buf_1
+XPHY_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_15_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_723_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63000_ _62533_/A _62998_/Y _62999_/Y VGND VGND VPWR VPWR _63000_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_651_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60212_ _77155_/Q _60044_/X VGND VGND VPWR VPWR _60213_/C sky130_fd_sc_hd__nor2_2
+XFILLER_201_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45146_ _45207_/A _45146_/B VGND VGND VPWR VPWR _45146_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_6_0_u_core.clock clkbuf_6_6_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_6_6_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_15783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42358_ _42335_/A _42354_/Y _42358_/C VGND VGND VPWR VPWR _42359_/B sky130_fd_sc_hd__nor3_2
+XFILLER_518_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61192_ _60884_/A _61192_/B _61191_/Y VGND VGND VPWR VPWR _61192_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_679_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73178_ _74996_/A _73191_/B VGND VGND VPWR VPWR _73178_/X sky130_fd_sc_hd__or2_2
+XPHY_33151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_616_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_239_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_471_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_119_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_275_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41309_ _41307_/X _41308_/Y VGND VGND VPWR VPWR _41309_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_670_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60143_ _76859_/Q _60143_/B VGND VGND VPWR VPWR _60144_/C sky130_fd_sc_hd__nor2_2
+XFILLER_334_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72129_ _76821_/Q VGND VGND VPWR VPWR _72130_/A sky130_fd_sc_hd__inv_8
+X_49954_ _49975_/B VGND VGND VPWR VPWR _49967_/B sky130_fd_sc_hd__buf_1
+X_45077_ _45077_/A VGND VGND VPWR VPWR _45077_/Y sky130_fd_sc_hd__inv_8
+XFILLER_172_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42289_ _41897_/A VGND VGND VPWR VPWR _42289_/X sky130_fd_sc_hd__buf_1
+XFILLER_109_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1620 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_667_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77986_ _78494_/CLK _77986_/D VGND VGND VPWR VPWR _62458_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_312_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_45_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44028_ _79246_/Q VGND VGND VPWR VPWR _65556_/A sky130_fd_sc_hd__inv_8
+X_48905_ _63390_/A _48891_/B VGND VGND VPWR VPWR _48907_/A sky130_fd_sc_hd__nand2_2
+XFILLER_688_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79725_ _79757_/CLK _41011_/Y VGND VGND VPWR VPWR _58775_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_67_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60074_ _60390_/A _60074_/B _60074_/C VGND VGND VPWR VPWR _60075_/C sky130_fd_sc_hd__nor3_2
+XFILLER_373_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64951_ _64951_/A _64276_/B VGND VGND VPWR VPWR _64951_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_648_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76937_ _76939_/CLK _76937_/D VGND VGND VPWR VPWR _76937_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_256_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_171_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49885_ _49910_/B VGND VGND VPWR VPWR _49885_/X sky130_fd_sc_hd__buf_1
+XFILLER_360_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_41_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_512_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_488_3076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_313_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_48_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_26_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63902_ _78779_/Q _64033_/B VGND VGND VPWR VPWR _63902_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_286_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_668_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48836_ _48836_/A _48835_/X VGND VGND VPWR VPWR _48836_/Y sky130_fd_sc_hd__nand2_2
+X_67670_ _80290_/Q _67838_/B VGND VGND VPWR VPWR _67672_/B sky130_fd_sc_hd__nor2_2
+XFILLER_449_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79656_ _79626_/CLK _79656_/D VGND VGND VPWR VPWR _58412_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_31_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64882_ _64475_/X _64880_/Y _64882_/C VGND VGND VPWR VPWR _64882_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_566_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76868_ _77082_/CLK _76868_/D VGND VGND VPWR VPWR _54993_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_312_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_636_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_312_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66621_ _66612_/X _66621_/B _66620_/Y VGND VGND VPWR VPWR _66621_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_112_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78607_ _78606_/CLK _78607_/D VGND VGND VPWR VPWR _63294_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_449_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75819_ _75940_/A _75819_/B VGND VGND VPWR VPWR _75819_/X sky130_fd_sc_hd__or2_2
+X_63833_ _59183_/A _63825_/Y _63833_/C VGND VGND VPWR VPWR _63834_/B sky130_fd_sc_hd__nor3_2
+XFILLER_61_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48767_ _48767_/A VGND VGND VPWR VPWR _48768_/B sky130_fd_sc_hd__buf_1
+XFILLER_722_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79587_ _79653_/CLK _41560_/Y VGND VGND VPWR VPWR _79587_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_41_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45979_ _45969_/A _45977_/Y _45979_/C VGND VGND VPWR VPWR _79053_/D sky130_fd_sc_hd__nor3_2
+XFILLER_117_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_617_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_709_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76799_ _76798_/CLK _55593_/Y VGND VGND VPWR VPWR _76799_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_20591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_525_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38520_ _74723_/A VGND VGND VPWR VPWR _38666_/A sky130_fd_sc_hd__buf_1
+XFILLER_522_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69340_ _69340_/A _64211_/B VGND VGND VPWR VPWR _69340_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_187_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47718_ _47718_/A _47717_/X VGND VGND VPWR VPWR _47718_/Y sky130_fd_sc_hd__nand2_2
+X_66552_ _67217_/A _66552_/B _66551_/Y VGND VGND VPWR VPWR _66552_/Y sky130_fd_sc_hd__nor3_2
+XPHY_10090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78538_ _78517_/CLK _48658_/Y VGND VGND VPWR VPWR _63713_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_462_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63764_ _63764_/A _64026_/B VGND VGND VPWR VPWR _63764_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_22_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_66_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_529_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60976_ _60811_/A _60973_/Y _60976_/C VGND VGND VPWR VPWR _60977_/C sky130_fd_sc_hd__nor3_2
+X_48698_ _48727_/A _48686_/B VGND VGND VPWR VPWR _48698_/X sky130_fd_sc_hd__or2_2
+XFILLER_632_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_624_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_267_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65503_ _65503_/A _65503_/B _65502_/Y VGND VGND VPWR VPWR _65504_/C sky130_fd_sc_hd__nor3_2
+XFILLER_39_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_616_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38451_ _76330_/A _38451_/B VGND VGND VPWR VPWR _38451_/X sky130_fd_sc_hd__or2_2
+X_62715_ _62251_/A _62715_/B _62714_/Y VGND VGND VPWR VPWR _62716_/C sky130_fd_sc_hd__nor3_2
+XFILLER_598_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69271_ _69271_/A _68666_/X VGND VGND VPWR VPWR _69271_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_482_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66483_ _57361_/A _66639_/B VGND VGND VPWR VPWR _66483_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_349_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47649_ _63360_/A _47655_/B VGND VGND VPWR VPWR _47651_/A sky130_fd_sc_hd__nand2_2
+X_78469_ _78474_/CLK _48916_/Y VGND VGND VPWR VPWR _62922_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_253_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_93_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_722_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_425_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63695_ _78762_/Q _59104_/B VGND VGND VPWR VPWR _63697_/B sky130_fd_sc_hd__nor2_2
+XFILLER_607_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_349_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_147_0_u_core.clock clkbuf_7_73_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_295_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_507_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_640_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_623_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_620_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_0_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80500_ _80518_/CLK _76200_/Y VGND VGND VPWR VPWR _80500_/Q sky130_fd_sc_hd__dfxtp_4
+X_68222_ _68724_/A _68222_/B _68221_/Y VGND VGND VPWR VPWR _68226_/B sky130_fd_sc_hd__nor3_2
+XFILLER_470_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65434_ _80917_/Q _65434_/B VGND VGND VPWR VPWR _65434_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_78_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_2993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_425_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38382_ _38392_/A _38385_/B _38382_/C VGND VGND VPWR VPWR _38382_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_399_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50660_ _61853_/A _50663_/B VGND VGND VPWR VPWR _50662_/A sky130_fd_sc_hd__nand2_2
+XFILLER_620_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62646_ _61980_/X _62645_/Y VGND VGND VPWR VPWR _62685_/B sky130_fd_sc_hd__nor2_2
+XFILLER_282_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_111_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_542_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_81480_ _81468_/CLK _81480_/D VGND VGND VPWR VPWR _68614_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_165_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_345_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_323_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_95_0_u_core.clock clkbuf_8_94_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_95_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_404_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_323_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_59_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_612_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49319_ _51145_/A VGND VGND VPWR VPWR _49431_/A sky130_fd_sc_hd__buf_1
+X_80431_ _80370_/CLK _80431_/D VGND VGND VPWR VPWR _38222_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_401_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_380_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68153_ _67823_/X _68151_/Y _68152_/Y VGND VGND VPWR VPWR _68153_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_640_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_62_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_679_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65365_ _65364_/X VGND VGND VPWR VPWR _66028_/B sky130_fd_sc_hd__buf_1
+XFILLER_94_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50591_ _50591_/A _50584_/B VGND VGND VPWR VPWR _50594_/A sky130_fd_sc_hd__nand2_2
+XFILLER_584_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62577_ _49654_/A _62577_/B VGND VGND VPWR VPWR _62580_/B sky130_fd_sc_hd__nor2_2
+XFILLER_678_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_22_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_323_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67104_ _72407_/C _66769_/B VGND VGND VPWR VPWR _67105_/C sky130_fd_sc_hd__nor2_2
+XFILLER_221_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52330_ _52330_/A _52338_/B VGND VGND VPWR VPWR _52330_/X sky130_fd_sc_hd__or2_2
+X_64316_ _69262_/A _64316_/B _64316_/C VGND VGND VPWR VPWR _64317_/C sky130_fd_sc_hd__nor3_2
+XFILLER_421_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61528_ _77676_/Q _61066_/B VGND VGND VPWR VPWR _61529_/C sky130_fd_sc_hd__nor2_2
+XFILLER_181_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80362_ _80346_/CLK _38490_/Y VGND VGND VPWR VPWR _68877_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_11_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68084_ _65412_/A VGND VGND VPWR VPWR _68406_/B sky130_fd_sc_hd__buf_1
+XFILLER_601_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_202_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_410_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65296_ _65296_/A _64944_/B VGND VGND VPWR VPWR _65296_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_21_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_355_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_102_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_397_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39003_ _38492_/A _38995_/X VGND VGND VPWR VPWR _39003_/X sky130_fd_sc_hd__or2_2
+XFILLER_301_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_705_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67035_ _64117_/A VGND VGND VPWR VPWR _67434_/A sky130_fd_sc_hd__buf_1
+XFILLER_166_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52261_ _52167_/A _52267_/B VGND VGND VPWR VPWR _52262_/B sky130_fd_sc_hd__or2_2
+X_64247_ _64247_/A VGND VGND VPWR VPWR _64248_/A sky130_fd_sc_hd__buf_1
+XFILLER_382_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61459_ _59234_/X VGND VGND VPWR VPWR _61460_/B sky130_fd_sc_hd__buf_1
+XFILLER_339_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80293_ _80354_/CLK _38781_/Y VGND VGND VPWR VPWR _80293_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_525_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54000_ _53998_/Y _53999_/X VGND VGND VPWR VPWR _77134_/D sky130_fd_sc_hd__nand2_2
+XFILLER_108_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_85_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51212_ _51210_/Y _51212_/B VGND VGND VPWR VPWR _77865_/D sky130_fd_sc_hd__nand2_2
+XFILLER_308_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_33_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_547_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_147_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64178_ _80367_/Q _64178_/B VGND VGND VPWR VPWR _64179_/C sky130_fd_sc_hd__nor2_2
+X_52192_ _52192_/A _52151_/A VGND VGND VPWR VPWR _52192_/X sky130_fd_sc_hd__or2_2
+XFILLER_107_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_714_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_119_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_699_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_419_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_686_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51143_ _62874_/A _51157_/B VGND VGND VPWR VPWR _51143_/Y sky130_fd_sc_hd__nand2_2
+X_63129_ _63129_/A _62983_/B VGND VGND VPWR VPWR _63129_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_137_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_116_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68986_ _68321_/X _68986_/B _68985_/Y VGND VGND VPWR VPWR _68987_/C sky130_fd_sc_hd__nor3_2
+XFILLER_305_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_390_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_352_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_289_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_235_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_540_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39905_ _39905_/A _39904_/Y VGND VGND VPWR VPWR _80011_/D sky130_fd_sc_hd__nand2_2
+XFILLER_67_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55951_ _42063_/C _55951_/B VGND VGND VPWR VPWR _55951_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_192_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51074_ _51015_/A _51080_/B VGND VGND VPWR VPWR _51075_/B sky130_fd_sc_hd__or2_2
+XFILLER_710_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67937_ _67937_/A _67936_/Y VGND VGND VPWR VPWR _67937_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_150_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_391_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_685_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_288_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_66_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50025_ _49997_/A _50028_/B VGND VGND VPWR VPWR _50025_/X sky130_fd_sc_hd__or2_2
+X_54902_ _54958_/A _54893_/B VGND VGND VPWR VPWR _54902_/X sky130_fd_sc_hd__or2_2
+XFILLER_682_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_192_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39836_ _39202_/A VGND VGND VPWR VPWR _39970_/A sky130_fd_sc_hd__buf_1
+XFILLER_28_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58670_ _58737_/A _58670_/B _58669_/Y VGND VGND VPWR VPWR _58670_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_252_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67868_ _67044_/A VGND VGND VPWR VPWR _67871_/A sky130_fd_sc_hd__buf_1
+XFILLER_44_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55882_ _55880_/A _55882_/B VGND VGND VPWR VPWR _76723_/D sky130_fd_sc_hd__nor2_2
+XFILLER_569_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_75_0_u_core.clock clkbuf_9_75_0_u_core.clock/A VGND VGND VPWR VPWR _77872_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_666_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_493_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_465_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_419_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69607_ _69589_/Y _69607_/B VGND VGND VPWR VPWR _69608_/B sky130_fd_sc_hd__nand2_2
+X_57621_ _57936_/A _57621_/B _57621_/C VGND VGND VPWR VPWR _57622_/C sky130_fd_sc_hd__nor3_2
+XFILLER_660_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54833_ _54949_/A _54841_/B VGND VGND VPWR VPWR _54834_/B sky130_fd_sc_hd__or2_2
+XFILLER_467_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66819_ _66797_/Y _66819_/B VGND VGND VPWR VPWR _66819_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_667_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39767_ _39132_/A VGND VGND VPWR VPWR _40155_/A sky130_fd_sc_hd__buf_1
+XPHY_7049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67799_ _57995_/A _67304_/X VGND VGND VPWR VPWR _67799_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_437_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_607_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38718_ _38682_/A VGND VGND VPWR VPWR _38718_/X sky130_fd_sc_hd__buf_1
+XFILLER_508_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_508_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57552_ _58537_/A VGND VGND VPWR VPWR _57634_/B sky130_fd_sc_hd__buf_1
+X_69538_ _58802_/A _64799_/B VGND VGND VPWR VPWR _69538_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54764_ _76931_/Q _54771_/B VGND VGND VPWR VPWR _54766_/A sky130_fd_sc_hd__nand2_2
+XFILLER_287_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51976_ _51976_/A VGND VGND VPWR VPWR _51977_/B sky130_fd_sc_hd__buf_1
+XPHY_5614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39698_ _39208_/A _39688_/B VGND VGND VPWR VPWR _39700_/A sky130_fd_sc_hd__or2_2
+XPHY_6359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56503_ _56833_/A _56503_/B _56503_/C VGND VGND VPWR VPWR _56504_/C sky130_fd_sc_hd__nor3_2
+XPHY_5636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_22_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53715_ _60010_/A _53719_/B VGND VGND VPWR VPWR _53715_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_480_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_244_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38649_ _38656_/A _38660_/B _38649_/C VGND VGND VPWR VPWR _38649_/Y sky130_fd_sc_hd__nand3_2
+X_50927_ _61994_/A _50933_/B VGND VGND VPWR VPWR _50927_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_2_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57483_ _58281_/A VGND VGND VPWR VPWR _57807_/A sky130_fd_sc_hd__buf_1
+X_69469_ _69469_/A _69329_/B VGND VGND VPWR VPWR _69470_/C sky130_fd_sc_hd__nor2_2
+XFILLER_328_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54695_ _54695_/A VGND VGND VPWR VPWR _54810_/A sky130_fd_sc_hd__buf_1
+XFILLER_260_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_166_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71500_ _71500_/A _71466_/X _71500_/C VGND VGND VPWR VPWR _71500_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_610_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59222_ _59753_/A _59222_/B _59221_/Y VGND VGND VPWR VPWR _59222_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_71_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_301_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56434_ _56434_/A _56429_/Y _56433_/Y VGND VGND VPWR VPWR _56434_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_72_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41660_ _41660_/A _41660_/B VGND VGND VPWR VPWR _41914_/A sky130_fd_sc_hd__or2_2
+XFILLER_224_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_595_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53646_ _53646_/A _53642_/B VGND VGND VPWR VPWR _53649_/A sky130_fd_sc_hd__nand2_2
+XPHY_37439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72480_ _72467_/A VGND VGND VPWR VPWR _72555_/A sky130_fd_sc_hd__buf_1
+XFILLER_309_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50858_ _50919_/A _50857_/X VGND VGND VPWR VPWR _50858_/X sky130_fd_sc_hd__or2_2
+XFILLER_508_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_521_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_53_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40611_ _40611_/A _40615_/B VGND VGND VPWR VPWR _40611_/X sky130_fd_sc_hd__or2_2
+XPHY_26204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_341_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_328_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59153_ _59722_/A _59149_/Y _59153_/C VGND VGND VPWR VPWR _59153_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_90_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71431_ _71431_/A VGND VGND VPWR VPWR _74049_/A sky130_fd_sc_hd__inv_8
+XFILLER_658_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_461_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_183_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56365_ _58281_/A VGND VGND VPWR VPWR _57191_/A sky130_fd_sc_hd__buf_1
+XFILLER_322_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80629_ _80630_/CLK _75685_/Y VGND VGND VPWR VPWR _80629_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_26215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53577_ _53521_/A _53571_/B VGND VGND VPWR VPWR _53578_/B sky130_fd_sc_hd__or2_2
+X_41591_ _41536_/X VGND VGND VPWR VPWR _41606_/B sky130_fd_sc_hd__buf_1
+XFILLER_709_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_404_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_478_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50789_ _62768_/A _50789_/B VGND VGND VPWR VPWR _50789_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_207_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58104_ _58104_/A _58103_/Y VGND VGND VPWR VPWR _58104_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_593_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43330_ _43328_/X _43329_/Y VGND VGND VPWR VPWR _79378_/D sky130_fd_sc_hd__nand2_2
+X_55316_ _55356_/A _55315_/Y VGND VGND VPWR VPWR _55316_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_521_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74150_ _72116_/A VGND VGND VPWR VPWR _76217_/A sky130_fd_sc_hd__buf_1
+XFILLER_224_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_55_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40542_ _40519_/X VGND VGND VPWR VPWR _40543_/A sky130_fd_sc_hd__buf_1
+XPHY_25514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_322_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52528_ _52556_/A _52546_/B VGND VGND VPWR VPWR _52529_/B sky130_fd_sc_hd__or2_2
+XFILLER_693_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59084_ _59033_/Y _59083_/Y VGND VGND VPWR VPWR _59084_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_263_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71362_ _71757_/A _71315_/X _71362_/C VGND VGND VPWR VPWR _71362_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_675_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_537_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56296_ _56767_/A VGND VGND VPWR VPWR _56688_/A sky130_fd_sc_hd__buf_1
+XFILLER_126_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73101_ _73099_/Y _73100_/X VGND VGND VPWR VPWR _81264_/D sky130_fd_sc_hd__nand2_2
+XFILLER_658_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58035_ _57872_/A _58035_/B _58035_/C VGND VGND VPWR VPWR _58047_/A sky130_fd_sc_hd__nor3_2
+XFILLER_16_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70313_ _70986_/A _70312_/Y VGND VGND VPWR VPWR _70313_/Y sky130_fd_sc_hd__nor2_2
+XPHY_24813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43261_ _71187_/B _43258_/B VGND VGND VPWR VPWR _43264_/A sky130_fd_sc_hd__or2_2
+XFILLER_8_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55247_ _55594_/A VGND VGND VPWR VPWR _55248_/B sky130_fd_sc_hd__buf_1
+X_74081_ _74610_/A _74061_/B VGND VGND VPWR VPWR _74083_/A sky130_fd_sc_hd__or2_2
+XPHY_15035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40473_ _40473_/A _40473_/B VGND VGND VPWR VPWR _79865_/D sky130_fd_sc_hd__nand2_2
+XFILLER_107_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52459_ _61555_/A _52458_/X VGND VGND VPWR VPWR _52463_/A sky130_fd_sc_hd__nand2_2
+XFILLER_516_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71293_ _71254_/X _71276_/Y _71279_/Y _71292_/X VGND VGND VPWR VPWR _42559_/B sky130_fd_sc_hd__o22a_4
+XFILLER_202_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2230 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_300_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_166_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_355_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45000_ _44998_/X _44999_/X VGND VGND VPWR VPWR _45014_/A sky130_fd_sc_hd__nand2_2
+XFILLER_590_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_474_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_630_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42212_ _45114_/A _42194_/X VGND VGND VPWR VPWR _42220_/B sky130_fd_sc_hd__nor2_2
+XPHY_14323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73032_ _73024_/X _73028_/X _67759_/A VGND VGND VPWR VPWR _73034_/A sky130_fd_sc_hd__nand3_2
+XFILLER_257_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_176_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70244_ _70219_/X _70244_/B VGND VGND VPWR VPWR _70244_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_315_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43192_ _42857_/A VGND VGND VPWR VPWR _46447_/A sky130_fd_sc_hd__inv_8
+XFILLER_652_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55178_ _55178_/A _55177_/X VGND VGND VPWR VPWR _55179_/C sky130_fd_sc_hd__nor2_2
+XPHY_13600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_472_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_88_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_437_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_299_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_275_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42143_ _42143_/A _42139_/B VGND VGND VPWR VPWR _42143_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54129_ _54129_/A _54129_/B VGND VGND VPWR VPWR _77102_/D sky130_fd_sc_hd__nand2_2
+XPHY_31001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77840_ _77840_/CLK _77840_/D VGND VGND VPWR VPWR _61026_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_4_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70175_ _70156_/A _70023_/X _70131_/Y VGND VGND VPWR VPWR _70175_/X sky130_fd_sc_hd__a21o_4
+XPHY_14389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59986_ _59045_/A VGND VGND VPWR VPWR _59987_/B sky130_fd_sc_hd__buf_1
+XFILLER_159_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_31023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_355_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46951_ _46919_/A _46951_/B VGND VGND VPWR VPWR _78928_/D sky130_fd_sc_hd__nor2_2
+X_42074_ _42074_/A _42074_/B _42082_/C VGND VGND VPWR VPWR _79532_/D sky130_fd_sc_hd__nor3_2
+XPHY_12943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58937_ _59715_/A VGND VGND VPWR VPWR _59796_/A sky130_fd_sc_hd__buf_1
+XFILLER_317_2982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_687_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77771_ _77814_/CLK _77771_/D VGND VGND VPWR VPWR _77771_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_153_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74983_ _75019_/A VGND VGND VPWR VPWR _74988_/B sky130_fd_sc_hd__buf_1
+XFILLER_326_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_530_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_587_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_31078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_390_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79510_ _79506_/CLK _79510_/D VGND VGND VPWR VPWR _71046_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_468_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45902_ _46043_/A _45902_/B VGND VGND VPWR VPWR _79075_/D sky130_fd_sc_hd__nor2_2
+XFILLER_669_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41025_ _41023_/X _41025_/B VGND VGND VPWR VPWR _41025_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_69_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_267_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_114_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76722_ _76677_/CLK _69561_/Y VGND VGND VPWR VPWR _42819_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_611_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73934_ _73986_/A VGND VGND VPWR VPWR _73949_/A sky130_fd_sc_hd__buf_1
+X_49670_ _49670_/A _49670_/B VGND VGND VPWR VPWR _49670_/Y sky130_fd_sc_hd__nand2_2
+XPHY_30355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46882_ _46882_/A _46881_/X VGND VGND VPWR VPWR _46882_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58868_ _78981_/Q _58868_/B VGND VGND VPWR VPWR _58868_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_84_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48621_ _48931_/A VGND VGND VPWR VPWR _48715_/A sky130_fd_sc_hd__buf_1
+XPHY_8262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79441_ _79435_/CLK _42894_/Y VGND VGND VPWR VPWR _58692_/A sky130_fd_sc_hd__dfxtp_4
+X_45833_ _45806_/X _45833_/B _45833_/C VGND VGND VPWR VPWR _45833_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_682_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57819_ _57582_/A _57799_/Y _57819_/C VGND VGND VPWR VPWR _57819_/X sky130_fd_sc_hd__or3_2
+XFILLER_310_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_122_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76653_ _79384_/CLK _76653_/D VGND VGND VPWR VPWR _76653_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_472_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73865_ _73744_/A _73865_/B VGND VGND VPWR VPWR _73865_/X sky130_fd_sc_hd__or2_2
+XFILLER_368_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_94_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58799_ _58799_/A _58665_/B VGND VGND VPWR VPWR _58800_/C sky130_fd_sc_hd__nor2_2
+XFILLER_188_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_23_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_681_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75604_ _75508_/A VGND VGND VPWR VPWR _75620_/A sky130_fd_sc_hd__buf_1
+XFILLER_409_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_663_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60830_ _77623_/Q _60830_/B VGND VGND VPWR VPWR _60831_/C sky130_fd_sc_hd__nor2_2
+XFILLER_425_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48552_ _48456_/X _48552_/B VGND VGND VPWR VPWR _48552_/X sky130_fd_sc_hd__or2_2
+X_72816_ _72814_/X _72815_/Y VGND VGND VPWR VPWR _81331_/D sky130_fd_sc_hd__nand2_2
+XFILLER_1_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79372_ _79395_/CLK _79372_/D VGND VGND VPWR VPWR _56647_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_282_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45764_ _70785_/X VGND VGND VPWR VPWR _45764_/Y sky130_fd_sc_hd__inv_8
+XFILLER_286_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76584_ VGND VGND VPWR VPWR _76584_/HI la_data_out[80] sky130_fd_sc_hd__conb_1
+XPHY_7583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_726_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42976_ _42974_/X _42976_/B VGND VGND VPWR VPWR _42976_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_75_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73796_ _73796_/A _73782_/B VGND VGND VPWR VPWR _73798_/A sky130_fd_sc_hd__or2_2
+XFILLER_381_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_385_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_3_0_u_core.clock clkbuf_9_3_0_u_core.clock/A VGND VGND VPWR VPWR _78530_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_698_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_699_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_672_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47503_ _47608_/A _47498_/B VGND VGND VPWR VPWR _47504_/B sky130_fd_sc_hd__or2_2
+XFILLER_409_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78323_ _78317_/CLK _78323_/D VGND VGND VPWR VPWR _78323_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_39353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44715_ _44715_/A _44714_/X VGND VGND VPWR VPWR _44715_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_283_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75535_ _75535_/A _75534_/Y VGND VGND VPWR VPWR _80665_/D sky130_fd_sc_hd__nand2_2
+XFILLER_424_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_723_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_40_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41927_ _79107_/Q VGND VGND VPWR VPWR _41927_/Y sky130_fd_sc_hd__inv_8
+XFILLER_208_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60761_ _60761_/A _60761_/B VGND VGND VPWR VPWR _60762_/B sky130_fd_sc_hd__nor2_2
+XPHY_6882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48483_ _48602_/A _48466_/X VGND VGND VPWR VPWR _48483_/X sky130_fd_sc_hd__or2_2
+X_72747_ _72211_/A _72744_/B VGND VGND VPWR VPWR _72749_/A sky130_fd_sc_hd__or2_2
+XFILLER_169_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45695_ _45675_/X VGND VGND VPWR VPWR _45695_/X sky130_fd_sc_hd__buf_1
+XPHY_38630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_349_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_440_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62500_ _62179_/X _62494_/Y _62499_/Y VGND VGND VPWR VPWR _62500_/Y sky130_fd_sc_hd__nor3_2
+XPHY_39397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47434_ _47434_/A _47433_/X VGND VGND VPWR VPWR _78843_/D sky130_fd_sc_hd__nand2_2
+XFILLER_75_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78254_ _77836_/CLK _49759_/Y VGND VGND VPWR VPWR _78254_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_613_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44646_ _44746_/A VGND VGND VPWR VPWR _44684_/A sky130_fd_sc_hd__inv_8
+XFILLER_676_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63480_ _78696_/Q _63325_/B VGND VGND VPWR VPWR _63481_/C sky130_fd_sc_hd__nor2_2
+XFILLER_524_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_250_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_700_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75466_ _74709_/A VGND VGND VPWR VPWR _75468_/A sky130_fd_sc_hd__buf_1
+XFILLER_73_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41858_ _41856_/Y _41731_/A _41857_/Y _41733_/A VGND VGND VPWR VPWR _41858_/X sky130_fd_sc_hd__o22a_4
+XPHY_38674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60692_ _60692_/A _60692_/B VGND VGND VPWR VPWR _60693_/B sky130_fd_sc_hd__nor2_2
+XFILLER_327_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72678_ _72678_/A VGND VGND VPWR VPWR _72682_/A sky130_fd_sc_hd__buf_1
+XFILLER_396_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_165_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_162_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_381_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77205_ _77203_/CLK _77205_/D VGND VGND VPWR VPWR _53731_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62431_ _61956_/X _62429_/Y _62431_/C VGND VGND VPWR VPWR _62431_/Y sky130_fd_sc_hd__nor3_2
+XPHY_37962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74417_ _69048_/A VGND VGND VPWR VPWR _74418_/A sky130_fd_sc_hd__inv_8
+XFILLER_305_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_62_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40809_ _40809_/A _40809_/B VGND VGND VPWR VPWR _40809_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_91_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_676_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71629_ _71081_/X _71628_/X VGND VGND VPWR VPWR _71630_/B sky130_fd_sc_hd__nor2_2
+X_47365_ _78859_/Q _47365_/B VGND VGND VPWR VPWR _47367_/A sky130_fd_sc_hd__nand2_2
+X_78185_ _78186_/CLK _78185_/D VGND VGND VPWR VPWR _78185_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_422_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44577_ _72940_/A _44570_/B VGND VGND VPWR VPWR _44578_/C sky130_fd_sc_hd__nor2_2
+XFILLER_225_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75397_ _75394_/A _75382_/B _65562_/A VGND VGND VPWR VPWR _75398_/B sky130_fd_sc_hd__nand3_2
+XPHY_28195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_577_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41789_ _45702_/A VGND VGND VPWR VPWR _41789_/Y sky130_fd_sc_hd__inv_8
+XPHY_37984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_559_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49104_ _49104_/A _49103_/X VGND VGND VPWR VPWR _78422_/D sky130_fd_sc_hd__nand2_2
+XFILLER_203_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46316_ _45114_/A _46316_/B VGND VGND VPWR VPWR _46354_/A sky130_fd_sc_hd__nor2_2
+XFILLER_189_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77136_ _77128_/CLK _77136_/D VGND VGND VPWR VPWR _59718_/A sky130_fd_sc_hd__dfxtp_4
+X_65150_ _65150_/A _64806_/B VGND VGND VPWR VPWR _65150_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_305_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_378_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_108_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43528_ _45789_/A _43527_/X VGND VGND VPWR VPWR _43538_/B sky130_fd_sc_hd__or2_2
+X_62362_ _62997_/A _62362_/B _62361_/Y VGND VGND VPWR VPWR _62362_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_204_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74348_ _74291_/A VGND VGND VPWR VPWR _74348_/X sky130_fd_sc_hd__buf_1
+XFILLER_340_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_637_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47296_ _49071_/A VGND VGND VPWR VPWR _49226_/A sky130_fd_sc_hd__buf_1
+XFILLER_619_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64101_ _69428_/A _64246_/C VGND VGND VPWR VPWR _64102_/A sky130_fd_sc_hd__or2_2
+XFILLER_160_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61313_ _61782_/A _61311_/Y _61312_/Y VGND VGND VPWR VPWR _61313_/Y sky130_fd_sc_hd__nor3_2
+X_49035_ _49035_/A _49034_/X VGND VGND VPWR VPWR _78439_/D sky130_fd_sc_hd__nand2_2
+XFILLER_674_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_258_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_73_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_160_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_301_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46247_ _46245_/Y _46247_/B _46242_/C VGND VGND VPWR VPWR _46248_/C sky130_fd_sc_hd__nor3_2
+X_65081_ _65081_/A _65081_/B _65081_/C VGND VGND VPWR VPWR _65085_/B sky130_fd_sc_hd__nor3_2
+XFILLER_477_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77067_ _77065_/CLK _54263_/Y VGND VGND VPWR VPWR _60170_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_318_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43459_ _43385_/X VGND VGND VPWR VPWR _43473_/B sky130_fd_sc_hd__buf_1
+XFILLER_54_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62293_ _62293_/A _62140_/B VGND VGND VPWR VPWR _62295_/B sky130_fd_sc_hd__nor2_2
+XPHY_16270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74279_ _74242_/X VGND VGND VPWR VPWR _74285_/A sky130_fd_sc_hd__buf_1
+XFILLER_438_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_335_0_u_core.clock clkbuf_9_335_0_u_core.clock/A VGND VGND VPWR VPWR _77628_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_203_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_242_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_670_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64032_ _64032_/A _63901_/B VGND VGND VPWR VPWR _64032_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_102_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76018_ _75898_/A _76018_/B VGND VGND VPWR VPWR _76018_/X sky130_fd_sc_hd__or2_2
+XFILLER_572_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61244_ _77362_/Q _61086_/B VGND VGND VPWR VPWR _61245_/C sky130_fd_sc_hd__nor2_2
+XFILLER_129_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_553_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_200_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46178_ _43190_/Y _43195_/A VGND VGND VPWR VPWR _46178_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_103_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_451_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_144_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45129_ _42137_/A _45069_/B VGND VGND VPWR VPWR _45156_/B sky130_fd_sc_hd__nor2_2
+X_68840_ _68632_/X _68840_/B VGND VGND VPWR VPWR _68841_/C sky130_fd_sc_hd__nor2_2
+XFILLER_338_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61175_ _59230_/A VGND VGND VPWR VPWR _61180_/A sky130_fd_sc_hd__buf_1
+XFILLER_7_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60126_ _59803_/A _60126_/B _60125_/Y VGND VGND VPWR VPWR _60136_/B sky130_fd_sc_hd__nor3_2
+XFILLER_331_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_361_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49937_ _49937_/A _49936_/X VGND VGND VPWR VPWR _78206_/D sky130_fd_sc_hd__nand2_2
+XFILLER_160_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68771_ _68771_/A _69355_/B VGND VGND VPWR VPWR _68771_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_392_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65983_ _81304_/Q _65983_/B VGND VGND VPWR VPWR _65984_/C sky130_fd_sc_hd__nor2_2
+XFILLER_320_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77969_ _78477_/CLK _50831_/Y VGND VGND VPWR VPWR _62294_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_158_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_373_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67722_ _80483_/Q _67224_/B VGND VGND VPWR VPWR _67722_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_710_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79708_ _79741_/CLK _79708_/D VGND VGND VPWR VPWR _66622_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_302_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_45_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64934_ _69411_/A _64928_/Y _64933_/Y VGND VGND VPWR VPWR _64935_/C sky130_fd_sc_hd__nor3_2
+X_60057_ _59110_/A VGND VGND VPWR VPWR _60058_/A sky130_fd_sc_hd__buf_1
+XFILLER_683_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49868_ _49865_/Y _49868_/B VGND VGND VPWR VPWR _78226_/D sky130_fd_sc_hd__nand2_2
+XFILLER_288_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80980_ _80929_/CLK _80980_/D VGND VGND VPWR VPWR _65269_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_488_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_648_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_447_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39621_ _39608_/A _39633_/B _58735_/A VGND VGND VPWR VPWR _39621_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_217_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48819_ _48819_/A _48804_/B VGND VGND VPWR VPWR _48821_/A sky130_fd_sc_hd__nand2_2
+X_67653_ _64362_/X VGND VGND VPWR VPWR _67653_/X sky130_fd_sc_hd__buf_1
+XFILLER_230_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79639_ _79670_/CLK _79639_/D VGND VGND VPWR VPWR _57057_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_646_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64865_ _75411_/C _65219_/B VGND VGND VPWR VPWR _64867_/B sky130_fd_sc_hd__nor2_2
+XFILLER_449_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_547_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49799_ _62691_/A _49812_/B VGND VGND VPWR VPWR _49802_/A sky130_fd_sc_hd__nand2_2
+XFILLER_80_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_488_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_234_1491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_663_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_252_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66604_ _66604_/A _66285_/B VGND VGND VPWR VPWR _66606_/B sky130_fd_sc_hd__nor2_2
+XFILLER_583_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_247_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51830_ _77707_/Q _51840_/B VGND VGND VPWR VPWR _51830_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_724_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39552_ _39175_/A _39558_/B VGND VGND VPWR VPWR _39557_/A sky130_fd_sc_hd__or2_2
+X_63816_ _59346_/A _63816_/B _63816_/C VGND VGND VPWR VPWR _63817_/C sky130_fd_sc_hd__nor3_2
+XFILLER_22_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_113_1131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_481_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_462_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_367_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67584_ _81250_/Q _67096_/X VGND VGND VPWR VPWR _67584_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_607_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64796_ _56543_/A _69466_/B VGND VGND VPWR VPWR _64796_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_3_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_462_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_434_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_23_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_54_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38503_ _38503_/A _38503_/B VGND VGND VPWR VPWR _38503_/X sky130_fd_sc_hd__or2_2
+XFILLER_6_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_208_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69323_ _69323_/A _69321_/Y _69323_/C VGND VGND VPWR VPWR _69323_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_484_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_58_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_471_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66535_ _66535_/A _66048_/B VGND VGND VPWR VPWR _66535_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_329_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_282_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51761_ _60658_/A _51761_/B VGND VGND VPWR VPWR _51763_/A sky130_fd_sc_hd__nand2_2
+X_39483_ _39483_/A _39483_/B VGND VGND VPWR VPWR _39483_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_149_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63747_ _63478_/A _63747_/B _63746_/Y VGND VGND VPWR VPWR _63747_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_54_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60959_ _60959_/A _60793_/B VGND VGND VPWR VPWR _60959_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_328_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53500_ _53497_/Y _53500_/B VGND VGND VPWR VPWR _77269_/D sky130_fd_sc_hd__nand2_2
+XFILLER_53_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38434_ _38314_/A _38434_/B VGND VGND VPWR VPWR _38434_/X sky130_fd_sc_hd__or2_2
+XFILLER_431_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50712_ _50861_/A VGND VGND VPWR VPWR _50830_/A sky130_fd_sc_hd__buf_1
+XFILLER_697_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69254_ _69254_/A _69252_/Y _69254_/C VGND VGND VPWR VPWR _69254_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_427_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_39_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54480_ _54509_/A _54477_/B VGND VGND VPWR VPWR _54480_/X sky130_fd_sc_hd__or2_2
+XFILLER_362_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66466_ _79739_/Q _65967_/B VGND VGND VPWR VPWR _66467_/C sky130_fd_sc_hd__nor2_2
+XFILLER_540_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_462_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51692_ _51663_/A _51685_/X VGND VGND VPWR VPWR _51693_/B sky130_fd_sc_hd__or2_2
+X_63678_ _63809_/A _63676_/Y _63678_/C VGND VGND VPWR VPWR _63678_/Y sky130_fd_sc_hd__nor3_2
+XPHY_3519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_702_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68205_ _68205_/A VGND VGND VPWR VPWR _68206_/B sky130_fd_sc_hd__buf_1
+XFILLER_538_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_521_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53431_ _53429_/Y _53430_/X VGND VGND VPWR VPWR _77286_/D sky130_fd_sc_hd__nand2_2
+X_65417_ _65911_/A _65415_/Y _65417_/C VGND VGND VPWR VPWR _65417_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_430_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38365_ _76362_/A _38373_/B VGND VGND VPWR VPWR _38367_/A sky130_fd_sc_hd__or2_2
+X_62629_ _62787_/A _62626_/Y _62629_/C VGND VGND VPWR VPWR _62636_/B sky130_fd_sc_hd__nor3_2
+XFILLER_323_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50643_ _50643_/A _50642_/X VGND VGND VPWR VPWR _50643_/Y sky130_fd_sc_hd__nand2_2
+X_81463_ _80891_/CLK _72292_/Y VGND VGND VPWR VPWR _81463_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_74_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69185_ _69323_/A _69183_/Y _69185_/C VGND VGND VPWR VPWR _69185_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_522_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_421_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66397_ _66716_/A _66397_/B _66396_/Y VGND VGND VPWR VPWR _66414_/A sky130_fd_sc_hd__nor3_2
+XFILLER_323_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_306_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_228_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80414_ _80414_/CLK _38291_/Y VGND VGND VPWR VPWR _80414_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_401_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_52_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56150_ _56144_/A _56150_/B VGND VGND VPWR VPWR _56151_/B sky130_fd_sc_hd__nor2_2
+XFILLER_719_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68136_ _67974_/A _68136_/B _68136_/C VGND VGND VPWR VPWR _68137_/C sky130_fd_sc_hd__nor3_2
+XFILLER_440_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53362_ _53448_/A _53371_/B VGND VGND VPWR VPWR _53362_/X sky130_fd_sc_hd__or2_2
+X_65348_ _65348_/A _65523_/B VGND VGND VPWR VPWR _65349_/C sky130_fd_sc_hd__nor2_2
+XFILLER_39_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38296_ _76161_/A _38296_/B VGND VGND VPWR VPWR _38296_/X sky130_fd_sc_hd__or2_2
+X_50574_ _61878_/A _50578_/B VGND VGND VPWR VPWR _50577_/A sky130_fd_sc_hd__nand2_2
+XFILLER_620_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81394_ _81397_/CLK _81394_/D VGND VGND VPWR VPWR _64926_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_397_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_295_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_557_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55101_ _55100_/X VGND VGND VPWR VPWR _55191_/A sky130_fd_sc_hd__buf_1
+XFILLER_675_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52313_ _52311_/X _52332_/B VGND VGND VPWR VPWR _52314_/B sky130_fd_sc_hd__or2_2
+XFILLER_491_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_10_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_653_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_298_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56081_ _56081_/A VGND VGND VPWR VPWR _56089_/A sky130_fd_sc_hd__buf_1
+X_80345_ _80346_/CLK _80345_/D VGND VGND VPWR VPWR _66080_/A sky130_fd_sc_hd__dfxtp_4
+X_68067_ _68724_/A _68067_/B _68066_/Y VGND VGND VPWR VPWR _68074_/B sky130_fd_sc_hd__nor3_2
+XFILLER_104_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_299_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53293_ _53207_/A _53293_/B VGND VGND VPWR VPWR _53294_/B sky130_fd_sc_hd__or2_2
+X_65279_ _64924_/A _65279_/B _65278_/Y VGND VGND VPWR VPWR _65279_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_653_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_571_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67018_ _57574_/A _67018_/B VGND VGND VPWR VPWR _67018_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_473_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55032_ _76857_/Q _55020_/X VGND VGND VPWR VPWR _55032_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_277_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52244_ _52244_/A _52247_/B VGND VGND VPWR VPWR _52246_/A sky130_fd_sc_hd__nand2_2
+XPHY_23419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_590_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_50_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80276_ _80279_/CLK _80276_/D VGND VGND VPWR VPWR _38841_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_614_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_309_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_687_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_698_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59840_ _77081_/Q _59677_/B VGND VGND VPWR VPWR _59842_/B sky130_fd_sc_hd__nor2_2
+XFILLER_215_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52175_ _51421_/A VGND VGND VPWR VPWR _52776_/A sky130_fd_sc_hd__buf_1
+XFILLER_237_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_276_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_30_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_454_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51126_ _62415_/A _51119_/B VGND VGND VPWR VPWR _51128_/A sky130_fd_sc_hd__nand2_2
+XFILLER_724_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_686_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59771_ _59607_/A _59767_/Y _59770_/Y VGND VGND VPWR VPWR _59771_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_24_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_324_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_117_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56983_ _56659_/A _56983_/B _56982_/Y VGND VGND VPWR VPWR _56983_/X sky130_fd_sc_hd__or3_2
+X_68969_ _79946_/Q _68302_/B VGND VGND VPWR VPWR _68969_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_351_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_669_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58722_ _69394_/A _58437_/B VGND VGND VPWR VPWR _58724_/B sky130_fd_sc_hd__nor2_2
+XFILLER_330_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_450_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_6_53_0_u_core.clock clkbuf_5_26_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_6_53_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_51057_ _54879_/A _50965_/B VGND VGND VPWR VPWR _51083_/B sky130_fd_sc_hd__or2_2
+XFILLER_150_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55934_ _71613_/A _55894_/X _55885_/B VGND VGND VPWR VPWR _55934_/X sky130_fd_sc_hd__and3_2
+XFILLER_584_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71980_ _73246_/A VGND VGND VPWR VPWR _71981_/B sky130_fd_sc_hd__buf_1
+XFILLER_271_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_81_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_213_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50008_ _50015_/A VGND VGND VPWR VPWR _50027_/B sky130_fd_sc_hd__buf_1
+XFILLER_270_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39819_ _40313_/A _39819_/B VGND VGND VPWR VPWR _39819_/X sky130_fd_sc_hd__or2_2
+XFILLER_28_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58653_ _58653_/A _58653_/B _58652_/Y VGND VGND VPWR VPWR _58654_/C sky130_fd_sc_hd__nor3_2
+X_70931_ _70490_/Y _70497_/X VGND VGND VPWR VPWR _70931_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_104_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_707_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55865_ _55865_/A _55865_/B VGND VGND VPWR VPWR _55865_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_76_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57604_ _66955_/A _57447_/X VGND VGND VPWR VPWR _57604_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54816_ _54828_/A VGND VGND VPWR VPWR _54831_/B sky130_fd_sc_hd__buf_1
+XFILLER_267_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42830_ _46228_/B VGND VGND VPWR VPWR _42830_/Y sky130_fd_sc_hd__inv_8
+XFILLER_670_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73650_ _73630_/A VGND VGND VPWR VPWR _73656_/A sky130_fd_sc_hd__buf_1
+XFILLER_480_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_467_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_660_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58584_ _80043_/Q _58510_/B VGND VGND VPWR VPWR _58586_/B sky130_fd_sc_hd__nor2_2
+XFILLER_311_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70862_ _70546_/X _70553_/X VGND VGND VPWR VPWR _71597_/A sky130_fd_sc_hd__or2_2
+XFILLER_57_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55796_ _55821_/B VGND VGND VPWR VPWR _55797_/B sky130_fd_sc_hd__buf_1
+XPHY_6145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_312_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_330_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_527_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_720_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72601_ _72591_/A VGND VGND VPWR VPWR _72676_/A sky130_fd_sc_hd__buf_1
+XPHY_19802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57535_ _40949_/C _57218_/X VGND VGND VPWR VPWR _57537_/B sky130_fd_sc_hd__nor2_2
+XFILLER_621_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42761_ _42747_/X _70692_/Y _70700_/Y _42748_/X VGND VGND VPWR VPWR _42761_/X sky130_fd_sc_hd__o22a_4
+XFILLER_248_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54747_ _54804_/A _54753_/B VGND VGND VPWR VPWR _54748_/B sky130_fd_sc_hd__or2_2
+X_73581_ _73944_/A _73588_/B VGND VGND VPWR VPWR _73583_/A sky130_fd_sc_hd__or2_2
+XFILLER_96_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51959_ _51959_/A _51959_/B VGND VGND VPWR VPWR _77673_/D sky130_fd_sc_hd__nand2_2
+XPHY_19824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70793_ _70793_/A _70793_/B _69952_/X VGND VGND VPWR VPWR _70793_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_248_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_18_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44500_ _44500_/A _44538_/B VGND VGND VPWR VPWR _44505_/B sky130_fd_sc_hd__nor2_2
+XPHY_4721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75320_ _75334_/A _75323_/B _75320_/C VGND VGND VPWR VPWR _75321_/B sky130_fd_sc_hd__nand3_2
+XFILLER_226_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41712_ _76827_/Q _76826_/Q _55225_/A _55230_/A VGND VGND VPWR VPWR _41712_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_183_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72532_ _72542_/A _72542_/B _72532_/C VGND VGND VPWR VPWR _72533_/B sky130_fd_sc_hd__nand3_2
+XFILLER_385_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57466_ _57466_/A VGND VGND VPWR VPWR _57715_/A sky130_fd_sc_hd__buf_1
+XFILLER_79_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45480_ _45475_/A _45235_/B VGND VGND VPWR VPWR _45482_/B sky130_fd_sc_hd__nor2_2
+XPHY_37225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42692_ _42687_/Y _42692_/B _42691_/X _42660_/D VGND VGND VPWR VPWR _42692_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_207_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54678_ _54076_/A VGND VGND VPWR VPWR _54798_/A sky130_fd_sc_hd__buf_1
+XFILLER_567_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_37236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59205_ _59205_/A VGND VGND VPWR VPWR _59754_/A sky130_fd_sc_hd__buf_1
+XFILLER_677_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_404_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44431_ _74966_/A _44608_/B _44421_/Y _44430_/Y VGND VGND VPWR VPWR _44432_/C sky130_fd_sc_hd__o22a_4
+XPHY_4776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75251_ _75249_/X _75250_/Y VGND VGND VPWR VPWR _80733_/D sky130_fd_sc_hd__nand2_2
+XFILLER_478_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56417_ _64657_/A _56219_/X VGND VGND VPWR VPWR _56417_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_44_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_610_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41643_ _79060_/Q _41643_/B _79058_/Q _45961_/A VGND VGND VPWR VPWR _41643_/X sky130_fd_sc_hd__or4_2
+XFILLER_144_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53629_ _53629_/A _53628_/X VGND VGND VPWR VPWR _53629_/Y sky130_fd_sc_hd__nand2_2
+XPHY_37269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72463_ _72457_/A _72463_/B _81423_/Q VGND VGND VPWR VPWR _72463_/Y sky130_fd_sc_hd__nand3_2
+XPHY_4787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57397_ _57561_/A _57395_/Y _57396_/Y VGND VGND VPWR VPWR _57397_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_298_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74202_ _74202_/A _74192_/B VGND VGND VPWR VPWR _74202_/X sky130_fd_sc_hd__or2_2
+XPHY_26034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47150_ _47135_/A VGND VGND VPWR VPWR _47165_/B sky130_fd_sc_hd__buf_1
+XFILLER_201_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59136_ _60201_/A VGND VGND VPWR VPWR _59143_/A sky130_fd_sc_hd__buf_1
+X_71414_ _71357_/A _71414_/B VGND VGND VPWR VPWR _71414_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_204_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_183_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44362_ _44353_/Y _44582_/A VGND VGND VPWR VPWR _44574_/A sky130_fd_sc_hd__or2_4
+X_56348_ _56348_/A VGND VGND VPWR VPWR _56349_/B sky130_fd_sc_hd__buf_1
+XPHY_25300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75182_ _75206_/A VGND VGND VPWR VPWR _75192_/B sky130_fd_sc_hd__buf_1
+XPHY_26045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41574_ _41566_/X _41573_/X _67122_/A VGND VGND VPWR VPWR _41575_/B sky130_fd_sc_hd__nand3_2
+XPHY_35834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72394_ _72228_/A _72394_/B VGND VGND VPWR VPWR _72394_/X sky130_fd_sc_hd__or2_2
+XFILLER_319_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_685_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46101_ _45237_/A _43060_/Y VGND VGND VPWR VPWR _46189_/B sky130_fd_sc_hd__nor2_2
+XPHY_35856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_322_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43313_ _43257_/A VGND VGND VPWR VPWR _43313_/X sky130_fd_sc_hd__buf_1
+X_74133_ _74137_/A _74137_/B _65083_/A VGND VGND VPWR VPWR _74134_/B sky130_fd_sc_hd__nand3_2
+Xclkbuf_7_33_0_u_core.clock clkbuf_7_33_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_66_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_35_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40525_ _40521_/X _40524_/Y VGND VGND VPWR VPWR _40525_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_40_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59067_ _59199_/A VGND VGND VPWR VPWR _59067_/X sky130_fd_sc_hd__buf_1
+X_71345_ _43801_/A VGND VGND VPWR VPWR _42916_/A sky130_fd_sc_hd__buf_1
+X_47081_ _46867_/A _47079_/Y _47081_/C VGND VGND VPWR VPWR _47082_/B sky130_fd_sc_hd__or3_2
+XFILLER_693_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_51_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44293_ _44261_/A _44293_/B VGND VGND VPWR VPWR _44293_/X sky130_fd_sc_hd__and2_2
+XPHY_25355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79990_ _80121_/CLK _79990_/D VGND VGND VPWR VPWR _79990_/Q sky130_fd_sc_hd__dfxtp_4
+X_56279_ _56616_/A VGND VGND VPWR VPWR _56295_/A sky130_fd_sc_hd__buf_1
+XFILLER_376_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_31_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_339_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46032_ _46031_/X VGND VGND VPWR VPWR _55909_/A sky130_fd_sc_hd__inv_8
+XFILLER_556_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_534_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58018_ _56632_/A VGND VGND VPWR VPWR _58334_/B sky130_fd_sc_hd__buf_1
+XFILLER_100_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43244_ _43244_/A VGND VGND VPWR VPWR _43360_/B sky130_fd_sc_hd__buf_1
+XFILLER_374_3073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74064_ _74737_/A VGND VGND VPWR VPWR _74600_/A sky130_fd_sc_hd__buf_1
+X_78941_ _76720_/CLK _78941_/D VGND VGND VPWR VPWR _43518_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_517_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40456_ _40409_/A VGND VGND VPWR VPWR _40456_/X sky130_fd_sc_hd__buf_1
+XFILLER_185_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71276_ _71276_/A _71275_/Y VGND VGND VPWR VPWR _71276_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_12_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73015_ _73015_/A _73015_/B VGND VGND VPWR VPWR _73015_/Y sky130_fd_sc_hd__nand2_2
+XPHY_24687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70227_ _70323_/A _70227_/B VGND VGND VPWR VPWR _70227_/X sky130_fd_sc_hd__or2_2
+XFILLER_153_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43175_ _46166_/B VGND VGND VPWR VPWR _43175_/Y sky130_fd_sc_hd__inv_8
+XFILLER_516_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78872_ _79074_/CLK _47232_/X VGND VGND VPWR VPWR _43002_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_14175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_452_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40387_ _40377_/A _40372_/B _69116_/A VGND VGND VPWR VPWR _40388_/B sky130_fd_sc_hd__nand3_2
+XFILLER_491_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_236_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_190_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42126_ _56214_/A _42126_/B VGND VGND VPWR VPWR _42126_/Y sky130_fd_sc_hd__nor2_2
+X_77823_ _77749_/CLK _51389_/Y VGND VGND VPWR VPWR _77823_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_472_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_531_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70158_ _70158_/A VGND VGND VPWR VPWR _70158_/X sky130_fd_sc_hd__buf_1
+XFILLER_141_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_688_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47983_ _78707_/Q _47977_/X VGND VGND VPWR VPWR _47983_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_342_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59969_ _59822_/A _59967_/Y _59969_/C VGND VGND VPWR VPWR _59974_/B sky130_fd_sc_hd__nor3_2
+XPHY_12740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_214_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_693_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_532_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49722_ _49722_/A _49722_/B VGND VGND VPWR VPWR _49722_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_49_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_330_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46934_ _46917_/A _46934_/B _46934_/C VGND VGND VPWR VPWR _46934_/X sky130_fd_sc_hd__or3_2
+X_42057_ _42098_/A VGND VGND VPWR VPWR _42077_/B sky130_fd_sc_hd__buf_1
+XFILLER_708_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77754_ _77749_/CLK _77754_/D VGND VGND VPWR VPWR _51655_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_548_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62980_ _48448_/A _62342_/X VGND VGND VPWR VPWR _62982_/B sky130_fd_sc_hd__nor2_2
+XFILLER_7_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74966_ _74966_/A _74958_/B VGND VGND VPWR VPWR _74966_/X sky130_fd_sc_hd__or2_2
+X_70089_ _70150_/A VGND VGND VPWR VPWR _70300_/A sky130_fd_sc_hd__buf_1
+XFILLER_687_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_9_0_u_core.clock clkbuf_8_9_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_9_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_567_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_66 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41008_ _41008_/A _41002_/B VGND VGND VPWR VPWR _41008_/X sky130_fd_sc_hd__or2_2
+XFILLER_296_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76705_ _79194_/CLK _76705_/D VGND VGND VPWR VPWR _76705_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_485_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61931_ _61931_/A _61929_/Y _61931_/C VGND VGND VPWR VPWR _61935_/B sky130_fd_sc_hd__nor3_2
+X_49653_ _49653_/A _49653_/B VGND VGND VPWR VPWR _49653_/Y sky130_fd_sc_hd__nand2_2
+X_73917_ _73917_/A _73917_/B _67742_/A VGND VGND VPWR VPWR _73917_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_368_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_45_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_81_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_295_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46865_ _46877_/A _46864_/X VGND VGND VPWR VPWR _46865_/X sky130_fd_sc_hd__or2_2
+X_77685_ _77686_/CLK _51915_/Y VGND VGND VPWR VPWR _60514_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_30196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74897_ _74901_/A _74882_/B _66390_/A VGND VGND VPWR VPWR _74897_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_485_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_99 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_446_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_725_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48604_ _48604_/A _48583_/B VGND VGND VPWR VPWR _48604_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_481_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79424_ _79426_/CLK _42957_/Y VGND VGND VPWR VPWR _57361_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_456_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_125_0_u_core.clock clkbuf_8_62_0_u_core.clock/X VGND VGND VPWR VPWR _77333_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_387_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45816_ _79093_/Q _45816_/B VGND VGND VPWR VPWR _45816_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_171_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64650_ _64633_/Y _64650_/B VGND VGND VPWR VPWR _64651_/B sky130_fd_sc_hd__nor2_2
+X_76636_ _76798_/CLK _76636_/D VGND VGND VPWR VPWR _43517_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_466_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_444_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61862_ _61704_/A _61862_/B _61861_/Y VGND VGND VPWR VPWR _61862_/Y sky130_fd_sc_hd__nor3_2
+X_49584_ _49582_/Y _49583_/X VGND VGND VPWR VPWR _49584_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_628_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_583_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73848_ _73845_/X _73847_/Y VGND VGND VPWR VPWR _81077_/D sky130_fd_sc_hd__nand2_2
+XFILLER_329_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46796_ _46147_/B _46796_/B VGND VGND VPWR VPWR _46797_/B sky130_fd_sc_hd__nor2_2
+XFILLER_97_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_463_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_13_0_u_core.clock clkbuf_7_6_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_27_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_541_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_283_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_3_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63601_ _58978_/A _63601_/B _63600_/Y VGND VGND VPWR VPWR _63601_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_342_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60813_ _51876_/A _60663_/B VGND VGND VPWR VPWR _60815_/B sky130_fd_sc_hd__nor2_2
+XFILLER_425_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48535_ _63460_/A _48521_/B VGND VGND VPWR VPWR _48537_/A sky130_fd_sc_hd__nand2_2
+XFILLER_548_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_427_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79355_ _76664_/CLK _43418_/Y VGND VGND VPWR VPWR _67439_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_329_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45747_ _70530_/X VGND VGND VPWR VPWR _45747_/Y sky130_fd_sc_hd__inv_8
+XPHY_39150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64581_ _81232_/Q _64581_/B VGND VGND VPWR VPWR _64585_/B sky130_fd_sc_hd__nor2_2
+XFILLER_346_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76567_ VGND VGND VPWR VPWR _76567_/HI la_data_out[63] sky130_fd_sc_hd__conb_1
+X_42959_ _42959_/A VGND VGND VPWR VPWR _42968_/B sky130_fd_sc_hd__buf_1
+XFILLER_596_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61793_ _61793_/A _61793_/B _61792_/Y VGND VGND VPWR VPWR _61793_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_224_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73779_ _73776_/A _73776_/B _81095_/Q VGND VGND VPWR VPWR _73779_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_329_1437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_234_0_u_core.clock clkbuf_8_234_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_469_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_48_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_405_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_64_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_35_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66320_ _65657_/A _66320_/B _66320_/C VGND VGND VPWR VPWR _66321_/C sky130_fd_sc_hd__nor3_2
+Xclkbuf_9_294_0_u_core.clock clkbuf_9_295_0_u_core.clock/A VGND VGND VPWR VPWR _81203_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_364_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_282_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78306_ _78281_/CLK _78306_/D VGND VGND VPWR VPWR _49566_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_264_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63532_ _63059_/X _63532_/B _63532_/C VGND VGND VPWR VPWR _63536_/B sky130_fd_sc_hd__nor3_2
+X_75518_ _75898_/A _75518_/B VGND VGND VPWR VPWR _75520_/A sky130_fd_sc_hd__or2_2
+XFILLER_463_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_405_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_127_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60744_ _60440_/A _60744_/B _60743_/Y VGND VGND VPWR VPWR _60745_/C sky130_fd_sc_hd__nor3_2
+X_48466_ _48453_/X VGND VGND VPWR VPWR _48466_/X sky130_fd_sc_hd__buf_1
+XFILLER_500_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79286_ _79285_/CLK _43879_/Y VGND VGND VPWR VPWR _79286_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_405_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45678_ _42427_/A _46051_/B VGND VGND VPWR VPWR _45679_/B sky130_fd_sc_hd__nor2_2
+XPHY_38460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76498_ VGND VGND VPWR VPWR _76498_/HI io_out[28] sky130_fd_sc_hd__conb_1
+XFILLER_440_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_283_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_3074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47417_ _47417_/A VGND VGND VPWR VPWR _47886_/A sky130_fd_sc_hd__buf_1
+X_66251_ _64449_/A VGND VGND VPWR VPWR _66251_/X sky130_fd_sc_hd__buf_1
+XFILLER_441_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78237_ _77699_/CLK _49827_/Y VGND VGND VPWR VPWR _61756_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_479_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44629_ _44620_/A _44636_/A _44416_/A VGND VGND VPWR VPWR _44631_/A sky130_fd_sc_hd__and3_2
+XFILLER_264_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_700_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63463_ _63463_/A _63463_/B _63462_/Y VGND VGND VPWR VPWR _63463_/Y sky130_fd_sc_hd__nor3_2
+X_75449_ _75449_/A _75448_/Y VGND VGND VPWR VPWR _80682_/D sky130_fd_sc_hd__nand2_2
+XFILLER_440_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60675_ _62237_/A VGND VGND VPWR VPWR _60680_/A sky130_fd_sc_hd__buf_1
+X_48397_ _48395_/A VGND VGND VPWR VPWR _48413_/B sky130_fd_sc_hd__buf_1
+XFILLER_346_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_95_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_162_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65202_ _44667_/A _65202_/B VGND VGND VPWR VPWR _65377_/A sky130_fd_sc_hd__nor2_2
+XFILLER_91_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_698_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_405_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_323_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62414_ _78249_/Q _62259_/B VGND VGND VPWR VPWR _62416_/B sky130_fd_sc_hd__nor2_2
+XFILLER_177_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_204_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_717_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47348_ _47348_/A _47449_/A VGND VGND VPWR VPWR _47348_/X sky130_fd_sc_hd__or2_2
+XFILLER_422_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66182_ _66509_/A _66182_/B _66181_/Y VGND VGND VPWR VPWR _66182_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_18_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78168_ _78708_/CLK _78168_/D VGND VGND VPWR VPWR _50078_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_457_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63394_ _63394_/A _62769_/X VGND VGND VPWR VPWR _63395_/C sky130_fd_sc_hd__nor2_2
+XFILLER_203_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_595_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_176_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_108_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_277_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_12_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_105_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65133_ _64633_/A _65119_/Y _65132_/Y VGND VGND VPWR VPWR _65133_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_716_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77119_ _77259_/CLK _77119_/D VGND VGND VPWR VPWR _54052_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62345_ _62345_/A _62344_/X VGND VGND VPWR VPWR _62345_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_496_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_143_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47279_ _47277_/X _47279_/B VGND VGND VPWR VPWR _47569_/B sky130_fd_sc_hd__nand2_2
+XFILLER_591_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78099_ _78675_/CLK _78099_/D VGND VGND VPWR VPWR _62626_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_392_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_457_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49018_ _49047_/A _49039_/B VGND VGND VPWR VPWR _49019_/B sky130_fd_sc_hd__or2_2
+X_80130_ _80157_/CLK _39425_/Y VGND VGND VPWR VPWR _80130_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_277_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_717_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65064_ _80435_/Q _65064_/B VGND VGND VPWR VPWR _65064_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_496_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_474_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69941_ _69941_/A VGND VGND VPWR VPWR _69946_/A sky130_fd_sc_hd__inv_8
+XFILLER_203_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_590_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50290_ _50250_/A _50308_/B VGND VGND VPWR VPWR _50291_/B sky130_fd_sc_hd__or2_2
+XFILLER_572_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62276_ _61813_/A _62274_/Y _62276_/C VGND VGND VPWR VPWR _62277_/C sky130_fd_sc_hd__nor3_2
+XFILLER_582_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_199_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64015_ _48231_/A _63884_/B VGND VGND VPWR VPWR _64015_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_30_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_297_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61227_ _61227_/A _61071_/X VGND VGND VPWR VPWR _61227_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_199_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80061_ _80094_/CLK _39682_/Y VGND VGND VPWR VPWR _80061_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_632_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_380_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69872_ _69871_/X VGND VGND VPWR VPWR _71109_/B sky130_fd_sc_hd__buf_1
+XFILLER_438_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_121_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_511_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_138_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_271_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_550_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68823_ _68488_/A _68820_/Y _68822_/Y VGND VGND VPWR VPWR _68823_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_392_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_333_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_668_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61158_ _59138_/A VGND VGND VPWR VPWR _61159_/B sky130_fd_sc_hd__buf_1
+XFILLER_158_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38983_ _38742_/A _38982_/X VGND VGND VPWR VPWR _38983_/X sky130_fd_sc_hd__or2_2
+XFILLER_318_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_523_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_255_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_353_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_259_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60109_ _59460_/A _60109_/B _60108_/Y VGND VGND VPWR VPWR _60110_/C sky130_fd_sc_hd__nor3_2
+XFILLER_448_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_451_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68754_ _72734_/C _69343_/B VGND VGND VPWR VPWR _68757_/B sky130_fd_sc_hd__nor2_2
+XFILLER_331_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53980_ _53917_/A _53980_/B VGND VGND VPWR VPWR _53980_/X sky130_fd_sc_hd__or2_2
+XFILLER_60_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_210_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_488_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61089_ _77497_/Q _60936_/B VGND VGND VPWR VPWR _61090_/C sky130_fd_sc_hd__nor2_2
+XFILLER_193_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65966_ _65124_/X VGND VGND VPWR VPWR _65967_/B sky130_fd_sc_hd__buf_1
+XFILLER_609_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_151_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67705_ _67705_/A _67705_/B _67705_/C VGND VGND VPWR VPWR _67705_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_531_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52931_ _52931_/A _52930_/X VGND VGND VPWR VPWR _77415_/D sky130_fd_sc_hd__nand2_2
+XFILLER_302_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64917_ _64757_/A _64917_/B _64917_/C VGND VGND VPWR VPWR _64936_/A sky130_fd_sc_hd__nor3_2
+XFILLER_312_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_331_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_113_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_566_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_566_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80963_ _80969_/CLK _80963_/D VGND VGND VPWR VPWR _74323_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_116_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68685_ _68524_/A _68685_/B _68684_/Y VGND VGND VPWR VPWR _68690_/B sky130_fd_sc_hd__nor3_2
+XFILLER_410_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65897_ _65897_/A _66555_/B VGND VGND VPWR VPWR _65897_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_21_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_707_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_101_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_246_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39604_ _39592_/A _39592_/B _80081_/Q VGND VGND VPWR VPWR _39604_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_648_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55650_ _55704_/A _55650_/B VGND VGND VPWR VPWR _55651_/C sky130_fd_sc_hd__nor2_2
+XFILLER_210_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67636_ _38911_/C _67310_/B VGND VGND VPWR VPWR _67639_/B sky130_fd_sc_hd__nor2_2
+XFILLER_386_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52862_ _52862_/A _52861_/X VGND VGND VPWR VPWR _52862_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_288_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64848_ _65017_/A _64838_/Y _64848_/C VGND VGND VPWR VPWR _64849_/B sky130_fd_sc_hd__nor3_2
+XFILLER_39_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80894_ _80929_/CLK _80894_/D VGND VGND VPWR VPWR _74608_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_132_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_661_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_347_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_663_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54601_ _54658_/A _54601_/B VGND VGND VPWR VPWR _54601_/X sky130_fd_sc_hd__or2_2
+XFILLER_247_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51813_ _51813_/A _51812_/X VGND VGND VPWR VPWR _51813_/Y sky130_fd_sc_hd__nand2_2
+X_39535_ _39535_/A VGND VGND VPWR VPWR _39550_/A sky130_fd_sc_hd__buf_1
+XFILLER_55_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_484_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55581_ _45225_/Y _55550_/B VGND VGND VPWR VPWR _55581_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_724_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67567_ _73797_/C _67903_/B VGND VGND VPWR VPWR _67568_/C sky130_fd_sc_hd__nor2_2
+XFILLER_481_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_347_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52793_ _77452_/Q _52804_/B VGND VGND VPWR VPWR _52793_/Y sky130_fd_sc_hd__nand2_2
+X_64779_ _56559_/A _64178_/B VGND VGND VPWR VPWR _64780_/C sky130_fd_sc_hd__nor2_2
+XFILLER_3_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_423_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_651_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57320_ _58517_/A VGND VGND VPWR VPWR _57320_/X sky130_fd_sc_hd__buf_1
+XFILLER_76_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69306_ _64496_/X _69304_/Y _69306_/C VGND VGND VPWR VPWR _69306_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_509_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_180_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_603_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_560_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66518_ _66518_/A VGND VGND VPWR VPWR _67185_/B sky130_fd_sc_hd__buf_1
+X_54532_ _54532_/A _54532_/B VGND VGND VPWR VPWR _76994_/D sky130_fd_sc_hd__nand2_2
+XFILLER_269_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51744_ _51741_/Y _51744_/B VGND VGND VPWR VPWR _77732_/D sky130_fd_sc_hd__nand2_2
+XFILLER_427_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39466_ _39464_/X _39465_/Y VGND VGND VPWR VPWR _80119_/D sky130_fd_sc_hd__nand2_2
+XFILLER_55_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_549_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67498_ _67498_/A VGND VGND VPWR VPWR _67667_/A sky130_fd_sc_hd__buf_1
+XFILLER_149_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_632_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_145_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_380_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38417_ _38399_/A VGND VGND VPWR VPWR _38421_/A sky130_fd_sc_hd__buf_1
+XFILLER_26_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57251_ _57650_/A VGND VGND VPWR VPWR _57567_/A sky130_fd_sc_hd__buf_1
+XFILLER_39_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_440_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69237_ _68621_/X _69235_/Y _69237_/C VGND VGND VPWR VPWR _69237_/Y sky130_fd_sc_hd__nor3_2
+X_81515_ _81513_/CLK _81515_/D VGND VGND VPWR VPWR _69089_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_39_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_19_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54463_ _54463_/A VGND VGND VPWR VPWR _54479_/B sky130_fd_sc_hd__buf_1
+XFILLER_199_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66449_ _66449_/A _66285_/B VGND VGND VPWR VPWR _66449_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_677_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39397_ _39397_/A VGND VGND VPWR VPWR _39434_/A sky130_fd_sc_hd__buf_1
+X_51675_ _53586_/A _51795_/B VGND VGND VPWR VPWR _51675_/X sky130_fd_sc_hd__or2_2
+XPHY_3349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_57 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_577_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_243_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_23_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_306_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56202_ _57145_/A VGND VGND VPWR VPWR _56695_/A sky130_fd_sc_hd__buf_1
+XPHY_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_242_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53414_ _53444_/A _53426_/B VGND VGND VPWR VPWR _53414_/X sky130_fd_sc_hd__or2_2
+XFILLER_161_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50626_ _62190_/A _50611_/X VGND VGND VPWR VPWR _50628_/A sky130_fd_sc_hd__nand2_2
+X_38348_ _38451_/B VGND VGND VPWR VPWR _38366_/B sky130_fd_sc_hd__buf_1
+X_57182_ _57348_/A _57182_/B VGND VGND VPWR VPWR _57183_/C sky130_fd_sc_hd__nor2_2
+X_69168_ _68547_/A _69166_/Y _69168_/C VGND VGND VPWR VPWR _69169_/C sky130_fd_sc_hd__nor3_2
+X_81446_ _81446_/CLK _81446_/D VGND VGND VPWR VPWR _68247_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_41_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_440_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54394_ _54509_/A _54398_/B VGND VGND VPWR VPWR _54395_/B sky130_fd_sc_hd__or2_2
+XFILLER_718_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_3035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_74_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_341_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_694_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_36_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_52_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68119_ _64522_/A VGND VGND VPWR VPWR _68790_/A sky130_fd_sc_hd__buf_1
+XFILLER_401_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56133_ _56097_/A VGND VGND VPWR VPWR _56152_/A sky130_fd_sc_hd__buf_1
+XFILLER_357_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53345_ _53341_/Y _53344_/X VGND VGND VPWR VPWR _53345_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38279_ _38279_/A _38279_/B _80417_/Q VGND VGND VPWR VPWR _38279_/Y sky130_fd_sc_hd__nand3_2
+X_50557_ _62504_/A _50548_/B VGND VGND VPWR VPWR _50561_/A sky130_fd_sc_hd__nand2_2
+XFILLER_518_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69099_ _80619_/Q _68461_/X VGND VGND VPWR VPWR _69100_/C sky130_fd_sc_hd__nor2_2
+X_81377_ _81346_/CLK _81377_/D VGND VGND VPWR VPWR _67428_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_358_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_358_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40310_ _39951_/A _40313_/B VGND VGND VPWR VPWR _40310_/X sky130_fd_sc_hd__or2_2
+XFILLER_383_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71130_ _71073_/B _71130_/B VGND VGND VPWR VPWR _71130_/X sky130_fd_sc_hd__and2_2
+XFILLER_195_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56064_ _56098_/A VGND VGND VPWR VPWR _56091_/A sky130_fd_sc_hd__buf_1
+XFILLER_203_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80328_ _80346_/CLK _80328_/D VGND VGND VPWR VPWR _38649_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_517_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41290_ _41288_/X _41290_/B VGND VGND VPWR VPWR _41290_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_10_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53276_ _53276_/A _53276_/B VGND VGND VPWR VPWR _53276_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_136_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_276_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50488_ _50488_/A _50488_/B VGND VGND VPWR VPWR _50488_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_721_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_631_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55015_ _55013_/Y _55015_/B VGND VGND VPWR VPWR _76862_/D sky130_fd_sc_hd__nand2_2
+XFILLER_139_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40241_ _40300_/A VGND VGND VPWR VPWR _40258_/A sky130_fd_sc_hd__buf_1
+X_52227_ _52227_/A _52227_/B VGND VGND VPWR VPWR _77604_/D sky130_fd_sc_hd__nand2_2
+XFILLER_135_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71061_ _69871_/X VGND VGND VPWR VPWR _71146_/B sky130_fd_sc_hd__buf_1
+XFILLER_532_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_614_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80259_ _80259_/CLK _80259_/D VGND VGND VPWR VPWR _38908_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_22515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_555_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_497_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_163_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_69_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70012_ _70012_/A VGND VGND VPWR VPWR _70793_/A sky130_fd_sc_hd__inv_8
+XPHY_12014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59823_ _59823_/A _59661_/B VGND VGND VPWR VPWR _59823_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_65_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40172_ _40172_/A _40172_/B VGND VGND VPWR VPWR _79940_/D sky130_fd_sc_hd__nand2_2
+X_52158_ _61458_/A _52163_/B VGND VGND VPWR VPWR _52162_/A sky130_fd_sc_hd__nand2_2
+XPHY_21814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_156_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_172_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_152_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_551_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_371_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51109_ _51107_/Y _51108_/X VGND VGND VPWR VPWR _77894_/D sky130_fd_sc_hd__nand2_2
+XFILLER_46_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74820_ _74820_/A VGND VGND VPWR VPWR _75183_/A sky130_fd_sc_hd__buf_1
+XFILLER_439_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59754_ _59754_/A _59754_/B _59753_/Y VGND VGND VPWR VPWR _59755_/C sky130_fd_sc_hd__nor3_2
+XPHY_21858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52089_ _52087_/Y _52088_/X VGND VGND VPWR VPWR _52089_/Y sky130_fd_sc_hd__nand2_2
+X_56966_ _56795_/A _56966_/B VGND VGND VPWR VPWR _56983_/B sky130_fd_sc_hd__nor2_2
+X_44980_ _44980_/A VGND VGND VPWR VPWR _44981_/A sky130_fd_sc_hd__inv_8
+XFILLER_532_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_10601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_646_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_115_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_2_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58705_ _69223_/A _58705_/B VGND VGND VPWR VPWR _58705_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_277_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43931_ _72940_/A _43931_/B VGND VGND VPWR VPWR _43931_/Y sky130_fd_sc_hd__nor2_2
+X_74751_ _76155_/A VGND VGND VPWR VPWR _75249_/A sky130_fd_sc_hd__buf_1
+XFILLER_293_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55917_ _55909_/A _55913_/Y _55915_/X _55916_/X VGND VGND VPWR VPWR _55918_/C sky130_fd_sc_hd__nor4_2
+XFILLER_467_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_662_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59685_ _77064_/Q _59685_/B VGND VGND VPWR VPWR _59685_/Y sky130_fd_sc_hd__nor2_2
+X_71963_ _71964_/A _71975_/A VGND VGND VPWR VPWR _71963_/X sky130_fd_sc_hd__and2_2
+XFILLER_604_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1495 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_152_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_454_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56897_ _56648_/A _56895_/Y _56897_/C VGND VGND VPWR VPWR _56898_/C sky130_fd_sc_hd__nor3_2
+XFILLER_81_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_238_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73702_ _73689_/A _73688_/X _66419_/A VGND VGND VPWR VPWR _73703_/B sky130_fd_sc_hd__nand3_2
+XFILLER_445_3030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46650_ _46650_/A _46650_/B VGND VGND VPWR VPWR _46651_/B sky130_fd_sc_hd__nor2_2
+XFILLER_150_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58636_ _58837_/A _58636_/B _58635_/Y VGND VGND VPWR VPWR _58636_/Y sky130_fd_sc_hd__nor3_2
+X_70914_ _70468_/X _70478_/Y VGND VGND VPWR VPWR _70978_/A sky130_fd_sc_hd__nor2_2
+XFILLER_725_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77470_ _77454_/CLK _52722_/Y VGND VGND VPWR VPWR _60581_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_292_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43862_ _43862_/A _43862_/B _43897_/C VGND VGND VPWR VPWR _43862_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_608_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55848_ _76758_/Q _55830_/B VGND VGND VPWR VPWR _55848_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_150_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74682_ _74680_/X _74682_/B VGND VGND VPWR VPWR _74682_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_323_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_287_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71894_ _76795_/Q VGND VGND VPWR VPWR _71895_/A sky130_fd_sc_hd__inv_8
+XFILLER_232_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_666_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_44_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_115_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45601_ _71607_/A _45609_/B VGND VGND VPWR VPWR _45602_/C sky130_fd_sc_hd__nor2_2
+X_76421_ _76169_/A _76418_/B VGND VGND VPWR VPWR _76421_/X sky130_fd_sc_hd__or2_2
+XFILLER_210_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42813_ _42593_/A _42812_/Y VGND VGND VPWR VPWR _42813_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_248_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73633_ _73644_/A _73644_/B _81134_/Q VGND VGND VPWR VPWR _73633_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_482_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58567_ _68928_/A _58634_/B VGND VGND VPWR VPWR _58567_/Y sky130_fd_sc_hd__nor2_2
+X_46581_ _78997_/Q _46581_/B VGND VGND VPWR VPWR _46583_/B sky130_fd_sc_hd__nor2_2
+X_70845_ _70651_/B _70865_/A VGND VGND VPWR VPWR _70845_/X sky130_fd_sc_hd__or2_2
+XFILLER_699_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43793_ _43686_/A _43848_/B VGND VGND VPWR VPWR _43793_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55779_ _55776_/Y _55779_/B VGND VGND VPWR VPWR _76779_/D sky130_fd_sc_hd__nand2_2
+XPHY_19610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_562_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_625_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48320_ _48320_/A VGND VGND VPWR VPWR _48414_/A sky130_fd_sc_hd__buf_1
+XPHY_5252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79140_ _79122_/CLK _79140_/D VGND VGND VPWR VPWR _45546_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_435_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_265_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45532_ _70428_/A _45497_/A VGND VGND VPWR VPWR _45536_/B sky130_fd_sc_hd__nor2_2
+XFILLER_720_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57518_ _57198_/A _57516_/Y _57517_/Y VGND VGND VPWR VPWR _57518_/Y sky130_fd_sc_hd__nor3_2
+XPHY_5263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76352_ _76350_/X _76351_/Y VGND VGND VPWR VPWR _80461_/D sky130_fd_sc_hd__nand2_2
+XPHY_19643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42744_ _42739_/Y _42744_/B _42743_/X _42712_/D VGND VGND VPWR VPWR _42744_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_407_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73564_ _73556_/X _73550_/B _67242_/A VGND VGND VPWR VPWR _73565_/B sky130_fd_sc_hd__nand3_2
+XFILLER_480_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_382_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58498_ _58498_/A _58707_/B VGND VGND VPWR VPWR _58498_/Y sky130_fd_sc_hd__nor2_2
+X_70776_ _70407_/A _69743_/X VGND VGND VPWR VPWR _70777_/B sky130_fd_sc_hd__nor2_2
+XANTENNA_420 _56255_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_61_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_431 _60587_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_46_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75303_ _75303_/A _74665_/B VGND VGND VPWR VPWR _75326_/A sky130_fd_sc_hd__or2_2
+XFILLER_479_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_442 _59155_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_265_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_2_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48251_ _63168_/A _48254_/B VGND VGND VPWR VPWR _48251_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72515_ _72515_/A _72515_/B VGND VGND VPWR VPWR _81411_/D sky130_fd_sc_hd__nand2_2
+XFILLER_363_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79071_ _79051_/CLK _79071_/D VGND VGND VPWR VPWR _45912_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_205_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_479_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_453 _62091_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_70_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45463_ _45409_/X _45463_/B _45462_/Y VGND VGND VPWR VPWR _45463_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57449_ _57203_/X _57449_/B _57448_/Y VGND VGND VPWR VPWR _57454_/B sky130_fd_sc_hd__nor3_2
+XFILLER_701_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76283_ _76283_/A _76287_/B VGND VGND VPWR VPWR _76283_/X sky130_fd_sc_hd__or2_2
+XFILLER_363_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42675_ _42477_/X _70516_/Y _70521_/X _42478_/X VGND VGND VPWR VPWR _42675_/X sky130_fd_sc_hd__o22a_4
+XFILLER_398_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_233_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_464 _60826_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_73495_ _75052_/A _73501_/B VGND VGND VPWR VPWR _73495_/X sky130_fd_sc_hd__or2_2
+XPHY_36321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_261_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_699_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_475 _66702_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_57_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_394_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47202_ _46417_/A _47202_/B VGND VGND VPWR VPWR _47202_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_695_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_226_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_578_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_486 _64464_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_78022_ _78046_/CLK _50634_/Y VGND VGND VPWR VPWR _61874_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44414_ _44489_/A _44411_/Y _44413_/Y VGND VGND VPWR VPWR _44415_/C sky130_fd_sc_hd__nor3_2
+XPHY_3861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75234_ _75234_/A VGND VGND VPWR VPWR _75246_/B sky130_fd_sc_hd__buf_1
+XFILLER_619_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_610_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_497 _64418_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_60460_ _60616_/A _60460_/B VGND VGND VPWR VPWR _60460_/Y sky130_fd_sc_hd__nor2_2
+X_41626_ _41266_/A _41629_/B VGND VGND VPWR VPWR _41626_/X sky130_fd_sc_hd__or2_2
+XFILLER_260_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_35_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48182_ _48182_/A _48194_/B VGND VGND VPWR VPWR _48182_/X sky130_fd_sc_hd__or2_2
+X_72446_ _72810_/A _72442_/B VGND VGND VPWR VPWR _72448_/A sky130_fd_sc_hd__or2_2
+XFILLER_359_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_673_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45394_ _76729_/Q VGND VGND VPWR VPWR _45394_/Y sky130_fd_sc_hd__inv_8
+XPHY_36365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_4_11_0_u_core.clock clkbuf_3_5_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_4_11_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_439_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_159_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47133_ _46318_/A _47140_/A _46320_/B VGND VGND VPWR VPWR _47134_/C sky130_fd_sc_hd__and3_2
+XFILLER_638_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59119_ _59119_/A VGND VGND VPWR VPWR _61597_/A sky130_fd_sc_hd__buf_1
+XFILLER_224_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44345_ _79222_/Q VGND VGND VPWR VPWR _44370_/A sky130_fd_sc_hd__inv_8
+XPHY_25130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75165_ _75406_/A _75151_/X VGND VGND VPWR VPWR _75168_/A sky130_fd_sc_hd__or2_2
+XFILLER_616_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41557_ _41554_/X _41556_/Y VGND VGND VPWR VPWR _79588_/D sky130_fd_sc_hd__nand2_2
+XFILLER_144_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60391_ _60075_/A _60391_/B _60391_/C VGND VGND VPWR VPWR _60414_/A sky130_fd_sc_hd__nor3_2
+XFILLER_347_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72377_ _72298_/A VGND VGND VPWR VPWR _72382_/A sky130_fd_sc_hd__buf_1
+Xclkbuf_9_422_0_u_core.clock clkbuf_9_423_0_u_core.clock/A VGND VGND VPWR VPWR _79925_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_458_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_146_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_690_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62130_ _62130_/A _61662_/B VGND VGND VPWR VPWR _62132_/B sky130_fd_sc_hd__nor2_2
+XPHY_34952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74116_ _74636_/A _74127_/B VGND VGND VPWR VPWR _74116_/X sky130_fd_sc_hd__or2_2
+XFILLER_363_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40508_ _40508_/A _40507_/Y VGND VGND VPWR VPWR _40508_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47064_ _71927_/A _47064_/B VGND VGND VPWR VPWR _47064_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_196_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71328_ _71418_/B VGND VGND VPWR VPWR _71387_/B sky130_fd_sc_hd__inv_8
+XFILLER_713_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_274_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44276_ _44261_/A _44276_/B VGND VGND VPWR VPWR _44276_/X sky130_fd_sc_hd__and2_2
+XFILLER_278_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75096_ _75103_/A _75096_/B _80774_/Q VGND VGND VPWR VPWR _75096_/Y sky130_fd_sc_hd__nand3_2
+XPHY_25185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79973_ _79969_/CLK _79973_/D VGND VGND VPWR VPWR _79973_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_615_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_591_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41488_ _41120_/X _41496_/B VGND VGND VPWR VPWR _41488_/X sky130_fd_sc_hd__or2_2
+XFILLER_122_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46015_ _43525_/A _43524_/B VGND VGND VPWR VPWR _46015_/X sky130_fd_sc_hd__or2_2
+XFILLER_534_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_337_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43227_ _46302_/A VGND VGND VPWR VPWR _43227_/X sky130_fd_sc_hd__buf_1
+X_74047_ _74047_/A _74047_/B _81028_/Q VGND VGND VPWR VPWR _74047_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_294_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62061_ _62039_/Y _62060_/Y VGND VGND VPWR VPWR _62061_/Y sky130_fd_sc_hd__nor2_2
+X_78924_ _78940_/CLK _46986_/Y VGND VGND VPWR VPWR _71620_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_554_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40439_ _40437_/X _40439_/B VGND VGND VPWR VPWR _40439_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_452_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71259_ _71259_/A VGND VGND VPWR VPWR _74023_/A sky130_fd_sc_hd__inv_8
+XFILLER_636_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_705_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_632_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61012_ _61012_/A _61012_/B _61011_/Y VGND VGND VPWR VPWR _61012_/Y sky130_fd_sc_hd__nor3_2
+XPHY_23772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_645_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43158_ _79028_/Q VGND VGND VPWR VPWR _45161_/A sky130_fd_sc_hd__buf_1
+XPHY_13260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78855_ _78849_/CLK _78855_/D VGND VGND VPWR VPWR _63370_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_233_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_370_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42109_ _42104_/Y _42109_/B _42109_/C VGND VGND VPWR VPWR _42109_/Y sky130_fd_sc_hd__nor3_2
+X_65820_ _80599_/Q _65820_/B VGND VGND VPWR VPWR _65821_/C sky130_fd_sc_hd__nor2_2
+XFILLER_649_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77806_ _77769_/CLK _77806_/D VGND VGND VPWR VPWR _60720_/A sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_8_193_0_u_core.clock clkbuf_7_96_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_387_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_190_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_141_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47966_ _47847_/A _47956_/X VGND VGND VPWR VPWR _47966_/X sky130_fd_sc_hd__or2_2
+XFILLER_452_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43089_ _79538_/Q VGND VGND VPWR VPWR _43089_/Y sky130_fd_sc_hd__inv_8
+X_78786_ _78251_/CLK _78786_/D VGND VGND VPWR VPWR _47672_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_12570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_550_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75998_ _75998_/A VGND VGND VPWR VPWR _76007_/B sky130_fd_sc_hd__buf_1
+XFILLER_64_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_684_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49705_ _49676_/X _49705_/B VGND VGND VPWR VPWR _49705_/X sky130_fd_sc_hd__or2_2
+XFILLER_151_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_430_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46917_ _46917_/A _46917_/B _46917_/C VGND VGND VPWR VPWR _46917_/X sky130_fd_sc_hd__or3_2
+XFILLER_680_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77737_ _77235_/CLK _51722_/Y VGND VGND VPWR VPWR _77737_/Q sky130_fd_sc_hd__dfxtp_4
+X_65751_ _65751_/A _65596_/B VGND VGND VPWR VPWR _65751_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_272_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_29_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62963_ _49309_/A _62963_/B VGND VGND VPWR VPWR _62964_/C sky130_fd_sc_hd__nor2_2
+XFILLER_610_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74949_ _74965_/A _74952_/B _69297_/A VGND VGND VPWR VPWR _74949_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_687_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47897_ _47913_/B VGND VGND VPWR VPWR _47910_/B sky130_fd_sc_hd__buf_1
+XPHY_11880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_256_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_446_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_674_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_665_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_387_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64702_ _66381_/A VGND VGND VPWR VPWR _65392_/A sky130_fd_sc_hd__buf_1
+XFILLER_528_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_96_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61914_ _49969_/A _61759_/B VGND VGND VPWR VPWR _61916_/B sky130_fd_sc_hd__nor2_2
+X_49636_ _49634_/Y _49635_/X VGND VGND VPWR VPWR _78287_/D sky130_fd_sc_hd__nand2_2
+XFILLER_661_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68470_ _80039_/Q _68297_/X VGND VGND VPWR VPWR _68471_/C sky130_fd_sc_hd__nor2_2
+XFILLER_211_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_481_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46848_ _46848_/A _46848_/B VGND VGND VPWR VPWR _46852_/A sky130_fd_sc_hd__or2_2
+X_65682_ _65682_/A _65682_/B VGND VGND VPWR VPWR _65684_/B sky130_fd_sc_hd__nor2_2
+XFILLER_95_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77668_ _77628_/CLK _51978_/Y VGND VGND VPWR VPWR _61620_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_348_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_643_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62894_ _62894_/A _62894_/B VGND VGND VPWR VPWR _62894_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_68_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_618_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_88_0_u_core.clock clkbuf_8_88_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_88_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_417_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_485_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67421_ _67263_/X _67419_/Y _67420_/Y VGND VGND VPWR VPWR _67421_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_0_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79407_ _79500_/CLK _43222_/Y VGND VGND VPWR VPWR _79407_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_4_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64633_ _64633_/A _64622_/Y _64632_/Y VGND VGND VPWR VPWR _64633_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_42_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76619_ VGND VGND VPWR VPWR _76619_/HI la_data_out[115] sky130_fd_sc_hd__conb_1
+XFILLER_545_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61845_ _61845_/A _62470_/B VGND VGND VPWR VPWR _61845_/Y sky130_fd_sc_hd__nor2_2
+X_49567_ _49558_/A VGND VGND VPWR VPWR _49577_/B sky130_fd_sc_hd__buf_1
+XFILLER_231_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46779_ _46713_/B VGND VGND VPWR VPWR _46780_/B sky130_fd_sc_hd__inv_8
+XFILLER_498_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77599_ _77606_/CLK _52243_/Y VGND VGND VPWR VPWR _52241_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_583_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_20_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_37_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_309_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39320_ _39317_/A _39317_/B _80157_/Q VGND VGND VPWR VPWR _39320_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_111_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_236_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48518_ _48257_/A _49254_/B VGND VGND VPWR VPWR _48518_/X sky130_fd_sc_hd__or2_2
+XFILLER_3_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67352_ _67352_/A _67198_/Y _67352_/C _67351_/Y VGND VGND VPWR VPWR _76702_/D sky130_fd_sc_hd__or4_2
+X_79338_ _81263_/CLK _43477_/Y VGND VGND VPWR VPWR _56482_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_146_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_166_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64564_ _66873_/A VGND VGND VPWR VPWR _67005_/A sky130_fd_sc_hd__buf_1
+XFILLER_441_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61776_ _61776_/A VGND VGND VPWR VPWR _62404_/A sky130_fd_sc_hd__buf_1
+X_49498_ _78322_/Q _49509_/B VGND VGND VPWR VPWR _49498_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_643_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66303_ _66132_/X _66300_/Y _66302_/Y VGND VGND VPWR VPWR _66303_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_389_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39251_ _74820_/A VGND VGND VPWR VPWR _39254_/A sky130_fd_sc_hd__buf_1
+XFILLER_64_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_440_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_36_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63515_ _63203_/X _63513_/Y _63514_/Y VGND VGND VPWR VPWR _63515_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_409_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_601_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60727_ _60101_/A _60727_/B _60726_/Y VGND VGND VPWR VPWR _60728_/B sky130_fd_sc_hd__nor3_2
+X_48449_ _48420_/A _48423_/X VGND VGND VPWR VPWR _48449_/X sky130_fd_sc_hd__or2_2
+XFILLER_52_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_500_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67283_ _41450_/C _67780_/B VGND VGND VPWR VPWR _67284_/C sky130_fd_sc_hd__nor2_2
+XFILLER_504_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79269_ _79298_/CLK _79269_/D VGND VGND VPWR VPWR _44064_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_127_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64495_ _64495_/A VGND VGND VPWR VPWR _65905_/A sky130_fd_sc_hd__buf_1
+XFILLER_559_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_225_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38202_ _76403_/A VGND VGND VPWR VPWR _38206_/B sky130_fd_sc_hd__buf_1
+XFILLER_539_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69022_ _68540_/A _69022_/B _69021_/Y VGND VGND VPWR VPWR _69038_/A sky130_fd_sc_hd__nor3_2
+XFILLER_498_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81300_ _81106_/CLK _81300_/D VGND VGND VPWR VPWR _81300_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_659_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_639_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66234_ _66557_/A _66232_/Y _66233_/Y VGND VGND VPWR VPWR _66238_/B sky130_fd_sc_hd__nor3_2
+XFILLER_299_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39182_ _39195_/A _39195_/B _57574_/A VGND VGND VPWR VPWR _39182_/Y sky130_fd_sc_hd__nand3_2
+X_51460_ _51516_/A _51450_/X VGND VGND VPWR VPWR _51461_/B sky130_fd_sc_hd__or2_2
+XFILLER_205_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_400_327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63446_ _63446_/A _63123_/X VGND VGND VPWR VPWR _63448_/B sky130_fd_sc_hd__nor2_2
+XFILLER_539_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60658_ _60658_/A _60187_/B VGND VGND VPWR VPWR _60658_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_324_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_320_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_377_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_71_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_1467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_307_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_225_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_719_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_691_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50411_ _50409_/X _50410_/X VGND VGND VPWR VPWR _50411_/X sky130_fd_sc_hd__or2_2
+X_81231_ _81228_/CLK _73259_/Y VGND VGND VPWR VPWR _64222_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_221_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66165_ _79993_/Q _66495_/B VGND VGND VPWR VPWR _66167_/B sky130_fd_sc_hd__nor2_2
+XFILLER_400_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51391_ _51391_/A _51391_/B VGND VGND VPWR VPWR _51391_/X sky130_fd_sc_hd__or2_2
+XFILLER_676_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63377_ _63377_/A _63530_/B VGND VGND VPWR VPWR _63377_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_393_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60589_ _60434_/A _60589_/B _60588_/Y VGND VGND VPWR VPWR _60594_/B sky130_fd_sc_hd__nor3_2
+XFILLER_672_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_437_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_394_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53130_ _60620_/A _53133_/B VGND VGND VPWR VPWR _53130_/Y sky130_fd_sc_hd__nand2_2
+X_65116_ _65116_/A _64781_/B VGND VGND VPWR VPWR _65118_/B sky130_fd_sc_hd__nor2_2
+XFILLER_650_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_366_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50342_ _50340_/A VGND VGND VPWR VPWR _50343_/B sky130_fd_sc_hd__buf_1
+XFILLER_381_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_353_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_365_264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62328_ _59676_/A VGND VGND VPWR VPWR _62967_/A sky130_fd_sc_hd__buf_1
+X_81162_ _81162_/CLK _81162_/D VGND VGND VPWR VPWR _68891_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_542_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_716_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66096_ _81049_/Q _65601_/B VGND VGND VPWR VPWR _66098_/B sky130_fd_sc_hd__nor2_2
+XFILLER_101_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_88_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_470_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80113_ _79902_/CLK _80113_/D VGND VGND VPWR VPWR _56519_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_11_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53061_ _77378_/Q _53061_/B VGND VGND VPWR VPWR _53064_/A sky130_fd_sc_hd__nand2_2
+XFILLER_88_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65047_ _65894_/A VGND VGND VPWR VPWR _65582_/A sky130_fd_sc_hd__buf_1
+XFILLER_470_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69924_ _55291_/A VGND VGND VPWR VPWR _69924_/Y sky130_fd_sc_hd__inv_8
+XFILLER_615_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50273_ _61925_/A _50273_/B VGND VGND VPWR VPWR _50277_/A sky130_fd_sc_hd__nand2_2
+XFILLER_101_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62259_ _78248_/Q _62259_/B VGND VGND VPWR VPWR _62259_/Y sky130_fd_sc_hd__nor2_2
+X_81093_ _81162_/CLK _81093_/D VGND VGND VPWR VPWR _68072_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_457_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_375_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_68_0_u_core.clock clkbuf_9_69_0_u_core.clock/A VGND VGND VPWR VPWR _77950_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_552_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_195_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52012_ _77658_/Q _52012_/B VGND VGND VPWR VPWR _52012_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_11_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_318_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80044_ _79947_/CLK _80044_/D VGND VGND VPWR VPWR _80044_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_318_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69855_ _69859_/A _74662_/C VGND VGND VPWR VPWR _69856_/B sky130_fd_sc_hd__or2_2
+XFILLER_153_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_435_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_127_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_361_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_290_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_47_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_160_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_86_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56820_ _56806_/X _56820_/B _56820_/C VGND VGND VPWR VPWR _56820_/Y sky130_fd_sc_hd__nor3_2
+XPHY_8806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68806_ _40153_/C _68302_/B VGND VGND VPWR VPWR _68806_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_668_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_278_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_511_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_134_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38966_ _38963_/A _38959_/X _38966_/C VGND VGND VPWR VPWR _38966_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_628_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69786_ _69770_/X VGND VGND VPWR VPWR _42129_/A sky130_fd_sc_hd__buf_1
+XFILLER_546_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66998_ _67655_/A _66996_/Y _66997_/Y VGND VGND VPWR VPWR _66998_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_216_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_251_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_59_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56751_ _65348_/A _56669_/B VGND VGND VPWR VPWR _56751_/Y sky130_fd_sc_hd__nor2_2
+X_68737_ _68906_/A _68737_/B _68737_/C VGND VGND VPWR VPWR _68738_/C sky130_fd_sc_hd__nor3_2
+X_53963_ _53963_/A _53963_/B VGND VGND VPWR VPWR _53963_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_60_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65949_ _65949_/A _65949_/B VGND VGND VPWR VPWR _65950_/C sky130_fd_sc_hd__nor2_2
+XFILLER_302_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38897_ _38900_/A _38900_/B _80262_/Q VGND VGND VPWR VPWR _38897_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_483_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_609_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_409_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_476_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_483_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55702_ _72022_/A _55401_/A VGND VGND VPWR VPWR _55719_/A sky130_fd_sc_hd__or2_2
+XFILLER_232_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_546_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52914_ _52911_/Y _52914_/B VGND VGND VPWR VPWR _77419_/D sky130_fd_sc_hd__nand2_2
+X_59470_ _59470_/A VGND VGND VPWR VPWR _59803_/A sky130_fd_sc_hd__buf_1
+XFILLER_5_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_369_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_47_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_60_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56682_ _39598_/C _56596_/B VGND VGND VPWR VPWR _56682_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_25_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_637_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68668_ _68497_/X _68665_/Y _68668_/C VGND VGND VPWR VPWR _68668_/Y sky130_fd_sc_hd__nor3_2
+X_80946_ _80912_/CLK _80946_/D VGND VGND VPWR VPWR _80946_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_429_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53894_ _53885_/A VGND VGND VPWR VPWR _53904_/B sky130_fd_sc_hd__buf_1
+XFILLER_112_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_624_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58421_ _58407_/Y _58421_/B VGND VGND VPWR VPWR _58421_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_214_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_661_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55633_ _71895_/A _55248_/B VGND VGND VPWR VPWR _55653_/A sky130_fd_sc_hd__nor2_2
+X_67619_ _68274_/A _67614_/Y _67618_/Y VGND VGND VPWR VPWR _67619_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_386_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_86_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_696_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52845_ _52817_/A _52829_/A VGND VGND VPWR VPWR _52845_/X sky130_fd_sc_hd__or2_2
+XFILLER_249_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_652_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_2993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80877_ _80714_/CLK _74677_/Y VGND VGND VPWR VPWR _74676_/C sky130_fd_sc_hd__dfxtp_4
+X_68599_ _58404_/A _68261_/X VGND VGND VPWR VPWR _68599_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_167_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_449_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_442_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39518_ _39518_/A VGND VGND VPWR VPWR _39529_/B sky130_fd_sc_hd__buf_1
+XFILLER_444_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58352_ _58352_/A _58352_/B _58352_/C VGND VGND VPWR VPWR _58353_/C sky130_fd_sc_hd__nor3_2
+X_70630_ _70630_/A _70629_/X VGND VGND VPWR VPWR _70631_/B sky130_fd_sc_hd__and2_2
+XPHY_28728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_227_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55564_ _71750_/A _55536_/B VGND VGND VPWR VPWR _55578_/A sky130_fd_sc_hd__or2_2
+XFILLER_407_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40790_ _40797_/A _40797_/B _68476_/A VGND VGND VPWR VPWR _40791_/B sky130_fd_sc_hd__nand3_2
+XFILLER_36_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52776_ _52776_/A VGND VGND VPWR VPWR _52893_/A sky130_fd_sc_hd__buf_1
+XFILLER_249_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_720_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57303_ _57217_/X _57301_/Y _57303_/C VGND VGND VPWR VPWR _57304_/C sky130_fd_sc_hd__nor3_2
+XFILLER_299_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54515_ _54486_/A _54490_/A VGND VGND VPWR VPWR _54516_/B sky130_fd_sc_hd__or2_2
+XFILLER_347_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_305_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51727_ _77735_/Q _51712_/B VGND VGND VPWR VPWR _51730_/A sky130_fd_sc_hd__nand2_2
+XFILLER_481_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39449_ _39442_/A _39438_/B _57331_/A VGND VGND VPWR VPWR _39450_/B sky130_fd_sc_hd__nand3_2
+XFILLER_404_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70561_ _70053_/A _70560_/X VGND VGND VPWR VPWR _70563_/A sky130_fd_sc_hd__nor2_2
+X_58283_ _80135_/Q _58201_/B VGND VGND VPWR VPWR _58283_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_431_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_696_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_678_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55495_ _55606_/A VGND VGND VPWR VPWR _55559_/A sky130_fd_sc_hd__buf_1
+XFILLER_321_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_54_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72300_ _72300_/A _72299_/Y VGND VGND VPWR VPWR _72300_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_442_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_376_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57234_ _80026_/Q _57151_/X VGND VGND VPWR VPWR _57236_/B sky130_fd_sc_hd__nor2_2
+XFILLER_54_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_39_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42460_ _42586_/A _72062_/X _72066_/X _42587_/A VGND VGND VPWR VPWR _42460_/X sky130_fd_sc_hd__o22a_4
+XFILLER_497_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54446_ _54474_/A _54455_/B VGND VGND VPWR VPWR _54447_/B sky130_fd_sc_hd__or2_2
+XFILLER_70_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73280_ _73354_/A VGND VGND VPWR VPWR _73284_/B sky130_fd_sc_hd__buf_1
+XFILLER_505_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51658_ _51655_/Y _51658_/B VGND VGND VPWR VPWR _77754_/D sky130_fd_sc_hd__nand2_2
+XPHY_16814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70492_ _42128_/A VGND VGND VPWR VPWR _70492_/Y sky130_fd_sc_hd__inv_8
+XFILLER_494_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_243_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_243_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_639_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_369_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41411_ _41022_/X _41408_/B VGND VGND VPWR VPWR _41411_/X sky130_fd_sc_hd__or2_2
+XPHY_34204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72231_ _74058_/A VGND VGND VPWR VPWR _72893_/A sky130_fd_sc_hd__buf_1
+XFILLER_278_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50609_ _50609_/A _50609_/B VGND VGND VPWR VPWR _50609_/Y sky130_fd_sc_hd__nand2_2
+X_57165_ _57083_/A _57165_/B VGND VGND VPWR VPWR _57165_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_356_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81429_ _81398_/CLK _81429_/D VGND VGND VPWR VPWR _65454_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_1733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_518_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_497_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54377_ _54467_/A _54396_/B VGND VGND VPWR VPWR _54378_/B sky130_fd_sc_hd__or2_2
+XPHY_16858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42391_ _42305_/Y _42391_/B VGND VGND VPWR VPWR _42392_/C sky130_fd_sc_hd__nor2_2
+XFILLER_592_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51589_ _51589_/A _51588_/X VGND VGND VPWR VPWR _51589_/Y sky130_fd_sc_hd__nand2_2
+XPHY_1744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_168_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_401_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_694_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44130_ _44123_/X _44127_/Y _68181_/A _44129_/Y VGND VGND VPWR VPWR _44131_/B sky130_fd_sc_hd__o22a_4
+XFILLER_291_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56116_ _56116_/A _56116_/B _56116_/C VGND VGND VPWR VPWR wbs_dat_o[20] sky130_fd_sc_hd__nor3_2
+XFILLER_557_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53328_ _53631_/A VGND VGND VPWR VPWR _53451_/A sky130_fd_sc_hd__buf_1
+X_41342_ _41341_/X _41332_/X _57533_/A VGND VGND VPWR VPWR _41343_/B sky130_fd_sc_hd__nand3_2
+XPHY_34259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72162_ _72154_/X _72161_/Y VGND VGND VPWR VPWR _81486_/D sky130_fd_sc_hd__nand2_2
+XFILLER_577_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_718_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57096_ _80280_/Q _56945_/B VGND VGND VPWR VPWR _57096_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_376_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_516_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71113_ _71385_/A _71113_/B VGND VGND VPWR VPWR _71113_/Y sky130_fd_sc_hd__nor2_2
+XPHY_32813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44061_ _44071_/A _44063_/A VGND VGND VPWR VPWR _44061_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_13_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_256_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_1472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56047_ _45197_/B _56041_/B VGND VGND VPWR VPWR _56048_/C sky130_fd_sc_hd__nor2_2
+XFILLER_538_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41273_ _41275_/A VGND VGND VPWR VPWR _41274_/B sky130_fd_sc_hd__buf_1
+XFILLER_10_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53259_ _53259_/A VGND VGND VPWR VPWR _53269_/B sky130_fd_sc_hd__buf_1
+XFILLER_393_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_633_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76970_ _76939_/CLK _76970_/D VGND VGND VPWR VPWR _76970_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_371_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_72093_ _71089_/A _72092_/Y _71082_/A _70820_/X VGND VGND VPWR VPWR _72094_/B sky130_fd_sc_hd__o22a_4
+XPHY_23046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_178_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_202_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_100_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_688_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43012_ _46085_/B VGND VGND VPWR VPWR _43013_/B sky130_fd_sc_hd__inv_8
+XFILLER_139_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_1376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40224_ _40188_/A VGND VGND VPWR VPWR _40225_/B sky130_fd_sc_hd__buf_1
+XFILLER_358_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75921_ _75908_/A _75908_/B _75921_/C VGND VGND VPWR VPWR _75922_/B sky130_fd_sc_hd__nand3_2
+XFILLER_570_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71044_ _71041_/Y _71042_/Y _42167_/A VGND VGND VPWR VPWR _71044_/X sky130_fd_sc_hd__and3_2
+XFILLER_174_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_26_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_1535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_702_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_87_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47820_ _47820_/A _47886_/B VGND VGND VPWR VPWR _47821_/B sky130_fd_sc_hd__or2_2
+XPHY_22378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59806_ _59487_/A _59806_/B _59806_/C VGND VGND VPWR VPWR _59813_/B sky130_fd_sc_hd__nor3_2
+XFILLER_174_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78640_ _78638_/CLK _48247_/Y VGND VGND VPWR VPWR _48245_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_69_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40155_ _40155_/A _40142_/B VGND VGND VPWR VPWR _40155_/X sky130_fd_sc_hd__or2_2
+XFILLER_332_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75852_ _75888_/A VGND VGND VPWR VPWR _75866_/B sky130_fd_sc_hd__buf_1
+XPHY_21644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_488_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_156_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57998_ _57988_/Y _57997_/Y VGND VGND VPWR VPWR _57999_/B sky130_fd_sc_hd__nor2_2
+XFILLER_412_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_485_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_310_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_688_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_156_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74803_ _75409_/A _74668_/X VGND VGND VPWR VPWR _74805_/A sky130_fd_sc_hd__or2_2
+XFILLER_467_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_439_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47751_ _47751_/A _47750_/X VGND VGND VPWR VPWR _47751_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_254_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59737_ _53517_/A _59573_/B VGND VGND VPWR VPWR _59738_/C sky130_fd_sc_hd__nor2_2
+XFILLER_26_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78571_ _78561_/CLK _48527_/Y VGND VGND VPWR VPWR _63862_/A sky130_fd_sc_hd__dfxtp_4
+X_40086_ _40068_/A VGND VGND VPWR VPWR _40087_/B sky130_fd_sc_hd__buf_1
+X_44963_ _44923_/X _44962_/Y VGND VGND VPWR VPWR _44963_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_447_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56949_ _56611_/A _56949_/B VGND VGND VPWR VPWR _56950_/C sky130_fd_sc_hd__nor2_2
+XPHY_20954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75783_ _75904_/A _75780_/B VGND VGND VPWR VPWR _75786_/A sky130_fd_sc_hd__or2_2
+XPHY_21699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_388_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_24_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72995_ _72993_/Y _72995_/B VGND VGND VPWR VPWR _72995_/Y sky130_fd_sc_hd__nand2_2
+XPHY_20965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_510_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_265_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46702_ _46170_/B _46702_/B VGND VGND VPWR VPWR _46703_/B sky130_fd_sc_hd__or2_2
+XFILLER_120_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_77_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77522_ _78006_/CLK _77522_/D VGND VGND VPWR VPWR _52530_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_616_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43914_ _43717_/X VGND VGND VPWR VPWR _43923_/A sky130_fd_sc_hd__inv_8
+Xclkbuf_9_212_0_u_core.clock clkbuf_9_213_0_u_core.clock/A VGND VGND VPWR VPWR _79302_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_215_1478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_111_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74734_ _75355_/A _74747_/B VGND VGND VPWR VPWR _74736_/A sky130_fd_sc_hd__or2_2
+XFILLER_293_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_77_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47682_ _47997_/A VGND VGND VPWR VPWR _47776_/A sky130_fd_sc_hd__buf_1
+X_71946_ _39230_/A VGND VGND VPWR VPWR _71947_/B sky130_fd_sc_hd__buf_1
+X_59668_ _59668_/A VGND VGND VPWR VPWR _59669_/B sky130_fd_sc_hd__buf_1
+XPHY_10475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44894_ _44894_/A _44525_/A _44894_/C VGND VGND VPWR VPWR _44895_/B sky130_fd_sc_hd__nor3_2
+XFILLER_277_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_387_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_486_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49421_ _49421_/A _49424_/B VGND VGND VPWR VPWR _49423_/A sky130_fd_sc_hd__nand2_2
+XFILLER_447_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46633_ _46628_/Y _46629_/Y _46631_/Y _46633_/D VGND VGND VPWR VPWR _46633_/X sky130_fd_sc_hd__or4_2
+X_58619_ _58753_/A _58619_/B _58619_/C VGND VGND VPWR VPWR _58620_/C sky130_fd_sc_hd__nor3_2
+XFILLER_98_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77453_ _77438_/CLK _52790_/Y VGND VGND VPWR VPWR _60420_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_78_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43845_ _74992_/A _43787_/X VGND VGND VPWR VPWR _43846_/C sky130_fd_sc_hd__nor2_2
+XFILLER_92_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74665_ _75827_/A _74665_/B VGND VGND VPWR VPWR _74696_/A sky130_fd_sc_hd__or2_2
+XFILLER_562_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_725_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59599_ _59440_/A _59599_/B _59598_/Y VGND VGND VPWR VPWR _59599_/Y sky130_fd_sc_hd__nor3_2
+X_71877_ _71757_/A _71844_/X _71876_/Y VGND VGND VPWR VPWR _71877_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_203_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_381_0_u_core.clock clkbuf_9_381_0_u_core.clock/A VGND VGND VPWR VPWR _77679_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_464_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76404_ _76397_/A _76419_/B _80447_/Q VGND VGND VPWR VPWR _76404_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_441_216 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_20_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61630_ _51620_/A _61159_/B VGND VGND VPWR VPWR _61632_/B sky130_fd_sc_hd__nor2_2
+X_49352_ _49352_/A VGND VGND VPWR VPWR _51161_/A sky130_fd_sc_hd__buf_1
+XPHY_29952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73616_ _73979_/A _73509_/X VGND VGND VPWR VPWR _73618_/A sky130_fd_sc_hd__or2_2
+XFILLER_189_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46564_ _46313_/X VGND VGND VPWR VPWR _46567_/A sky130_fd_sc_hd__buf_1
+XFILLER_111_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70828_ _70828_/A _70827_/Y _70725_/C VGND VGND VPWR VPWR _70829_/B sky130_fd_sc_hd__or3_2
+XFILLER_480_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77384_ _77755_/CLK _53042_/Y VGND VGND VPWR VPWR _53040_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_249_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43776_ _43812_/A _43776_/B VGND VGND VPWR VPWR _79298_/D sky130_fd_sc_hd__nor2_2
+XPHY_19440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74596_ _74596_/A _74596_/B VGND VGND VPWR VPWR _74596_/X sky130_fd_sc_hd__or2_2
+XFILLER_304_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40988_ _40988_/A VGND VGND VPWR VPWR _41005_/A sky130_fd_sc_hd__buf_1
+XFILLER_37_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48303_ _47320_/A VGND VGND VPWR VPWR _48931_/A sky130_fd_sc_hd__buf_1
+XPHY_19462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79123_ _76700_/CLK _79123_/D VGND VGND VPWR VPWR _42673_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_677_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_61_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45515_ _45520_/A _45515_/B _45514_/Y VGND VGND VPWR VPWR _45515_/Y sky130_fd_sc_hd__nor3_2
+XPHY_29996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76335_ _76334_/X _76321_/X _80465_/Q VGND VGND VPWR VPWR _76335_/Y sky130_fd_sc_hd__nand3_2
+XPHY_5093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42727_ _42682_/X _70627_/Y _70635_/Y _42683_/X VGND VGND VPWR VPWR _42727_/X sky130_fd_sc_hd__o22a_4
+XFILLER_595_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73547_ _73545_/X _73546_/Y VGND VGND VPWR VPWR _81157_/D sky130_fd_sc_hd__nand2_2
+X_49283_ _47945_/A _48576_/X VGND VGND VPWR VPWR _55019_/A sky130_fd_sc_hd__or2_2
+X_61561_ _59645_/A VGND VGND VPWR VPWR _61562_/B sky130_fd_sc_hd__buf_1
+XFILLER_61_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_621_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_328_0_u_core.clock clkbuf_9_328_0_u_core.clock/A VGND VGND VPWR VPWR _78675_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_343_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46495_ _42382_/X VGND VGND VPWR VPWR _46495_/X sky130_fd_sc_hd__buf_1
+X_70759_ _70632_/C _70758_/X VGND VGND VPWR VPWR _70763_/A sky130_fd_sc_hd__or2_2
+XANTENNA_250 _38562_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_501_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_261 _39804_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_206_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63300_ _78583_/Q _62988_/B VGND VGND VPWR VPWR _63300_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_222_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_272 _43486_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_638_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60512_ _60352_/A _60512_/B _60512_/C VGND VGND VPWR VPWR _60516_/B sky130_fd_sc_hd__nor3_2
+X_48234_ _48234_/A _48234_/B VGND VGND VPWR VPWR _78644_/D sky130_fd_sc_hd__nand2_2
+XFILLER_363_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79054_ _76848_/CLK _45976_/Y VGND VGND VPWR VPWR _45974_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_441_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45446_ _45409_/X _45446_/B _45445_/Y VGND VGND VPWR VPWR _79157_/D sky130_fd_sc_hd__nor3_2
+XANTENNA_283 _44449_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64280_ _66654_/A VGND VGND VPWR VPWR _69110_/A sky130_fd_sc_hd__buf_1
+X_76266_ _76284_/A VGND VGND VPWR VPWR _76281_/B sky130_fd_sc_hd__buf_1
+XFILLER_579_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42658_ _42636_/A _42658_/B VGND VGND VPWR VPWR _42658_/X sky130_fd_sc_hd__and2_2
+XFILLER_692_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61492_ _61180_/A _61490_/Y _61492_/C VGND VGND VPWR VPWR _61492_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_634_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73478_ _75035_/A _73485_/B VGND VGND VPWR VPWR _73478_/X sky130_fd_sc_hd__or2_2
+XANTENNA_294 _49243_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_324_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78005_ _77863_/CLK _78005_/D VGND VGND VPWR VPWR _61710_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_3691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_714_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63231_ _63231_/A _63231_/B VGND VGND VPWR VPWR _63231_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_261_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75217_ _74711_/A _75231_/B VGND VGND VPWR VPWR _75217_/X sky130_fd_sc_hd__or2_2
+XFILLER_501_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41609_ _41536_/X VGND VGND VPWR VPWR _41624_/B sky130_fd_sc_hd__buf_1
+XFILLER_35_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_36184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48165_ _48162_/Y _48164_/X VGND VGND VPWR VPWR _48165_/Y sky130_fd_sc_hd__nand2_2
+X_60443_ _51969_/A _60281_/B VGND VGND VPWR VPWR _60444_/C sky130_fd_sc_hd__nor2_2
+XFILLER_20_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_497_0_u_core.clock clkbuf_9_497_0_u_core.clock/A VGND VGND VPWR VPWR _80535_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_72429_ _72429_/A _72429_/B _81433_/Q VGND VGND VPWR VPWR _72429_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_726_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45377_ _45171_/A _45371_/Y _45376_/Y VGND VGND VPWR VPWR _45378_/B sky130_fd_sc_hd__or3_2
+XPHY_36195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76197_ _38205_/A _76184_/B VGND VGND VPWR VPWR _76197_/X sky130_fd_sc_hd__or2_2
+XFILLER_347_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_458_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42589_ _42589_/A VGND VGND VPWR VPWR _42589_/X sky130_fd_sc_hd__buf_1
+XFILLER_378_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_673_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_147_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47116_ _46371_/B _47172_/A VGND VGND VPWR VPWR _47116_/X sky130_fd_sc_hd__or2_2
+XFILLER_638_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_274_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44328_ _44407_/A VGND VGND VPWR VPWR _44402_/A sky130_fd_sc_hd__inv_8
+XFILLER_654_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75148_ _75111_/X VGND VGND VPWR VPWR _75149_/A sky130_fd_sc_hd__buf_1
+X_63162_ _61600_/A VGND VGND VPWR VPWR _63325_/B sky130_fd_sc_hd__buf_1
+XFILLER_497_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_725_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48096_ _48037_/A _48093_/B VGND VGND VPWR VPWR _48097_/B sky130_fd_sc_hd__or2_2
+X_60374_ _60536_/A _60374_/B VGND VGND VPWR VPWR _60416_/B sky130_fd_sc_hd__nor2_2
+XFILLER_157_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_70_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_105_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_524_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_419_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_362_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_591_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62113_ _62113_/A _61801_/B VGND VGND VPWR VPWR _62113_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_419_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47047_ _47046_/X _71897_/B _71837_/B _47021_/X VGND VGND VPWR VPWR _47047_/X sky130_fd_sc_hd__o22a_4
+XPHY_34793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44259_ _44256_/Y _44259_/B VGND VGND VPWR VPWR _44259_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_493_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_474_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_439_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67970_ _58083_/A _67306_/X VGND VGND VPWR VPWR _67971_/C sky130_fd_sc_hd__nor2_2
+X_63093_ _63093_/A _62944_/B VGND VGND VPWR VPWR _63093_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_196_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75079_ _75322_/A _75090_/B VGND VGND VPWR VPWR _75081_/A sky130_fd_sc_hd__or2_2
+XFILLER_436_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79956_ _79925_/CLK _79956_/D VGND VGND VPWR VPWR _65337_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_316_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_615_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_632_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_651_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_551_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66921_ _66251_/X _66917_/Y _66921_/C VGND VGND VPWR VPWR _66930_/B sky130_fd_sc_hd__nor3_2
+XFILLER_436_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_316_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62044_ _62044_/A _62044_/B _62044_/C VGND VGND VPWR VPWR _62048_/B sky130_fd_sc_hd__nor3_2
+X_78907_ _78903_/CLK _78907_/D VGND VGND VPWR VPWR _46318_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_256_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79887_ _79907_/CLK _40366_/Y VGND VGND VPWR VPWR _64328_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_350_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38820_ _38809_/A _38820_/B _80282_/Q VGND VGND VPWR VPWR _38821_/B sky130_fd_sc_hd__nand3_2
+XFILLER_331_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69640_ _69705_/B _69640_/B VGND VGND VPWR VPWR _69641_/B sky130_fd_sc_hd__or2_2
+X_66852_ _39048_/C _66684_/B VGND VGND VPWR VPWR _66853_/C sky130_fd_sc_hd__nor2_2
+XPHY_13090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_303_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78838_ _78840_/CLK _78838_/D VGND VGND VPWR VPWR _63097_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_569_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_550_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48998_ _78449_/Q _48987_/B VGND VGND VPWR VPWR _48998_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_69_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65803_ _57056_/A _65485_/B VGND VGND VPWR VPWR _65803_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_645_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38751_ _38678_/A VGND VGND VPWR VPWR _38768_/A sky130_fd_sc_hd__buf_1
+XFILLER_430_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69571_ _69569_/A VGND VGND VPWR VPWR _69572_/A sky130_fd_sc_hd__inv_8
+XFILLER_211_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47949_ _47972_/B VGND VGND VPWR VPWR _47971_/B sky130_fd_sc_hd__buf_1
+XFILLER_275_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_48_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66783_ _66783_/A _66461_/B VGND VGND VPWR VPWR _66783_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_229_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_244_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78769_ _78766_/CLK _78769_/D VGND VGND VPWR VPWR _63639_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_391_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63995_ _58979_/A _63991_/Y _63994_/Y VGND VGND VPWR VPWR _63995_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_430_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68522_ _80648_/Q _68348_/B VGND VGND VPWR VPWR _68524_/B sky130_fd_sc_hd__nor2_2
+X_80800_ _80751_/CLK _75000_/Y VGND VGND VPWR VPWR _67216_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_42_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_721_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65734_ _65734_/A _65734_/B _65734_/C VGND VGND VPWR VPWR _65734_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_367_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_133_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38682_ _38682_/A VGND VGND VPWR VPWR _38689_/B sky130_fd_sc_hd__buf_1
+X_50960_ _50958_/Y _50959_/X VGND VGND VPWR VPWR _50960_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_289_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62946_ _62624_/X _62946_/B _62945_/Y VGND VGND VPWR VPWR _62957_/B sky130_fd_sc_hd__nor3_2
+XFILLER_9_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_211_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_563_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_445_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_430_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_84_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_168_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_266_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_211_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_645_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49619_ _49619_/A _49634_/B VGND VGND VPWR VPWR _49620_/B sky130_fd_sc_hd__or2_2
+X_80731_ _80734_/CLK _75258_/Y VGND VGND VPWR VPWR _80731_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_627_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68453_ _58300_/A _68788_/B VGND VGND VPWR VPWR _68454_/C sky130_fd_sc_hd__nor2_2
+XFILLER_528_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_95_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65665_ _38954_/C _66326_/B VGND VGND VPWR VPWR _65667_/B sky130_fd_sc_hd__nor2_2
+XFILLER_383_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50891_ _50919_/A _50900_/B VGND VGND VPWR VPWR _50892_/B sky130_fd_sc_hd__or2_2
+XFILLER_110_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62877_ _62877_/A _62868_/Y _62876_/Y VGND VGND VPWR VPWR _62877_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_460_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_272_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_541_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67404_ _80897_/Q _67747_/B VGND VGND VPWR VPWR _67406_/B sky130_fd_sc_hd__nor2_2
+XFILLER_472_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52630_ _77495_/Q _52638_/B VGND VGND VPWR VPWR _52630_/Y sky130_fd_sc_hd__nand2_2
+X_64616_ _64613_/X _64614_/Y _64615_/Y VGND VGND VPWR VPWR _64622_/B sky130_fd_sc_hd__nor3_2
+XFILLER_481_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61828_ _61828_/A _61203_/B VGND VGND VPWR VPWR _61829_/C sky130_fd_sc_hd__nor2_2
+X_80662_ _80630_/CLK _75550_/Y VGND VGND VPWR VPWR _65560_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_604_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68384_ _68384_/A _68384_/B VGND VGND VPWR VPWR _68385_/B sky130_fd_sc_hd__nor2_2
+XFILLER_365_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_326_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65596_ _65596_/A _65596_/B VGND VGND VPWR VPWR _65596_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_25_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_271_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_361_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_246_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39303_ _39301_/X _39303_/B VGND VGND VPWR VPWR _39303_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_442_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67335_ _80128_/Q _67335_/B VGND VGND VPWR VPWR _67337_/B sky130_fd_sc_hd__nor2_2
+XFILLER_3_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52561_ _52561_/A _52560_/X VGND VGND VPWR VPWR _77514_/D sky130_fd_sc_hd__nand2_2
+X_64547_ _68894_/A VGND VGND VPWR VPWR _64750_/B sky130_fd_sc_hd__buf_1
+XFILLER_541_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61759_ _78197_/Q _61759_/B VGND VGND VPWR VPWR _61761_/B sky130_fd_sc_hd__nor2_2
+X_80593_ _80495_/CLK _80593_/D VGND VGND VPWR VPWR _75817_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_329_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_575_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54300_ _54240_/X _54300_/B VGND VGND VPWR VPWR _54300_/X sky130_fd_sc_hd__or2_2
+XFILLER_307_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_251_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39234_ _76205_/A VGND VGND VPWR VPWR _39235_/A sky130_fd_sc_hd__buf_1
+XFILLER_358_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51512_ _77792_/Q _51497_/X VGND VGND VPWR VPWR _51512_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_36_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55280_ _43484_/A _55280_/B _55279_/Y VGND VGND VPWR VPWR _55404_/B sky130_fd_sc_hd__or3_2
+XFILLER_196_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67266_ _67263_/X _67264_/Y _67265_/Y VGND VGND VPWR VPWR _67266_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_145_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_559_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52492_ _52489_/Y _52491_/X VGND VGND VPWR VPWR _77533_/D sky130_fd_sc_hd__nand2_2
+X_64478_ _80528_/Q _64477_/X VGND VGND VPWR VPWR _64482_/B sky130_fd_sc_hd__nor2_2
+XFILLER_401_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_123_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_539_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69005_ _69005_/A _68514_/X VGND VGND VPWR VPWR _69007_/B sky130_fd_sc_hd__nor2_2
+XPHY_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_178_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54231_ _54076_/A VGND VGND VPWR VPWR _54231_/X sky130_fd_sc_hd__buf_1
+X_66217_ _66217_/A VGND VGND VPWR VPWR _66540_/B sky130_fd_sc_hd__buf_1
+XFILLER_593_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51443_ _51466_/B VGND VGND VPWR VPWR _51465_/B sky130_fd_sc_hd__buf_1
+XPHY_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39165_ _39165_/A VGND VGND VPWR VPWR _39167_/A sky130_fd_sc_hd__buf_1
+X_63429_ _78368_/Q _63837_/B VGND VGND VPWR VPWR _63430_/C sky130_fd_sc_hd__nor2_2
+XPHY_15409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67197_ _44679_/A _66861_/X VGND VGND VPWR VPWR _67352_/A sky130_fd_sc_hd__nor2_2
+XFILLER_558_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_617_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_539_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_479_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_691_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81214_ _81190_/CLK _73330_/Y VGND VGND VPWR VPWR _66932_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_719_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54162_ _54164_/A VGND VGND VPWR VPWR _54174_/B sky130_fd_sc_hd__buf_1
+XFILLER_140_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66148_ _80953_/Q _65981_/B VGND VGND VPWR VPWR _66150_/B sky130_fd_sc_hd__nor2_2
+XFILLER_715_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39096_ _39096_/A _39095_/Y VGND VGND VPWR VPWR _39096_/Y sky130_fd_sc_hd__nand2_2
+X_51374_ _61498_/A _51369_/X VGND VGND VPWR VPWR _51374_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_359_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53113_ _53113_/A _53113_/B VGND VGND VPWR VPWR _77364_/D sky130_fd_sc_hd__nand2_2
+XFILLER_320_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_295_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50325_ _50261_/A _50334_/B VGND VGND VPWR VPWR _50326_/B sky130_fd_sc_hd__or2_2
+XFILLER_296_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_6_46_0_u_core.clock ANTENNA_559/DIODE VGND VGND VPWR VPWR clkbuf_7_93_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_81145_ _81144_/CLK _81145_/D VGND VGND VPWR VPWR _81145_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_454_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_630_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54093_ _54093_/A _54083_/B VGND VGND VPWR VPWR _54093_/X sky130_fd_sc_hd__or2_2
+XFILLER_353_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58970_ _59073_/A VGND VGND VPWR VPWR _58971_/A sky130_fd_sc_hd__buf_1
+X_66079_ _66562_/A _66079_/B _66079_/C VGND VGND VPWR VPWR _66090_/B sky130_fd_sc_hd__nor3_2
+XFILLER_552_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_192_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_716_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_336_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_703_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_341_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_688_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_615_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57921_ _67606_/A _58164_/B VGND VGND VPWR VPWR _57921_/Y sky130_fd_sc_hd__nor2_2
+XPHY_31419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53044_ _52929_/X _53047_/B VGND VGND VPWR VPWR _53044_/X sky130_fd_sc_hd__or2_2
+X_69907_ _69910_/A _69907_/B VGND VGND VPWR VPWR _69907_/X sky130_fd_sc_hd__or2_2
+XFILLER_710_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_513_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50256_ _50256_/A _50261_/B VGND VGND VPWR VPWR _50256_/X sky130_fd_sc_hd__or2_2
+X_81076_ _81047_/CLK _81076_/D VGND VGND VPWR VPWR _65262_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_238_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_175_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_496_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80027_ _80027_/CLK _39830_/Y VGND VGND VPWR VPWR _80027_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_513_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57852_ _58169_/A _57852_/B _57851_/Y VGND VGND VPWR VPWR _57852_/Y sky130_fd_sc_hd__nor3_2
+XPHY_30729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_322_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69838_ _69593_/A VGND VGND VPWR VPWR _55901_/A sky130_fd_sc_hd__buf_1
+XFILLER_650_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50187_ _50184_/Y _50186_/X VGND VGND VPWR VPWR _78140_/D sky130_fd_sc_hd__nand2_2
+XPHY_20206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39998_ _39963_/A VGND VGND VPWR VPWR _39999_/A sky130_fd_sc_hd__buf_1
+XPHY_8614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_47_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_216_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_568_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_350_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56803_ _65295_/A _56723_/B VGND VGND VPWR VPWR _56803_/Y sky130_fd_sc_hd__nor2_2
+XPHY_20239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_294_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38949_ _38913_/A VGND VGND VPWR VPWR _38965_/B sky130_fd_sc_hd__buf_1
+XFILLER_388_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57783_ _79969_/Q _57708_/B VGND VGND VPWR VPWR _57785_/B sky130_fd_sc_hd__nor2_2
+XPHY_7913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69769_ _79170_/Q VGND VGND VPWR VPWR _69770_/A sky130_fd_sc_hd__buf_1
+XFILLER_700_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54995_ _54967_/A _54998_/B VGND VGND VPWR VPWR _54996_/B sky130_fd_sc_hd__or2_2
+XFILLER_236_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71800_ _44239_/A VGND VGND VPWR VPWR _42967_/A sky130_fd_sc_hd__buf_1
+XFILLER_232_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_726_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_408_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59522_ _59063_/X VGND VGND VPWR VPWR _59685_/B sky130_fd_sc_hd__buf_1
+XFILLER_212_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56734_ _56734_/A _56734_/B VGND VGND VPWR VPWR _56734_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_101_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41960_ wbs_adr_i[0] wbs_adr_i[1] _41708_/B VGND VGND VPWR VPWR _41960_/X sky130_fd_sc_hd__or3_2
+X_53946_ _53946_/A _53913_/A VGND VGND VPWR VPWR _53946_/X sky130_fd_sc_hd__or2_2
+X_72780_ _72659_/A _72790_/B VGND VGND VPWR VPWR _72782_/A sky130_fd_sc_hd__or2_2
+XPHY_7957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_680_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_448_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40911_ _40405_/A _40900_/B VGND VGND VPWR VPWR _40913_/A sky130_fd_sc_hd__or2_2
+XFILLER_546_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59453_ _59371_/Y _59453_/B VGND VGND VPWR VPWR _59453_/Y sky130_fd_sc_hd__nor2_2
+X_71731_ _70921_/Y _71730_/X VGND VGND VPWR VPWR _71732_/B sky130_fd_sc_hd__and2_2
+XFILLER_704_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_408_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_613_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_25_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56665_ _39868_/C _56577_/B VGND VGND VPWR VPWR _56665_/Y sky130_fd_sc_hd__nor2_2
+XPHY_29215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80929_ _80929_/CLK _74463_/Y VGND VGND VPWR VPWR _67405_/A sky130_fd_sc_hd__dfxtp_4
+X_41891_ _41897_/A VGND VGND VPWR VPWR _41891_/X sky130_fd_sc_hd__buf_1
+XFILLER_5_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53877_ _53877_/A _53862_/B VGND VGND VPWR VPWR _53877_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_41_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_424_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_274_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58404_ _58404_/A _58633_/B VGND VGND VPWR VPWR _58404_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_284_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43630_ _42720_/B _43622_/X VGND VGND VPWR VPWR _43630_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_464_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55616_ _55682_/A _55615_/Y VGND VGND VPWR VPWR _55616_/X sky130_fd_sc_hd__or2_2
+X_74450_ _74448_/Y _74450_/B VGND VGND VPWR VPWR _74450_/X sky130_fd_sc_hd__or2_2
+XFILLER_366_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52828_ _61213_/A _52832_/B VGND VGND VPWR VPWR _52828_/Y sky130_fd_sc_hd__nand2_2
+X_40842_ _40601_/A _40829_/B VGND VGND VPWR VPWR _40844_/A sky130_fd_sc_hd__or2_2
+XPHY_29259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71662_ _71398_/A _71662_/B _71661_/Y VGND VGND VPWR VPWR _71662_/X sky130_fd_sc_hd__or3_2
+X_59384_ _59384_/A _59384_/B _59384_/C VGND VGND VPWR VPWR _59392_/B sky130_fd_sc_hd__nor3_2
+XFILLER_442_3066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56596_ _80082_/Q _56596_/B VGND VGND VPWR VPWR _56598_/B sky130_fd_sc_hd__nor2_2
+XFILLER_262_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_95_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_404_430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_622_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73401_ _74958_/A _73404_/B VGND VGND VPWR VPWR _73401_/X sky130_fd_sc_hd__or2_2
+XFILLER_249_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_7_26_0_u_core.clock clkbuf_7_27_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_53_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_382_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70613_ _70477_/A _70604_/Y _70469_/A _70612_/Y VGND VGND VPWR VPWR _70613_/X sky130_fd_sc_hd__o22a_4
+XFILLER_327_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58335_ _58015_/X _58335_/B _58335_/C VGND VGND VPWR VPWR _58336_/C sky130_fd_sc_hd__nor3_2
+XFILLER_245_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43561_ _43572_/A _43559_/Y _43560_/Y VGND VGND VPWR VPWR _43561_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_577_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_422_2939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55547_ _55547_/A _55546_/X VGND VGND VPWR VPWR _55547_/Y sky130_fd_sc_hd__nand2_2
+X_74381_ _74260_/A _74381_/B VGND VGND VPWR VPWR _74383_/A sky130_fd_sc_hd__or2_2
+XFILLER_347_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40773_ _40772_/X VGND VGND VPWR VPWR _40777_/B sky130_fd_sc_hd__buf_1
+XFILLER_505_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52759_ _52789_/B VGND VGND VPWR VPWR _52787_/B sky130_fd_sc_hd__buf_1
+XFILLER_215_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71593_ _71729_/A _71593_/B VGND VGND VPWR VPWR _71593_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_186_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_704_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45300_ _45289_/Y _45299_/Y _44983_/X VGND VGND VPWR VPWR _45300_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_243_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76120_ _72215_/A VGND VGND VPWR VPWR _76121_/A sky130_fd_sc_hd__buf_1
+XFILLER_404_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42512_ _42587_/A VGND VGND VPWR VPWR _42512_/X sky130_fd_sc_hd__buf_1
+XFILLER_600_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_376_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73332_ _75010_/A _73317_/X VGND VGND VPWR VPWR _73333_/B sky130_fd_sc_hd__or2_2
+XPHY_27857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46280_ _46302_/A VGND VGND VPWR VPWR _46292_/A sky130_fd_sc_hd__buf_1
+XFILLER_596_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58266_ _79943_/Q _57947_/B VGND VGND VPWR VPWR _58267_/C sky130_fd_sc_hd__nor2_2
+XFILLER_349_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70544_ _70544_/A _70543_/Y VGND VGND VPWR VPWR _70544_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_477_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_376_315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_520_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_430_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43492_ _44916_/A _44916_/B _55598_/B VGND VGND VPWR VPWR _43492_/Y sky130_fd_sc_hd__nor3_2
+X_55478_ _55310_/A _55478_/B VGND VGND VPWR VPWR _55479_/B sky130_fd_sc_hd__nor2_2
+XFILLER_592_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_199_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_42_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45231_ _45224_/X _45231_/B VGND VGND VPWR VPWR _45231_/X sky130_fd_sc_hd__and2_2
+X_57217_ _56397_/X VGND VGND VPWR VPWR _57217_/X sky130_fd_sc_hd__buf_1
+XFILLER_240_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76051_ _76038_/A _76045_/B _65051_/A VGND VGND VPWR VPWR _76051_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_575_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54429_ _77021_/Q _54426_/B VGND VGND VPWR VPWR _54431_/A sky130_fd_sc_hd__nand2_2
+XPHY_17378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42443_ _55879_/A _42439_/X _42443_/C VGND VGND VPWR VPWR _42443_/X sky130_fd_sc_hd__or3_2
+XPHY_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73263_ _72985_/A _73262_/X VGND VGND VPWR VPWR _73264_/A sky130_fd_sc_hd__or2_2
+XPHY_34001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58197_ _58197_/A _58196_/Y VGND VGND VPWR VPWR _58197_/Y sky130_fd_sc_hd__nor2_2
+XPHY_17389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70475_ _70473_/Y _70484_/C _70463_/A _70169_/A VGND VGND VPWR VPWR _70475_/X sky130_fd_sc_hd__o22a_4
+XFILLER_600_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_401_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75002_ _74988_/A _75006_/B _67049_/A VGND VGND VPWR VPWR _75004_/A sky130_fd_sc_hd__nand3_2
+XPHY_16666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_184_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_635_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_278_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72214_ _72211_/X _72214_/B VGND VGND VPWR VPWR _81477_/D sky130_fd_sc_hd__nand2_2
+XPHY_16677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45162_ _45223_/A _45161_/Y VGND VGND VPWR VPWR _55549_/B sky130_fd_sc_hd__nor2_2
+XPHY_33300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57148_ _57547_/A VGND VGND VPWR VPWR _57310_/B sky130_fd_sc_hd__buf_1
+XFILLER_318_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_707_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42374_ _41871_/X _46541_/A VGND VGND VPWR VPWR _42375_/C sky130_fd_sc_hd__nor2_2
+X_73194_ _73208_/A _73203_/B _66761_/A VGND VGND VPWR VPWR _73197_/A sky130_fd_sc_hd__nand3_2
+XPHY_33311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_384_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44113_ _72862_/A _44095_/X VGND VGND VPWR VPWR _44114_/C sky130_fd_sc_hd__nor2_2
+XPHY_1596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79810_ _79809_/CLK _79810_/D VGND VGND VPWR VPWR _67654_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_534_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_144_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41325_ _41065_/X _41324_/X VGND VGND VPWR VPWR _41327_/A sky130_fd_sc_hd__or2_2
+XPHY_33344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72145_ _70896_/X _72145_/B _72144_/Y VGND VGND VPWR VPWR _72145_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_32_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_111_0_u_core.clock clkbuf_7_55_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_223_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_193_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49970_ _49821_/A VGND VGND VPWR VPWR _50028_/A sky130_fd_sc_hd__buf_1
+XFILLER_453_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57079_ _57079_/A _56997_/B VGND VGND VPWR VPWR _57079_/Y sky130_fd_sc_hd__nor2_2
+X_45093_ _45062_/A _45092_/Y VGND VGND VPWR VPWR _45093_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_690_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_626_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_171_0_u_core.clock clkbuf_8_85_0_u_core.clock/X VGND VGND VPWR VPWR _79307_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_652_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_436_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_332_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_6_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44044_ _44233_/A _44043_/X VGND VGND VPWR VPWR _44044_/X sky130_fd_sc_hd__or2_4
+X_48921_ _63935_/A _48921_/B VGND VGND VPWR VPWR _48921_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_12_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79741_ _79741_/CLK _79741_/D VGND VGND VPWR VPWR _40949_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_414_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41256_ _41256_/A _41256_/B VGND VGND VPWR VPWR _41256_/X sky130_fd_sc_hd__or2_2
+XPHY_32654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60090_ _60090_/A VGND VGND VPWR VPWR _60091_/A sky130_fd_sc_hd__buf_1
+XPHY_33399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76953_ _76921_/CLK _54685_/Y VGND VGND VPWR VPWR _76953_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72076_ _72076_/A _72084_/B VGND VGND VPWR VPWR _72086_/A sky130_fd_sc_hd__nor2_2
+XFILLER_710_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_31920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_414_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_128_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_499_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40207_ _40207_/A _40207_/B _79930_/Q VGND VGND VPWR VPWR _40208_/B sky130_fd_sc_hd__nand3_2
+XFILLER_351_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_118_0_u_core.clock clkbuf_8_59_0_u_core.clock/X VGND VGND VPWR VPWR _76911_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_234_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75904_ _75904_/A _75898_/B VGND VGND VPWR VPWR _75904_/X sky130_fd_sc_hd__or2_2
+XFILLER_502_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71027_ _70899_/X _71027_/B VGND VGND VPWR VPWR _71028_/B sky130_fd_sc_hd__and2_2
+XFILLER_26_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48852_ _48852_/A _48851_/X VGND VGND VPWR VPWR _48852_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_488_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79672_ _79741_/CLK _41239_/Y VGND VGND VPWR VPWR _57133_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_453_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41187_ _41042_/X _41187_/B VGND VGND VPWR VPWR _41187_/X sky130_fd_sc_hd__or2_2
+XFILLER_84_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76884_ _77318_/CLK _54940_/Y VGND VGND VPWR VPWR _60381_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_234_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_289_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47803_ _47773_/A _47799_/X VGND VGND VPWR VPWR _47804_/B sky130_fd_sc_hd__or2_2
+XFILLER_234_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78623_ _78675_/CLK _78623_/D VGND VGND VPWR VPWR _63334_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40138_ _40129_/X _40143_/B _79948_/Q VGND VGND VPWR VPWR _40138_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_301_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75835_ _75835_/A _75828_/X VGND VGND VPWR VPWR _75837_/A sky130_fd_sc_hd__or2_2
+XPHY_21474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48783_ _48783_/A _48765_/B VGND VGND VPWR VPWR _48786_/A sky130_fd_sc_hd__nand2_2
+XPHY_9882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_227_0_u_core.clock clkbuf_8_227_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_455_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_45995_ _79048_/Q _46005_/B VGND VGND VPWR VPWR _45997_/B sky130_fd_sc_hd__nor2_2
+XFILLER_130_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_568_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_412_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_469_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_287_0_u_core.clock clkbuf_9_287_0_u_core.clock/A VGND VGND VPWR VPWR _77235_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_449_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62800_ _62477_/X _62800_/B _62799_/Y VGND VGND VPWR VPWR _62801_/C sky130_fd_sc_hd__nor3_2
+XFILLER_6_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_709_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47734_ _47704_/A _47755_/B VGND VGND VPWR VPWR _47735_/B sky130_fd_sc_hd__or2_2
+XFILLER_234_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78554_ _78108_/CLK _78554_/D VGND VGND VPWR VPWR _78554_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40069_ _40069_/A _40069_/B _79967_/Q VGND VGND VPWR VPWR _40069_/Y sky130_fd_sc_hd__nand3_2
+X_44946_ _45053_/A _44945_/Y VGND VGND VPWR VPWR _44947_/B sky130_fd_sc_hd__nor2_2
+XFILLER_705_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63780_ _63780_/A _63911_/B VGND VGND VPWR VPWR _63780_/Y sky130_fd_sc_hd__nor2_2
+X_75766_ _75802_/A VGND VGND VPWR VPWR _75774_/B sky130_fd_sc_hd__buf_1
+XPHY_10261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60992_ _77624_/Q _60830_/B VGND VGND VPWR VPWR _60993_/C sky130_fd_sc_hd__nor2_2
+XFILLER_310_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72978_ _73744_/A _72974_/B VGND VGND VPWR VPWR _72978_/X sky130_fd_sc_hd__or2_2
+XPHY_20795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_289_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_120_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_211_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_599_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_152_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77505_ _76768_/CLK _77505_/D VGND VGND VPWR VPWR _61085_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_726_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62731_ _62112_/A _62731_/B _62730_/Y VGND VGND VPWR VPWR _62735_/B sky130_fd_sc_hd__nor3_2
+XPHY_10294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74717_ _74715_/X _74716_/Y VGND VGND VPWR VPWR _74717_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_59_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47665_ _47661_/X VGND VGND VPWR VPWR _47666_/B sky130_fd_sc_hd__buf_1
+XFILLER_254_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71929_ _71923_/X _71929_/B _71926_/Y _71929_/D VGND VGND VPWR VPWR _71929_/X sky130_fd_sc_hd__or4_2
+XFILLER_643_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78485_ _78477_/CLK _48858_/Y VGND VGND VPWR VPWR _62925_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_564_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44877_ _44891_/A _44874_/Y _44877_/C VGND VGND VPWR VPWR _79179_/D sky130_fd_sc_hd__nor3_2
+X_75697_ _75696_/X _75684_/B _75697_/C VGND VGND VPWR VPWR _75698_/B sky130_fd_sc_hd__nand3_2
+XFILLER_627_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_443_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_722_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_588_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49404_ _49401_/Y _49403_/X VGND VGND VPWR VPWR _78348_/D sky130_fd_sc_hd__nand2_2
+XFILLER_623_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65450_ _64924_/A _65447_/Y _65449_/Y VGND VGND VPWR VPWR _65450_/Y sky130_fd_sc_hd__nor3_2
+X_46616_ _46616_/A _46519_/A VGND VGND VPWR VPWR _46624_/C sky130_fd_sc_hd__nand2_2
+XFILLER_414_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77436_ _77434_/CLK _77436_/D VGND VGND VPWR VPWR _61530_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_1_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43828_ _43877_/A _43827_/Y VGND VGND VPWR VPWR _43828_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_92_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74648_ _74646_/X _74648_/B VGND VGND VPWR VPWR _74648_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_280_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62662_ _62188_/X _62657_/Y _62662_/C VGND VGND VPWR VPWR _62662_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_725_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47596_ _47596_/A _47595_/X VGND VGND VPWR VPWR _47596_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_631_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_414_249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_218_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64401_ _64334_/A VGND VGND VPWR VPWR _65186_/A sky130_fd_sc_hd__buf_1
+XFILLER_308_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_506_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61613_ _60994_/A _61613_/B _61612_/Y VGND VGND VPWR VPWR _61624_/B sky130_fd_sc_hd__nor3_2
+X_49335_ _51152_/A VGND VGND VPWR VPWR _49438_/A sky130_fd_sc_hd__buf_1
+XFILLER_597_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46547_ _41843_/B _46551_/B VGND VGND VPWR VPWR _46547_/X sky130_fd_sc_hd__or2_2
+X_65381_ _43707_/Y _65381_/B VGND VGND VPWR VPWR _65383_/B sky130_fd_sc_hd__nor2_2
+XFILLER_59_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77367_ _77365_/CLK _77367_/D VGND VGND VPWR VPWR _77367_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_163_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43759_ _43681_/A _43784_/B VGND VGND VPWR VPWR _43759_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_234_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_640_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62593_ _77906_/Q _61965_/B VGND VGND VPWR VPWR _62594_/C sky130_fd_sc_hd__nor2_2
+X_74579_ _74579_/A VGND VGND VPWR VPWR _74580_/A sky130_fd_sc_hd__buf_1
+XFILLER_267_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_679_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_245_2662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_365_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_557_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_221_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67120_ _67777_/A _67118_/Y _67119_/Y VGND VGND VPWR VPWR _67126_/B sky130_fd_sc_hd__nor3_2
+XFILLER_233_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79106_ _79095_/CLK _79106_/D VGND VGND VPWR VPWR _79106_/Q sky130_fd_sc_hd__dfxtp_4
+X_64332_ _67183_/A VGND VGND VPWR VPWR _64341_/A sky130_fd_sc_hd__buf_1
+XFILLER_37_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76318_ _76318_/A _76303_/B _80470_/Q VGND VGND VPWR VPWR _76318_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_179_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61544_ _52580_/A _61243_/B VGND VGND VPWR VPWR _61546_/B sky130_fd_sc_hd__nor2_2
+X_49266_ _49237_/A _49265_/X VGND VGND VPWR VPWR _49266_/X sky130_fd_sc_hd__or2_2
+XFILLER_501_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46478_ _46592_/B VGND VGND VPWR VPWR _46587_/B sky130_fd_sc_hd__buf_1
+XFILLER_245_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_410_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77298_ _77295_/CLK _77298_/D VGND VGND VPWR VPWR _60072_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_61_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_422_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_419_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48217_ _48275_/A _48223_/B VGND VGND VPWR VPWR _48217_/X sky130_fd_sc_hd__or2_2
+XFILLER_343_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67051_ _67376_/A _67051_/B _67050_/Y VGND VGND VPWR VPWR _67051_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_261_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79037_ _79037_/CLK _79037_/D VGND VGND VPWR VPWR _46085_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_72_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45429_ _45429_/A _55688_/B VGND VGND VPWR VPWR _45429_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_667_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76249_ _76259_/A _76263_/B _80489_/Q VGND VGND VPWR VPWR _76250_/B sky130_fd_sc_hd__nand3_2
+X_64263_ _56371_/A _64262_/X VGND VGND VPWR VPWR _64264_/C sky130_fd_sc_hd__nor2_2
+XFILLER_159_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61475_ _77763_/Q _61159_/B VGND VGND VPWR VPWR _61475_/Y sky130_fd_sc_hd__nor2_2
+X_49197_ _49073_/A _54935_/A VGND VGND VPWR VPWR _49198_/A sky130_fd_sc_hd__or2_2
+XFILLER_206_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_593_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_716_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_398_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66002_ _79928_/Q _66498_/B VGND VGND VPWR VPWR _66002_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_536_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_141_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63214_ _49161_/A _62745_/X VGND VGND VPWR VPWR _63214_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_634_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_356_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48148_ _48182_/A _48164_/B VGND VGND VPWR VPWR _48149_/B sky130_fd_sc_hd__or2_2
+X_60426_ _59621_/A VGND VGND VPWR VPWR _60426_/X sky130_fd_sc_hd__buf_1
+XFILLER_477_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_395_2662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_15_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64194_ _64194_/A _64193_/Y VGND VGND VPWR VPWR _64195_/B sky130_fd_sc_hd__nor2_2
+XFILLER_363_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_673_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_336_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63145_ _63145_/A VGND VGND VPWR VPWR _63348_/A sky130_fd_sc_hd__buf_1
+XFILLER_190_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_612_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_317_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60357_ _60199_/A _60357_/B _60357_/C VGND VGND VPWR VPWR _60373_/A sky130_fd_sc_hd__nor3_2
+XFILLER_335_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48079_ _48079_/A _48089_/B VGND VGND VPWR VPWR _48079_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_274_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_552_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_200_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50110_ _78160_/Q _50091_/X VGND VGND VPWR VPWR _50113_/A sky130_fd_sc_hd__nand2_2
+XFILLER_334_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39921_ _39957_/A VGND VGND VPWR VPWR _39921_/X sky130_fd_sc_hd__buf_1
+XFILLER_591_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51090_ _51087_/Y _51090_/B VGND VGND VPWR VPWR _77900_/D sky130_fd_sc_hd__nand2_2
+XFILLER_714_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63076_ _63675_/A _63076_/B _63075_/Y VGND VGND VPWR VPWR _63076_/Y sky130_fd_sc_hd__nor3_2
+X_67953_ _67286_/X _67953_/B _67953_/C VGND VGND VPWR VPWR _67959_/B sky130_fd_sc_hd__nor3_2
+XFILLER_118_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79939_ _79969_/CLK _79939_/D VGND VGND VPWR VPWR _57947_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_315_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60288_ _54462_/A _60288_/B VGND VGND VPWR VPWR _60288_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_710_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_176_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50041_ _50041_/A _50041_/B VGND VGND VPWR VPWR _78179_/D sky130_fd_sc_hd__nand2_2
+XFILLER_350_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_651_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66904_ _66731_/A _66902_/Y _66903_/Y VGND VGND VPWR VPWR _66904_/Y sky130_fd_sc_hd__nor3_2
+X_62027_ _62027_/A _61714_/X VGND VGND VPWR VPWR _62029_/B sky130_fd_sc_hd__nor2_2
+XFILLER_161_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_534_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39852_ _39843_/A _39834_/B _39852_/C VGND VGND VPWR VPWR _39852_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_389_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_66_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67884_ _67550_/A _67884_/B _67884_/C VGND VGND VPWR VPWR _67894_/B sky130_fd_sc_hd__nor3_2
+XFILLER_157_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_693_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38803_ _38803_/A _38803_/B VGND VGND VPWR VPWR _38803_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_552_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69623_ _69630_/B VGND VGND VPWR VPWR _69625_/B sky130_fd_sc_hd__inv_8
+XFILLER_478_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66835_ _66177_/X _66833_/Y _66835_/C VGND VGND VPWR VPWR _66836_/C sky130_fd_sc_hd__nor3_2
+XFILLER_233_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39783_ _39769_/X _39764_/X _39783_/C VGND VGND VPWR VPWR _39783_/Y sky130_fd_sc_hd__nand3_2
+XPHY_7209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_335_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_233_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_464_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53800_ _53800_/A VGND VGND VPWR VPWR _53808_/B sky130_fd_sc_hd__buf_1
+XFILLER_385_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38734_ _38609_/A _38624_/X VGND VGND VPWR VPWR _38736_/A sky130_fd_sc_hd__or2_2
+XFILLER_257_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69554_ _64776_/A _69554_/B VGND VGND VPWR VPWR _69554_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_389_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54780_ _76926_/Q _54777_/B VGND VGND VPWR VPWR _54780_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_130_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_547_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66766_ _65943_/A VGND VGND VPWR VPWR _66767_/B sky130_fd_sc_hd__buf_1
+XFILLER_111_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51992_ _60839_/A _51977_/B VGND VGND VPWR VPWR _51992_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_25_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63978_ _63978_/A _59443_/B VGND VGND VPWR VPWR _63979_/C sky130_fd_sc_hd__nor2_2
+XPHY_6519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_69_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_96_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68505_ _68336_/A _68505_/B _68505_/C VGND VGND VPWR VPWR _68506_/C sky130_fd_sc_hd__nor3_2
+XFILLER_131_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53731_ _53731_/A _53728_/B VGND VGND VPWR VPWR _53733_/A sky130_fd_sc_hd__nand2_2
+XFILLER_641_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65717_ _65717_/A _66057_/B VGND VGND VPWR VPWR _65719_/B sky130_fd_sc_hd__nor2_2
+XPHY_5807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50943_ _50972_/A _50955_/B VGND VGND VPWR VPWR _50944_/B sky130_fd_sc_hd__or2_2
+XFILLER_22_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38665_ _38662_/X _38664_/Y VGND VGND VPWR VPWR _38665_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_508_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62929_ _78445_/Q _62929_/B VGND VGND VPWR VPWR _62932_/B sky130_fd_sc_hd__nor2_2
+XFILLER_79_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69485_ _64240_/A _69485_/B _69485_/C VGND VGND VPWR VPWR _69486_/C sky130_fd_sc_hd__nor3_2
+XFILLER_680_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66697_ _66697_/A _66048_/B VGND VGND VPWR VPWR _66697_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_606_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_272_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_271_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_560_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_525_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_460_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_3074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_272_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80714_ _80714_/CLK _75324_/Y VGND VGND VPWR VPWR _80714_/Q sky130_fd_sc_hd__dfxtp_4
+X_56450_ _56450_/A VGND VGND VPWR VPWR _57663_/A sky130_fd_sc_hd__buf_1
+XFILLER_309_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68436_ _65124_/X VGND VGND VPWR VPWR _69081_/B sky130_fd_sc_hd__buf_1
+XFILLER_446_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53662_ _53662_/A _53661_/X VGND VGND VPWR VPWR _53662_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_426_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_0_3175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65648_ _65132_/A _65648_/B _65647_/Y VGND VGND VPWR VPWR _65648_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_281_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_580_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38596_ _38596_/A _38578_/B VGND VGND VPWR VPWR _38598_/A sky130_fd_sc_hd__or2_2
+XFILLER_543_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50874_ _50988_/A _50857_/X VGND VGND VPWR VPWR _50874_/X sky130_fd_sc_hd__or2_2
+XFILLER_204_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_525_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_446_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_38_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_678_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55401_ _55401_/A VGND VGND VPWR VPWR _55430_/B sky130_fd_sc_hd__buf_1
+XFILLER_246_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52613_ _61404_/A _52607_/X VGND VGND VPWR VPWR _52616_/A sky130_fd_sc_hd__nand2_2
+XFILLER_326_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_559_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56381_ _56453_/A VGND VGND VPWR VPWR _58463_/A sky130_fd_sc_hd__buf_1
+X_80645_ _80641_/CLK _80645_/D VGND VGND VPWR VPWR _80645_/Q sky130_fd_sc_hd__dfxtp_4
+X_68367_ _80551_/Q _68367_/B VGND VGND VPWR VPWR _68367_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_326_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_502_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53593_ _53536_/A _53608_/B VGND VGND VPWR VPWR _53594_/B sky130_fd_sc_hd__or2_2
+XFILLER_129_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_36909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65579_ _80470_/Q _66235_/B VGND VGND VPWR VPWR _65581_/B sky130_fd_sc_hd__nor2_2
+XFILLER_443_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_639_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_212_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58120_ _57721_/A VGND VGND VPWR VPWR _58194_/B sky130_fd_sc_hd__buf_1
+XFILLER_168_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55332_ _55517_/A _45116_/X _55330_/Y _55331_/X VGND VGND VPWR VPWR _55333_/B sky130_fd_sc_hd__o22a_4
+XFILLER_697_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67318_ _67318_/A _66652_/B VGND VGND VPWR VPWR _67319_/C sky130_fd_sc_hd__nor2_2
+XFILLER_240_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_40_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52544_ _52601_/A _52544_/B VGND VGND VPWR VPWR _52544_/X sky130_fd_sc_hd__or2_2
+XPHY_26419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80576_ _80577_/CLK _75885_/Y VGND VGND VPWR VPWR _67221_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_322_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_498_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_68298_ _39779_/C _68297_/X VGND VGND VPWR VPWR _68298_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_80_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_240_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_358_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_24_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39217_ _39217_/A _39212_/X VGND VGND VPWR VPWR _39217_/X sky130_fd_sc_hd__or2_2
+XFILLER_36_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_575_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58051_ _80132_/Q _57730_/B VGND VGND VPWR VPWR _58052_/C sky130_fd_sc_hd__nor2_2
+XFILLER_279_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55263_ _55377_/A VGND VGND VPWR VPWR _55293_/A sky130_fd_sc_hd__inv_8
+XFILLER_205_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67249_ _67744_/A _67246_/Y _67249_/C VGND VGND VPWR VPWR _67250_/C sky130_fd_sc_hd__nor3_2
+XFILLER_420_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52475_ _52563_/A _52487_/B VGND VGND VPWR VPWR _52475_/X sky130_fd_sc_hd__or2_2
+XPHY_25729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_437_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_674_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57002_ _57401_/A VGND VGND VPWR VPWR _57348_/A sky130_fd_sc_hd__buf_1
+XFILLER_556_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54214_ _54098_/A _54205_/B VGND VGND VPWR VPWR _54215_/B sky130_fd_sc_hd__or2_2
+XFILLER_373_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39148_ _39148_/A _39148_/B _68167_/A VGND VGND VPWR VPWR _39149_/B sky130_fd_sc_hd__nand3_2
+X_51426_ _51426_/A VGND VGND VPWR VPWR _51728_/A sky130_fd_sc_hd__buf_1
+XFILLER_32_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_162_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70260_ _70260_/A _69764_/X _70260_/C VGND VGND VPWR VPWR _70260_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_593_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_354_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55194_ _41670_/D _55173_/B VGND VGND VPWR VPWR _55195_/B sky130_fd_sc_hd__and2_2
+XFILLER_716_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_177_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_691_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54145_ _54145_/A _54145_/B VGND VGND VPWR VPWR _77098_/D sky130_fd_sc_hd__nand2_2
+XFILLER_88_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_704_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39079_ _39075_/A _39079_/B _80213_/Q VGND VGND VPWR VPWR _39079_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_394_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51357_ _60754_/A _51363_/B VGND VGND VPWR VPWR _51359_/A sky130_fd_sc_hd__nand2_2
+XFILLER_138_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70191_ _70112_/X VGND VGND VPWR VPWR _70199_/A sky130_fd_sc_hd__buf_1
+XPHY_14549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_179_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_165_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_159_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41110_ _41108_/X _41109_/Y VGND VGND VPWR VPWR _41110_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_437_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_10_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50308_ _78109_/Q _50308_/B VGND VGND VPWR VPWR _50308_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_587_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81128_ _80790_/CLK _73657_/Y VGND VGND VPWR VPWR _81128_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_31205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_630_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42090_ _79527_/Q _42090_/B VGND VGND VPWR VPWR _42090_/Y sky130_fd_sc_hd__nor2_2
+X_54076_ _54076_/A VGND VGND VPWR VPWR _54078_/A sky130_fd_sc_hd__buf_1
+X_58953_ _58953_/A _59313_/B VGND VGND VPWR VPWR _58953_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_535_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51288_ _61491_/A _51283_/X VGND VGND VPWR VPWR _51290_/A sky130_fd_sc_hd__nand2_2
+XFILLER_469_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_394_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_390_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_689_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41041_ _41041_/A _41041_/B VGND VGND VPWR VPWR _41041_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_270_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57904_ _57904_/A _57904_/B _57904_/C VGND VGND VPWR VPWR _57908_/B sky130_fd_sc_hd__nor3_2
+X_53027_ _53050_/B VGND VGND VPWR VPWR _53049_/B sky130_fd_sc_hd__buf_1
+XPHY_31249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50239_ _52150_/A _50211_/B VGND VGND VPWR VPWR _50240_/A sky130_fd_sc_hd__or2_2
+X_73950_ _73947_/X _73949_/Y VGND VGND VPWR VPWR _73950_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_468_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81059_ _81156_/CLK _81059_/D VGND VGND VPWR VPWR _67742_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_431_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_414_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_136_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_114_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58884_ _47698_/B VGND VGND VPWR VPWR _58884_/X sky130_fd_sc_hd__buf_1
+XPHY_30526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_106_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_315_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_496_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_489_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72901_ _73517_/A VGND VGND VPWR VPWR _72901_/X sky130_fd_sc_hd__buf_1
+XFILLER_462_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57835_ _57037_/A VGND VGND VPWR VPWR _57915_/B sky130_fd_sc_hd__buf_1
+XFILLER_62_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73881_ _73886_/A _73874_/B _69183_/A VGND VGND VPWR VPWR _73882_/B sky130_fd_sc_hd__nand3_2
+XFILLER_483_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_315_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_66_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_706_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44800_ _44808_/A _44809_/C VGND VGND VPWR VPWR _44800_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_118_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75620_ _75620_/A _75626_/B _80646_/Q VGND VGND VPWR VPWR _75620_/Y sky130_fd_sc_hd__nand3_2
+XPHY_20069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72832_ _72832_/A _72842_/B VGND VGND VPWR VPWR _72832_/X sky130_fd_sc_hd__or2_2
+XPHY_8477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45780_ _70819_/X VGND VGND VPWR VPWR _45780_/Y sky130_fd_sc_hd__inv_8
+XFILLER_368_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57766_ _67282_/A _57447_/X VGND VGND VPWR VPWR _57766_/Y sky130_fd_sc_hd__nor2_2
+XPHY_7743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_565_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54978_ _54978_/A _54977_/X VGND VGND VPWR VPWR _54978_/Y sky130_fd_sc_hd__nand2_2
+X_42992_ _42986_/A _42978_/X _56551_/A VGND VGND VPWR VPWR _42993_/B sky130_fd_sc_hd__nand3_2
+XFILLER_643_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_628_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59505_ _77023_/Q _59345_/B VGND VGND VPWR VPWR _59505_/Y sky130_fd_sc_hd__nor2_2
+XPHY_39513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56717_ _56717_/A _56641_/B VGND VGND VPWR VPWR _56719_/B sky130_fd_sc_hd__nor2_2
+X_44731_ _44720_/A _44731_/B VGND VGND VPWR VPWR _79201_/D sky130_fd_sc_hd__nor2_2
+XFILLER_264_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75551_ _74787_/A VGND VGND VPWR VPWR _75552_/A sky130_fd_sc_hd__buf_1
+XFILLER_524_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53929_ _53631_/A VGND VGND VPWR VPWR _53929_/X sky130_fd_sc_hd__buf_1
+X_41943_ _43485_/A _55965_/A VGND VGND VPWR VPWR _41943_/Y sky130_fd_sc_hd__nor2_2
+XPHY_39524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72763_ _72770_/A _72751_/X _67427_/A VGND VGND VPWR VPWR _72763_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_114_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57697_ _67133_/A _57611_/X VGND VGND VPWR VPWR _57697_/Y sky130_fd_sc_hd__nor2_2
+XPHY_39535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74502_ _74501_/Y _74510_/B VGND VGND VPWR VPWR _74503_/B sky130_fd_sc_hd__or2_2
+XFILLER_451_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47450_ _47448_/Y _47449_/X VGND VGND VPWR VPWR _78838_/D sky130_fd_sc_hd__nand2_2
+X_59436_ _59238_/A VGND VGND VPWR VPWR _59437_/A sky130_fd_sc_hd__buf_1
+XFILLER_79_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71714_ _70928_/A _71714_/B VGND VGND VPWR VPWR _71716_/B sky130_fd_sc_hd__or2_2
+XPHY_38823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78270_ _77769_/CLK _49703_/Y VGND VGND VPWR VPWR _78270_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_39568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44662_ _44888_/A VGND VGND VPWR VPWR _44662_/Y sky130_fd_sc_hd__inv_8
+XFILLER_74_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56648_ _56648_/A _56648_/B _56648_/C VGND VGND VPWR VPWR _56648_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_95_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75482_ _75482_/A VGND VGND VPWR VPWR _75503_/A sky130_fd_sc_hd__buf_1
+XPHY_29045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41874_ _41873_/Y VGND VGND VPWR VPWR _41874_/X sky130_fd_sc_hd__buf_1
+XFILLER_47_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72694_ _72318_/X _72699_/B VGND VGND VPWR VPWR _72698_/A sky130_fd_sc_hd__or2_2
+XFILLER_598_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_546_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46401_ _43124_/A _46401_/B VGND VGND VPWR VPWR _46401_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77221_ _77211_/CLK _77221_/D VGND VGND VPWR VPWR _53675_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_56_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43613_ _43616_/A _43613_/B _43612_/Y VGND VGND VPWR VPWR _43613_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_598_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_594_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74433_ _74430_/X _74432_/X VGND VGND VPWR VPWR _80936_/D sky130_fd_sc_hd__nand2_2
+XFILLER_426_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40825_ _40586_/A _40814_/B VGND VGND VPWR VPWR _40827_/A sky130_fd_sc_hd__or2_2
+XFILLER_524_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47381_ _63211_/A _47384_/B VGND VGND VPWR VPWR _47381_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_246_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_442_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59367_ _59082_/A _59363_/Y _59367_/C VGND VGND VPWR VPWR _59367_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_38_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71645_ _76803_/Q VGND VGND VPWR VPWR _71646_/A sky130_fd_sc_hd__inv_8
+XFILLER_244_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_415_0_u_core.clock clkbuf_9_415_0_u_core.clock/A VGND VGND VPWR VPWR _79426_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_62_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56579_ _56833_/A _56579_/B _56578_/Y VGND VGND VPWR VPWR _56580_/C sky130_fd_sc_hd__nor3_2
+X_44593_ _72950_/A _44570_/B VGND VGND VPWR VPWR _44594_/C sky130_fd_sc_hd__nor2_2
+XFILLER_696_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_264_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_377_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_539_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49120_ _49110_/X VGND VGND VPWR VPWR _49120_/X sky130_fd_sc_hd__buf_1
+XPHY_27632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_215_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58318_ _58318_/A _58318_/B VGND VGND VPWR VPWR _58319_/B sky130_fd_sc_hd__nor2_2
+X_46332_ _46330_/X _46332_/B VGND VGND VPWR VPWR _46332_/Y sky130_fd_sc_hd__nand2_2
+X_77152_ _77714_/CLK _53935_/Y VGND VGND VPWR VPWR _77152_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_344_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_505_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_229_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43544_ _43544_/A VGND VGND VPWR VPWR _43562_/A sky130_fd_sc_hd__buf_1
+XFILLER_128_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74364_ _74371_/A _74371_/B _80952_/Q VGND VGND VPWR VPWR _74364_/Y sky130_fd_sc_hd__nand3_2
+XPHY_17120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40756_ _40756_/A _40645_/B _64364_/A VGND VGND VPWR VPWR _40756_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_502_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59298_ _76918_/Q _63891_/B VGND VGND VPWR VPWR _59300_/B sky130_fd_sc_hd__nor2_2
+XPHY_17131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71576_ _71570_/X _71576_/B _71576_/C _71575_/Y VGND VGND VPWR VPWR _71576_/X sky130_fd_sc_hd__or4_2
+XFILLER_349_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_207_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76103_ _76152_/A VGND VGND VPWR VPWR _76124_/A sky130_fd_sc_hd__buf_1
+XFILLER_502_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_73_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49051_ _49051_/A _49050_/X VGND VGND VPWR VPWR _49051_/Y sky130_fd_sc_hd__nand2_2
+XPHY_27687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73315_ _73315_/A _73315_/B VGND VGND VPWR VPWR _81218_/D sky130_fd_sc_hd__nand2_2
+XFILLER_160_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_635_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46263_ _46261_/Y _46263_/B _46242_/C VGND VGND VPWR VPWR _46264_/C sky130_fd_sc_hd__nor3_2
+XPHY_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_305_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58249_ _68262_/A _58249_/B VGND VGND VPWR VPWR _58249_/Y sky130_fd_sc_hd__nor2_2
+X_70527_ _70527_/A VGND VGND VPWR VPWR _70528_/A sky130_fd_sc_hd__inv_8
+XFILLER_538_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77083_ _77082_/CLK _54199_/Y VGND VGND VPWR VPWR _54197_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_376_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43475_ _42994_/A _43478_/B VGND VGND VPWR VPWR _43475_/X sky130_fd_sc_hd__or2_2
+XPHY_2050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_188_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74295_ _74176_/A _74307_/B VGND VGND VPWR VPWR _74297_/A sky130_fd_sc_hd__or2_2
+XFILLER_477_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40687_ _40568_/A _40677_/B VGND VGND VPWR VPWR _40687_/X sky130_fd_sc_hd__or2_2
+XFILLER_717_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48002_ _48063_/A _48006_/B VGND VGND VPWR VPWR _48002_/X sky130_fd_sc_hd__or2_2
+XFILLER_377_3071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_8_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45214_ _45322_/A _45213_/Y VGND VGND VPWR VPWR _45214_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_715_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76034_ _76032_/X _76034_/B VGND VGND VPWR VPWR _80536_/D sky130_fd_sc_hd__nand2_2
+XFILLER_635_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_396_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_403_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42426_ _69843_/X VGND VGND VPWR VPWR _42426_/Y sky130_fd_sc_hd__inv_8
+X_61260_ _59478_/A VGND VGND VPWR VPWR _61263_/A sky130_fd_sc_hd__buf_1
+XFILLER_711_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_395_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_376_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73246_ _73246_/A VGND VGND VPWR VPWR _75049_/A sky130_fd_sc_hd__buf_1
+XFILLER_156_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46194_ _46088_/Y _46089_/Y _46194_/C VGND VGND VPWR VPWR _46195_/C sky130_fd_sc_hd__nor3_2
+X_70458_ _70457_/X _70445_/A VGND VGND VPWR VPWR _70459_/A sky130_fd_sc_hd__or2_2
+XFILLER_89_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_438_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_180_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_506_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_126_0_u_core.clock clkbuf_6_63_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_253_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60211_ _77147_/Q _60043_/B VGND VGND VPWR VPWR _60211_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_8_186_0_u_core.clock clkbuf_7_93_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_373_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_531_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45145_ _45144_/X VGND VGND VPWR VPWR _45146_/B sky130_fd_sc_hd__inv_8
+XFILLER_201_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_617_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_317_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42357_ _42334_/A _42357_/B VGND VGND VPWR VPWR _42358_/C sky130_fd_sc_hd__nor2_2
+X_61191_ _77817_/Q _60883_/B VGND VGND VPWR VPWR _61191_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_144_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73177_ _73129_/X VGND VGND VPWR VPWR _73191_/B sky130_fd_sc_hd__buf_1
+XFILLER_306_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70389_ _70385_/X _70389_/B VGND VGND VPWR VPWR _70389_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_195_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_592_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_119_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41308_ _41304_/A _41297_/B _58254_/A VGND VGND VPWR VPWR _41308_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_172_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60142_ _59335_/A VGND VGND VPWR VPWR _60143_/B sky130_fd_sc_hd__buf_1
+XFILLER_377_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72128_ _72057_/A _71109_/B VGND VGND VPWR VPWR _72133_/B sky130_fd_sc_hd__nor2_2
+XFILLER_711_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49953_ _49804_/A VGND VGND VPWR VPWR _49988_/A sky130_fd_sc_hd__buf_1
+XFILLER_475_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45076_ _45025_/X _55496_/B VGND VGND VPWR VPWR _45076_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_612_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_633_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42288_ _42265_/A _42288_/B _42287_/Y VGND VGND VPWR VPWR _79495_/D sky130_fd_sc_hd__nor3_2
+XFILLER_125_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77985_ _77998_/CLK _77985_/D VGND VGND VPWR VPWR _62297_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_173_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_314_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44027_ _79247_/Q VGND VGND VPWR VPWR _65712_/A sky130_fd_sc_hd__inv_8
+XFILLER_312_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_291_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48904_ _48904_/A _48904_/B VGND VGND VPWR VPWR _48904_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_143_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79724_ _79757_/CLK _79724_/D VGND VGND VPWR VPWR _69220_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_298_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_588_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64950_ _64950_/A _64623_/B VGND VGND VPWR VPWR _64950_/Y sky130_fd_sc_hd__nor2_2
+X_41239_ _41237_/X _41239_/B VGND VGND VPWR VPWR _41239_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76936_ _78660_/CLK _76936_/D VGND VGND VPWR VPWR _76936_/Q sky130_fd_sc_hd__dfxtp_4
+X_60073_ _60073_/A _60071_/Y _60072_/Y VGND VGND VPWR VPWR _60074_/C sky130_fd_sc_hd__nor3_2
+XFILLER_291_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72059_ _72059_/A VGND VGND VPWR VPWR _72059_/X sky130_fd_sc_hd__buf_1
+XFILLER_271_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_140_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49884_ _53706_/A _49828_/B VGND VGND VPWR VPWR _49910_/B sky130_fd_sc_hd__or2_2
+XFILLER_119_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_351_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_372_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63901_ _63901_/A _63901_/B VGND VGND VPWR VPWR _63901_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_298_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48835_ _48864_/A _48838_/B VGND VGND VPWR VPWR _48835_/X sky130_fd_sc_hd__or2_2
+XPHY_21260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79655_ _79635_/CLK _41305_/Y VGND VGND VPWR VPWR _68440_/A sky130_fd_sc_hd__dfxtp_4
+X_64881_ _80562_/Q _64480_/X VGND VGND VPWR VPWR _64882_/C sky130_fd_sc_hd__nor2_2
+XPHY_31794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76867_ _77082_/CLK _76867_/D VGND VGND VPWR VPWR _76867_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_681_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_676_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_84_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_446_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_285_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66620_ _66132_/X _66618_/Y _66619_/Y VGND VGND VPWR VPWR _66620_/Y sky130_fd_sc_hd__nor3_2
+X_78606_ _78606_/CLK _78606_/D VGND VGND VPWR VPWR _63129_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_230_1504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63832_ _63832_/A _63832_/B _63831_/Y VGND VGND VPWR VPWR _63833_/C sky130_fd_sc_hd__nor3_2
+XFILLER_215_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75818_ _75818_/A _75817_/Y VGND VGND VPWR VPWR _80593_/D sky130_fd_sc_hd__nand2_2
+XFILLER_132_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48766_ _48293_/A VGND VGND VPWR VPWR _48864_/A sky130_fd_sc_hd__buf_1
+XFILLER_466_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79586_ _79575_/CLK _79586_/D VGND VGND VPWR VPWR _41562_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_24_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45978_ _43633_/A _45968_/B VGND VGND VPWR VPWR _45979_/C sky130_fd_sc_hd__nor2_2
+XFILLER_548_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76798_ _76798_/CLK _55610_/Y VGND VGND VPWR VPWR _71806_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_691_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_20592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_300_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_681_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47717_ _47776_/A _47710_/X VGND VGND VPWR VPWR _47717_/X sky130_fd_sc_hd__or2_2
+XPHY_10080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66551_ _66551_/A _66890_/B VGND VGND VPWR VPWR _66551_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_289_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78537_ _78517_/CLK _48661_/Y VGND VGND VPWR VPWR _78537_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_544_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_65_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44929_ _44905_/Y VGND VGND VPWR VPWR _45020_/A sky130_fd_sc_hd__buf_1
+X_75749_ _75737_/X _75749_/B _80612_/Q VGND VGND VPWR VPWR _75749_/Y sky130_fd_sc_hd__nand3_2
+X_63763_ _48113_/A _59241_/X VGND VGND VPWR VPWR _63765_/B sky130_fd_sc_hd__nor2_2
+XFILLER_39_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_428_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_26_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_427_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_110_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60975_ _51723_/A _60974_/X VGND VGND VPWR VPWR _60976_/C sky130_fd_sc_hd__nor2_2
+XFILLER_187_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48697_ _48697_/A _48682_/B VGND VGND VPWR VPWR _48699_/A sky130_fd_sc_hd__nand2_2
+XFILLER_427_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_442_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_212_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65502_ _80597_/Q _65820_/B VGND VGND VPWR VPWR _65502_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_466_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_204 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38450_ _38450_/A _38450_/B VGND VGND VPWR VPWR _38450_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_624_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62714_ _62714_/A _62250_/B VGND VGND VPWR VPWR _62714_/Y sky130_fd_sc_hd__nor2_2
+X_69270_ _69270_/A _68665_/B VGND VGND VPWR VPWR _69270_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_187_1436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_462_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47648_ _47648_/A _47647_/X VGND VGND VPWR VPWR _47648_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_605_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66482_ _64322_/X VGND VGND VPWR VPWR _66639_/B sky130_fd_sc_hd__buf_1
+XFILLER_415_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78468_ _77872_/CLK _78468_/D VGND VGND VPWR VPWR _63935_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_267_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63694_ _63956_/A _63694_/B _63693_/Y VGND VGND VPWR VPWR _63694_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_643_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_503_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_679_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_443_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_405_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68221_ _81158_/Q _68563_/B VGND VGND VPWR VPWR _68221_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_425_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65433_ _68896_/A VGND VGND VPWR VPWR _65434_/B sky130_fd_sc_hd__buf_1
+X_77419_ _77410_/CLK _77419_/D VGND VGND VPWR VPWR _52911_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_605_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38381_ _38399_/A VGND VGND VPWR VPWR _38392_/A sky130_fd_sc_hd__buf_1
+X_62645_ _62645_/A _62645_/B VGND VGND VPWR VPWR _62645_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47579_ _47608_/A _47597_/B VGND VGND VPWR VPWR _47579_/X sky130_fd_sc_hd__or2_2
+XFILLER_601_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78399_ _77903_/CLK _78399_/D VGND VGND VPWR VPWR _49187_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_241_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_185_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_179_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_694_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80430_ _80367_/CLK _80430_/D VGND VGND VPWR VPWR _38230_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_443_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49318_ _49488_/A VGND VGND VPWR VPWR _51145_/A sky130_fd_sc_hd__buf_1
+XFILLER_250_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_68152_ _68152_/A _67825_/B VGND VGND VPWR VPWR _68152_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_323_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_147_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65364_ _66700_/A VGND VGND VPWR VPWR _65364_/X sky130_fd_sc_hd__buf_1
+XFILLER_503_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_421_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50590_ _50588_/Y _50590_/B VGND VGND VPWR VPWR _78035_/D sky130_fd_sc_hd__nand2_2
+X_62576_ _60676_/A VGND VGND VPWR VPWR _62577_/B sky130_fd_sc_hd__buf_1
+XFILLER_245_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_91_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_362_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67103_ _72529_/C _66767_/B VGND VGND VPWR VPWR _67105_/B sky130_fd_sc_hd__nor2_2
+XFILLER_397_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_260_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64315_ _81295_/Q _64800_/B VGND VGND VPWR VPWR _64316_/C sky130_fd_sc_hd__nor2_2
+XFILLER_343_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_301_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61527_ _61527_/A _61378_/B VGND VGND VPWR VPWR _61527_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_397_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49249_ _49249_/A _49236_/X VGND VGND VPWR VPWR _49249_/X sky130_fd_sc_hd__or2_2
+XFILLER_33_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80361_ _80346_/CLK _38496_/Y VGND VGND VPWR VPWR _68709_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68083_ _81189_/Q _68083_/B VGND VGND VPWR VPWR _68083_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_108_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65295_ _65295_/A _64942_/B VGND VGND VPWR VPWR _65295_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_600_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_395_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39002_ _39000_/X _39001_/Y VGND VGND VPWR VPWR _80234_/D sky130_fd_sc_hd__nand2_2
+XFILLER_349_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_653_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67034_ _67031_/Y _67032_/Y _67033_/Y VGND VGND VPWR VPWR _67196_/C sky130_fd_sc_hd__or3_2
+XFILLER_321_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_221_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52260_ _52276_/B VGND VGND VPWR VPWR _52267_/B sky130_fd_sc_hd__buf_1
+X_64246_ _64078_/X _64091_/X _64246_/C VGND VGND VPWR VPWR _64247_/A sky130_fd_sc_hd__nand3_2
+XFILLER_276_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_367_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80292_ _80321_/CLK _38785_/Y VGND VGND VPWR VPWR _80292_/Q sky130_fd_sc_hd__dfxtp_4
+X_61458_ _61458_/A _61458_/B VGND VGND VPWR VPWR _61458_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_52_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_516_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_159_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_296_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_176_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_395_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_309_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51211_ _51155_/A _51220_/B VGND VGND VPWR VPWR _51212_/B sky130_fd_sc_hd__or2_2
+XFILLER_716_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_653_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_571_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60409_ _77308_/Q _60092_/B VGND VGND VPWR VPWR _60409_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_382_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_713_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52191_ _52788_/A VGND VGND VPWR VPWR _52192_/A sky130_fd_sc_hd__buf_1
+XFILLER_15_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64177_ _64176_/X VGND VGND VPWR VPWR _64178_/B sky130_fd_sc_hd__buf_1
+XFILLER_293_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_694_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61389_ _59808_/A VGND VGND VPWR VPWR _61390_/B sky130_fd_sc_hd__buf_1
+XFILLER_266_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_471_3070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_308_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_102_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51142_ _51142_/A VGND VGND VPWR VPWR _51157_/B sky130_fd_sc_hd__buf_1
+XFILLER_159_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63128_ _59990_/A VGND VGND VPWR VPWR _63128_/X sky130_fd_sc_hd__buf_1
+XFILLER_419_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_257_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_701_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68985_ _80170_/Q _68827_/B VGND VGND VPWR VPWR _68985_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_235_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_514_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_162_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39904_ _39885_/A _39904_/B _39904_/C VGND VGND VPWR VPWR _39904_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_270_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_2686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67936_ _68254_/A _67925_/Y _67936_/C VGND VGND VPWR VPWR _67936_/Y sky130_fd_sc_hd__nor3_2
+X_55950_ _55948_/Y _55950_/B VGND VGND VPWR VPWR _55951_/B sky130_fd_sc_hd__nor2_2
+X_51073_ _77904_/Q _51058_/X VGND VGND VPWR VPWR _51073_/Y sky130_fd_sc_hd__nand2_2
+XPHY_11709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63059_ _59023_/X VGND VGND VPWR VPWR _63059_/X sky130_fd_sc_hd__buf_1
+XFILLER_317_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_67_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_213_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50024_ _78183_/Q _50027_/B VGND VGND VPWR VPWR _50026_/A sky130_fd_sc_hd__nand2_2
+XFILLER_489_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54901_ _59439_/A _54898_/B VGND VGND VPWR VPWR _54901_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_372_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_131_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39835_ _39832_/X _39835_/B VGND VGND VPWR VPWR _39835_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_170_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_372_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55881_ _42439_/A _46053_/D VGND VGND VPWR VPWR _55882_/B sky130_fd_sc_hd__nor2_2
+X_67867_ _67203_/X _67867_/B _67866_/Y VGND VGND VPWR VPWR _67876_/B sky130_fd_sc_hd__nor3_2
+XFILLER_465_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_192_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_311_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_569_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_311_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57620_ _57620_/A _57935_/B VGND VGND VPWR VPWR _57621_/C sky130_fd_sc_hd__nor2_2
+XFILLER_666_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69606_ _70793_/B _69578_/A VGND VGND VPWR VPWR _69607_/B sky130_fd_sc_hd__nor2_2
+XFILLER_693_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54832_ _53327_/A VGND VGND VPWR VPWR _54949_/A sky130_fd_sc_hd__buf_1
+XFILLER_9_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66818_ _66982_/A _66808_/Y _66818_/C VGND VGND VPWR VPWR _66819_/B sky130_fd_sc_hd__nor3_2
+XFILLER_626_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_664_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39766_ _39766_/A _39766_/B VGND VGND VPWR VPWR _39766_/Y sky130_fd_sc_hd__nand2_2
+XPHY_7039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_547_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67798_ _66152_/A VGND VGND VPWR VPWR _67971_/A sky130_fd_sc_hd__buf_1
+XFILLER_320_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_57_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_543_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_291_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38717_ _38586_/A _38709_/X VGND VGND VPWR VPWR _38717_/X sky130_fd_sc_hd__or2_2
+XFILLER_2_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57551_ _39816_/C _57633_/B VGND VGND VPWR VPWR _57551_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_446_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69537_ _64814_/A _69529_/Y _69537_/C VGND VGND VPWR VPWR _69537_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_607_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54763_ _54763_/A _54763_/B VGND VGND VPWR VPWR _76932_/D sky130_fd_sc_hd__nand2_2
+X_66749_ _66749_/A _66262_/X VGND VGND VPWR VPWR _66749_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_434_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51975_ _61620_/A _51989_/B VGND VGND VPWR VPWR _51978_/A sky130_fd_sc_hd__nand2_2
+XFILLER_449_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39697_ _39695_/X _39696_/Y VGND VGND VPWR VPWR _80057_/D sky130_fd_sc_hd__nand2_2
+XPHY_5604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56502_ _79985_/Q _56666_/B VGND VGND VPWR VPWR _56503_/C sky130_fd_sc_hd__nor2_2
+XFILLER_218_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53714_ _53714_/A _53714_/B VGND VGND VPWR VPWR _53714_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_187_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_56_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38648_ _38498_/A _38651_/B VGND VGND VPWR VPWR _38648_/X sky130_fd_sc_hd__or2_2
+XFILLER_547_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50926_ _50926_/A _50925_/X VGND VGND VPWR VPWR _77944_/D sky130_fd_sc_hd__nand2_2
+XFILLER_622_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57482_ _57400_/A _57482_/B VGND VGND VPWR VPWR _57482_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_183_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69468_ _81006_/Q _69328_/B VGND VGND VPWR VPWR _69470_/B sky130_fd_sc_hd__nor2_2
+XFILLER_465_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54694_ _76950_/Q _54694_/B VGND VGND VPWR VPWR _54697_/A sky130_fd_sc_hd__nand2_2
+XFILLER_285_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_96_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59221_ _77293_/Q _59221_/B VGND VGND VPWR VPWR _59221_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_650_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56433_ _56433_/A _56433_/B _56433_/C VGND VGND VPWR VPWR _56433_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68419_ _68419_/A _68419_/B VGND VGND VPWR VPWR _68420_/C sky130_fd_sc_hd__nor2_2
+XFILLER_281_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53645_ _53645_/A _53644_/X VGND VGND VPWR VPWR _53645_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_344_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38579_ _38579_/A _38579_/B _65741_/A VGND VGND VPWR VPWR _38579_/Y sky130_fd_sc_hd__nand3_2
+X_50857_ _50878_/B VGND VGND VPWR VPWR _50857_/X sky130_fd_sc_hd__buf_1
+XFILLER_71_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69399_ _69399_/A _68821_/X VGND VGND VPWR VPWR _69399_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_408_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_404_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_96_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40610_ _40608_/X _40610_/B VGND VGND VPWR VPWR _40610_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_326_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59152_ _54058_/A _59721_/B VGND VGND VPWR VPWR _59153_/C sky130_fd_sc_hd__nor2_2
+XFILLER_92_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71430_ _71224_/X _71415_/X _71240_/X _42604_/B VGND VGND VPWR VPWR _71431_/A sky130_fd_sc_hd__o22a_4
+XPHY_36728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56364_ _58679_/A VGND VGND VPWR VPWR _56364_/X sky130_fd_sc_hd__buf_1
+X_80628_ _80630_/CLK _75688_/Y VGND VGND VPWR VPWR _80628_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_502_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_207_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41590_ _41097_/X _41582_/X VGND VGND VPWR VPWR _41593_/A sky130_fd_sc_hd__or2_2
+XFILLER_721_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53576_ _77247_/Q _53579_/B VGND VGND VPWR VPWR _53576_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_25_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50788_ _50813_/B VGND VGND VPWR VPWR _50789_/B sky130_fd_sc_hd__buf_1
+XFILLER_658_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58103_ _58095_/Y _58102_/Y VGND VGND VPWR VPWR _58103_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_519_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55315_ _55369_/A _55315_/B VGND VGND VPWR VPWR _55315_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_158_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40541_ _40394_/A _40549_/B VGND VGND VPWR VPWR _40541_/X sky130_fd_sc_hd__or2_2
+XFILLER_161_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52527_ _77523_/Q _52522_/X VGND VGND VPWR VPWR _52527_/Y sky130_fd_sc_hd__nand2_2
+XPHY_26249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59083_ _59531_/A _59057_/Y _59082_/Y VGND VGND VPWR VPWR _59083_/Y sky130_fd_sc_hd__nor3_2
+X_71361_ _70903_/A _71314_/X VGND VGND VPWR VPWR _71362_/C sky130_fd_sc_hd__nor2_2
+XFILLER_241_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56295_ _56295_/A VGND VGND VPWR VPWR _56767_/A sky130_fd_sc_hd__buf_1
+XFILLER_347_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80559_ _80525_/CLK _80559_/D VGND VGND VPWR VPWR _75945_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_25515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_671_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_13_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_73100_ _42994_/A _73093_/B VGND VGND VPWR VPWR _73100_/X sky130_fd_sc_hd__or2_2
+XFILLER_139_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58034_ _57714_/A _58032_/Y _58034_/C VGND VGND VPWR VPWR _58035_/C sky130_fd_sc_hd__nor3_2
+XFILLER_298_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70312_ _70311_/X VGND VGND VPWR VPWR _70312_/Y sky130_fd_sc_hd__inv_8
+XFILLER_142_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_494_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43260_ _43258_/X _43260_/B VGND VGND VPWR VPWR _79397_/D sky130_fd_sc_hd__nand2_2
+XFILLER_138_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55246_ _55341_/A VGND VGND VPWR VPWR _55594_/A sky130_fd_sc_hd__inv_8
+X_74080_ _76155_/A VGND VGND VPWR VPWR _74610_/A sky130_fd_sc_hd__buf_1
+XFILLER_298_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_575_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40472_ _40471_/X _40466_/X _57157_/A VGND VGND VPWR VPWR _40473_/B sky130_fd_sc_hd__nand3_2
+XFILLER_562_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52458_ _52457_/X VGND VGND VPWR VPWR _52458_/X sky130_fd_sc_hd__buf_1
+XPHY_25559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71292_ _71428_/A _71292_/B _71291_/Y VGND VGND VPWR VPWR _71292_/X sky130_fd_sc_hd__or3_2
+XPHY_15036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_177_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_396_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_107_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42211_ _79502_/Q VGND VGND VPWR VPWR _45114_/A sky130_fd_sc_hd__buf_1
+XFILLER_21_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_103_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_455_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73031_ _73031_/A _73031_/B VGND VGND VPWR VPWR _81284_/D sky130_fd_sc_hd__nand2_2
+XFILLER_154_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51409_ _61350_/A _51397_/X VGND VGND VPWR VPWR _51414_/A sky130_fd_sc_hd__nand2_2
+XPHY_14324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70243_ _70220_/Y _70242_/Y VGND VGND VPWR VPWR _70244_/B sky130_fd_sc_hd__nor2_2
+XFILLER_276_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43191_ _43190_/Y _46175_/B VGND VGND VPWR VPWR _43201_/A sky130_fd_sc_hd__nor2_2
+XFILLER_154_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55177_ _55177_/A _55177_/B _55176_/Y VGND VGND VPWR VPWR _55177_/X sky130_fd_sc_hd__and3_2
+XFILLER_630_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_355_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52389_ _52330_/A _52395_/B VGND VGND VPWR VPWR _52389_/X sky130_fd_sc_hd__or2_2
+XFILLER_237_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_176_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_669_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42142_ _42139_/Y _42141_/Y _42142_/C VGND VGND VPWR VPWR _42142_/Y sky130_fd_sc_hd__nor3_2
+XPHY_14368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54128_ _54098_/A _54119_/B VGND VGND VPWR VPWR _54129_/B sky130_fd_sc_hd__or2_2
+XFILLER_181_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70174_ _70112_/X VGND VGND VPWR VPWR _70174_/X sky130_fd_sc_hd__buf_1
+XPHY_13634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59985_ _77082_/Q _59985_/B VGND VGND VPWR VPWR _59988_/B sky130_fd_sc_hd__nor2_2
+XPHY_12900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_253_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_532_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_589_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_205_0_u_core.clock clkbuf_9_205_0_u_core.clock/A VGND VGND VPWR VPWR _79194_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_535_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_10_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46950_ _46950_/A _46950_/B VGND VGND VPWR VPWR _46951_/B sky130_fd_sc_hd__and2_2
+X_42073_ _70639_/A _42077_/B VGND VGND VPWR VPWR _42074_/B sky130_fd_sc_hd__nor2_2
+XPHY_13678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54059_ _53946_/A _54043_/A VGND VGND VPWR VPWR _54060_/B sky130_fd_sc_hd__or2_2
+X_58936_ _64014_/A _58910_/Y _58936_/C VGND VGND VPWR VPWR _58981_/A sky130_fd_sc_hd__nor3_2
+XFILLER_669_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77770_ _77769_/CLK _77770_/D VGND VGND VPWR VPWR _51599_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_49_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74982_ _74982_/A _74981_/X VGND VGND VPWR VPWR _74982_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_531_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41024_ _41010_/A _41010_/B _68936_/A VGND VGND VPWR VPWR _41025_/B sky130_fd_sc_hd__nand3_2
+X_45901_ _41841_/Y _45891_/X _45764_/Y _45710_/X VGND VGND VPWR VPWR _45902_/B sky130_fd_sc_hd__o22a_4
+XFILLER_192_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76721_ _76678_/CLK _55880_/Y VGND VGND VPWR VPWR _69675_/B sky130_fd_sc_hd__dfxtp_4
+XPHY_12977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73933_ _73811_/A _73923_/B VGND VGND VPWR VPWR _73933_/X sky130_fd_sc_hd__or2_2
+XFILLER_310_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_114_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46881_ _46877_/X _46880_/X VGND VGND VPWR VPWR _46881_/X sky130_fd_sc_hd__and2_2
+XFILLER_333_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58867_ _58867_/A _58868_/B _58853_/X VGND VGND VPWR VPWR _58867_/Y sky130_fd_sc_hd__nor3_2
+XPHY_12988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_374_0_u_core.clock clkbuf_9_375_0_u_core.clock/A VGND VGND VPWR VPWR _78189_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_79_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_40_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48620_ _63715_/A _48611_/B VGND VGND VPWR VPWR _48620_/Y sky130_fd_sc_hd__nand2_2
+XPHY_30378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79440_ _79435_/CLK _42899_/Y VGND VGND VPWR VPWR _69095_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_121_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_311_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57818_ _57818_/A _57818_/B VGND VGND VPWR VPWR _57819_/C sky130_fd_sc_hd__nor2_2
+XFILLER_62_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45832_ _45832_/A _45832_/B _45813_/C VGND VGND VPWR VPWR _45833_/C sky130_fd_sc_hd__nor3_2
+XPHY_30389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76652_ _76657_/CLK _57066_/Y VGND VGND VPWR VPWR _76652_/Q sky130_fd_sc_hd__dfxtp_4
+X_73864_ _73862_/X _73863_/Y VGND VGND VPWR VPWR _73864_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_409_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58798_ _39257_/C _58526_/B VGND VGND VPWR VPWR _58800_/B sky130_fd_sc_hd__nor2_2
+XFILLER_467_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75603_ _75966_/A _75599_/X VGND VGND VPWR VPWR _75603_/X sky130_fd_sc_hd__or2_2
+XFILLER_463_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48551_ _48551_/A VGND VGND VPWR VPWR _48552_/B sky130_fd_sc_hd__buf_1
+XFILLER_526_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72815_ _72812_/A _72812_/B _81331_/Q VGND VGND VPWR VPWR _72815_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_5_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79371_ _81263_/CLK _43355_/Y VGND VGND VPWR VPWR _56560_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_47_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45763_ _45763_/A _45754_/B VGND VGND VPWR VPWR _45767_/A sky130_fd_sc_hd__nor2_2
+XFILLER_208_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57749_ _81312_/Q _57501_/X VGND VGND VPWR VPWR _57751_/B sky130_fd_sc_hd__nor2_2
+XFILLER_276_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_23_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76583_ VGND VGND VPWR VPWR _76583_/HI la_data_out[79] sky130_fd_sc_hd__conb_1
+XPHY_7573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42975_ _42968_/A _42968_/B _56962_/A VGND VGND VPWR VPWR _42976_/B sky130_fd_sc_hd__nand3_2
+XFILLER_444_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73795_ _73793_/X _73794_/Y VGND VGND VPWR VPWR _73795_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_212_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_425_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_188_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_110_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_424_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47502_ _47829_/A VGND VGND VPWR VPWR _47608_/A sky130_fd_sc_hd__buf_1
+XFILLER_614_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78322_ _78317_/CLK _78322_/D VGND VGND VPWR VPWR _78322_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_39343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44714_ _44382_/A _44716_/C VGND VGND VPWR VPWR _44714_/X sky130_fd_sc_hd__and2_2
+XPHY_6861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75534_ _75534_/A _75528_/X _66054_/A VGND VGND VPWR VPWR _75534_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_424_2809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41926_ _41925_/X VGND VGND VPWR VPWR _41975_/A sky130_fd_sc_hd__buf_1
+XPHY_39354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60760_ _60614_/A _60760_/B _60760_/C VGND VGND VPWR VPWR _60761_/B sky130_fd_sc_hd__nor3_2
+X_72746_ _72744_/X _72746_/B VGND VGND VPWR VPWR _72746_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48482_ _48948_/A VGND VGND VPWR VPWR _48602_/A sky130_fd_sc_hd__buf_1
+XFILLER_526_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_483_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45694_ _45694_/A _45694_/B VGND VGND VPWR VPWR _45694_/Y sky130_fd_sc_hd__nor2_2
+XPHY_39365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_263_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_149_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_40_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_597_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_90_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_291_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59419_ _59577_/A _59419_/B _59418_/Y VGND VGND VPWR VPWR _59419_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_290_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47433_ _47433_/A _47451_/B VGND VGND VPWR VPWR _47433_/X sky130_fd_sc_hd__or2_2
+X_78253_ _77836_/CLK _78253_/D VGND VGND VPWR VPWR _49760_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_38653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44645_ _44645_/A VGND VGND VPWR VPWR _44733_/A sky130_fd_sc_hd__inv_8
+XFILLER_263_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75465_ _75465_/A _75465_/B VGND VGND VPWR VPWR _75465_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_63_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41857_ _41857_/A VGND VGND VPWR VPWR _41857_/Y sky130_fd_sc_hd__inv_8
+XPHY_38664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60691_ _60534_/A _60691_/B _60690_/Y VGND VGND VPWR VPWR _60692_/B sky130_fd_sc_hd__nor3_2
+XFILLER_346_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72677_ _72677_/A _72688_/B VGND VGND VPWR VPWR _72677_/X sky130_fd_sc_hd__or2_2
+XFILLER_268_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_229_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77204_ _77203_/CLK _53740_/Y VGND VGND VPWR VPWR _53737_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62430_ _62430_/A _62120_/B VGND VGND VPWR VPWR _62431_/C sky130_fd_sc_hd__nor2_2
+XPHY_38697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74416_ _74173_/A _74412_/B VGND VGND VPWR VPWR _74416_/X sky130_fd_sc_hd__or2_2
+XFILLER_451_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40808_ _40815_/A _40815_/B _67652_/A VGND VGND VPWR VPWR _40809_/B sky130_fd_sc_hd__nand3_2
+XFILLER_698_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_56_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47364_ _47361_/Y _47364_/B VGND VGND VPWR VPWR _78860_/D sky130_fd_sc_hd__nand2_2
+XFILLER_377_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71628_ _71083_/X _71627_/Y _71283_/X _70498_/Y VGND VGND VPWR VPWR _71628_/X sky130_fd_sc_hd__o22a_4
+XFILLER_160_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_204_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78184_ _78191_/CLK _78184_/D VGND VGND VPWR VPWR _78184_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_37963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44576_ _44576_/A _44576_/B _44576_/C VGND VGND VPWR VPWR _44576_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_189_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75396_ _75274_/A _75391_/B VGND VGND VPWR VPWR _75396_/X sky130_fd_sc_hd__or2_2
+XPHY_28185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41788_ _46646_/A VGND VGND VPWR VPWR _46644_/A sky130_fd_sc_hd__inv_8
+XPHY_37974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_676_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49103_ _49133_/A _49099_/B VGND VGND VPWR VPWR _49103_/X sky130_fd_sc_hd__or2_2
+XFILLER_225_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_539_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46315_ _46323_/B VGND VGND VPWR VPWR _46316_/B sky130_fd_sc_hd__inv_8
+XFILLER_149_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77135_ _77128_/CLK _77135_/D VGND VGND VPWR VPWR _59554_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43527_ _43527_/A _42426_/Y VGND VGND VPWR VPWR _43527_/X sky130_fd_sc_hd__or2_2
+XFILLER_422_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62361_ _62023_/X _62360_/Y VGND VGND VPWR VPWR _62361_/Y sky130_fd_sc_hd__nor2_2
+X_74347_ _74347_/A _74347_/B VGND VGND VPWR VPWR _80957_/D sky130_fd_sc_hd__nand2_2
+XFILLER_125_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_281_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40739_ _40724_/X _40750_/B _56754_/A VGND VGND VPWR VPWR _40740_/B sky130_fd_sc_hd__nand3_2
+XFILLER_502_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71559_ _70460_/D _70979_/X VGND VGND VPWR VPWR _71559_/Y sky130_fd_sc_hd__nor2_2
+X_47295_ _47291_/X _53137_/A VGND VGND VPWR VPWR _49071_/A sky130_fd_sc_hd__or2_2
+XFILLER_70_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_657_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_242_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64100_ _64100_/A _64083_/A _64497_/A _64077_/Y VGND VGND VPWR VPWR _64246_/C sky130_fd_sc_hd__or4_2
+XFILLER_223_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_619_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_340_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_477_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61312_ _77378_/Q _60688_/B VGND VGND VPWR VPWR _61312_/Y sky130_fd_sc_hd__nor2_2
+X_49034_ _49063_/A _49024_/X VGND VGND VPWR VPWR _49034_/X sky130_fd_sc_hd__or2_2
+XFILLER_203_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_581_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46246_ _41756_/Y _46240_/B VGND VGND VPWR VPWR _46247_/B sky130_fd_sc_hd__nor2_2
+X_65080_ _65080_/A _64907_/B VGND VGND VPWR VPWR _65081_/C sky130_fd_sc_hd__nor2_2
+XPHY_580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77066_ _77606_/CLK _77066_/D VGND VGND VPWR VPWR _77066_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_318_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43458_ _71889_/B _43465_/B VGND VGND VPWR VPWR _43461_/A sky130_fd_sc_hd__or2_2
+XFILLER_51_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62292_ _59258_/X VGND VGND VPWR VPWR _62292_/X sky130_fd_sc_hd__buf_1
+XPHY_16260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74278_ _74667_/A _74284_/B VGND VGND VPWR VPWR _74283_/A sky130_fd_sc_hd__or2_2
+XFILLER_477_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_572_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_518_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64031_ _58982_/A _64031_/B VGND VGND VPWR VPWR _64064_/B sky130_fd_sc_hd__nor2_2
+X_76017_ _75998_/A VGND VGND VPWR VPWR _76018_/B sky130_fd_sc_hd__buf_1
+XPHY_16293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42409_ _46694_/A VGND VGND VPWR VPWR _42409_/Y sky130_fd_sc_hd__inv_8
+X_61243_ _77506_/Q _61243_/B VGND VGND VPWR VPWR _61243_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_195_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73229_ _73226_/Y _73228_/X VGND VGND VPWR VPWR _81238_/D sky130_fd_sc_hd__nand2_2
+XFILLER_8_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_32_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46177_ _79447_/Q _43195_/Y _79446_/Q _46822_/B VGND VGND VPWR VPWR _46177_/X sky130_fd_sc_hd__o22a_4
+XFILLER_12_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_356_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_670_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43389_ _71262_/B _43378_/B VGND VGND VPWR VPWR _43391_/A sky130_fd_sc_hd__or2_2
+XPHY_15570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_275_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_353_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_357_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_689_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_15_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45128_ _45464_/A VGND VGND VPWR VPWR _45128_/X sky130_fd_sc_hd__buf_1
+XFILLER_318_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61174_ _60865_/A _61166_/Y _61174_/C VGND VGND VPWR VPWR _61195_/A sky130_fd_sc_hd__nor3_2
+XFILLER_334_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_392_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_102_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_271_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_521_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_436_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_86_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60125_ _59643_/A _60123_/Y _60124_/Y VGND VGND VPWR VPWR _60125_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_351_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_360_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_588_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49936_ _49936_/A _49933_/B VGND VGND VPWR VPWR _49936_/X sky130_fd_sc_hd__or2_2
+XFILLER_119_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45059_ _45059_/A VGND VGND VPWR VPWR _45091_/B sky130_fd_sc_hd__buf_1
+XPHY_32270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68770_ _64275_/A VGND VGND VPWR VPWR _69355_/B sky130_fd_sc_hd__buf_1
+XFILLER_172_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65982_ _65145_/A VGND VGND VPWR VPWR _65983_/B sky130_fd_sc_hd__buf_1
+XFILLER_451_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77968_ _78494_/CLK _50834_/Y VGND VGND VPWR VPWR _62141_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_649_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_302_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_171_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67721_ _66897_/A VGND VGND VPWR VPWR _67724_/A sky130_fd_sc_hd__buf_1
+XFILLER_629_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79707_ _79741_/CLK _79707_/D VGND VGND VPWR VPWR _57377_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_360_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64933_ _65460_/A _64933_/B _64932_/Y VGND VGND VPWR VPWR _64933_/Y sky130_fd_sc_hd__nor3_2
+X_60056_ _59183_/A VGND VGND VPWR VPWR _60075_/A sky130_fd_sc_hd__buf_1
+XFILLER_351_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76919_ _76921_/CLK _54808_/Y VGND VGND VPWR VPWR _76919_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_312_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_531_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49867_ _49839_/A _49876_/B VGND VGND VPWR VPWR _49868_/B sky130_fd_sc_hd__or2_2
+XFILLER_433_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_313_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_230_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_607_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77899_ _78800_/CLK _77899_/D VGND VGND VPWR VPWR _77899_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_31591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_132_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39620_ _39108_/A _39623_/B VGND VGND VPWR VPWR _39620_/X sky130_fd_sc_hd__or2_2
+XFILLER_80_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_288_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48818_ _48818_/A _48818_/B VGND VGND VPWR VPWR _78496_/D sky130_fd_sc_hd__nand2_2
+XFILLER_252_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67652_ _67652_/A _67652_/B VGND VGND VPWR VPWR _67652_/Y sky130_fd_sc_hd__nor2_2
+X_79638_ _79670_/CLK _41368_/Y VGND VGND VPWR VPWR _65645_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_113_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64864_ _65034_/A _64864_/B _64863_/Y VGND VGND VPWR VPWR _64868_/B sky130_fd_sc_hd__nor3_2
+XFILLER_664_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_427_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49798_ _49794_/Y _49798_/B VGND VGND VPWR VPWR _49798_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_214_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66603_ _65780_/A VGND VGND VPWR VPWR _66606_/A sky130_fd_sc_hd__buf_1
+XFILLER_285_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39551_ _39549_/X _39550_/Y VGND VGND VPWR VPWR _39551_/Y sky130_fd_sc_hd__nand2_2
+X_63815_ _76764_/Q _59008_/B VGND VGND VPWR VPWR _63816_/C sky130_fd_sc_hd__nor2_2
+XFILLER_210_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48749_ _63428_/A _48742_/B VGND VGND VPWR VPWR _48751_/A sky130_fd_sc_hd__nand2_2
+X_67583_ _67092_/X _67581_/Y _67582_/Y VGND VGND VPWR VPWR _67587_/B sky130_fd_sc_hd__nor3_2
+XFILLER_252_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79569_ _79599_/CLK _41625_/Y VGND VGND VPWR VPWR _56557_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_629_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64795_ _64795_/A _69465_/B VGND VGND VPWR VPWR _64797_/B sky130_fd_sc_hd__nor2_2
+XFILLER_724_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_508_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_462_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38502_ _74705_/A VGND VGND VPWR VPWR _38503_/A sky130_fd_sc_hd__buf_1
+XFILLER_82_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_607_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_455_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69322_ _81101_/Q _68897_/B VGND VGND VPWR VPWR _69323_/C sky130_fd_sc_hd__nor2_2
+XFILLER_27_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_345_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66534_ _43700_/Y _66210_/B VGND VGND VPWR VPWR _66536_/B sky130_fd_sc_hd__nor2_2
+XFILLER_282_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_78_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63746_ _47955_/A _63877_/B VGND VGND VPWR VPWR _63746_/Y sky130_fd_sc_hd__nor2_2
+X_51760_ _51760_/A _51760_/B VGND VGND VPWR VPWR _51760_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_449_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39482_ _39488_/A _39472_/B _56594_/A VGND VGND VPWR VPWR _39483_/B sky130_fd_sc_hd__nand3_2
+XFILLER_130_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_208_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_60958_ _60331_/A _60956_/Y _60958_/C VGND VGND VPWR VPWR _60958_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_705_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_58_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_542_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_466_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_624_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_113_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_187_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38433_ _38415_/A VGND VGND VPWR VPWR _38434_/B sky130_fd_sc_hd__buf_1
+X_50711_ _62330_/A _50696_/X VGND VGND VPWR VPWR _50711_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_605_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69253_ _58658_/A _64560_/B VGND VGND VPWR VPWR _69254_/C sky130_fd_sc_hd__nor2_2
+XFILLER_577_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66465_ _57377_/A _65965_/B VGND VGND VPWR VPWR _66467_/B sky130_fd_sc_hd__nor2_2
+XFILLER_525_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51691_ _61010_/A _51676_/X VGND VGND VPWR VPWR _51691_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_53_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63677_ _63677_/A _58998_/B VGND VGND VPWR VPWR _63678_/C sky130_fd_sc_hd__nor2_2
+XFILLER_242_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60889_ _60889_/A _60889_/B _60889_/C VGND VGND VPWR VPWR _60890_/B sky130_fd_sc_hd__nor3_2
+XFILLER_345_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_187_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68204_ _80486_/Q _68048_/B VGND VGND VPWR VPWR _68204_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_369_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53430_ _53343_/X _53421_/B VGND VGND VPWR VPWR _53430_/X sky130_fd_sc_hd__or2_2
+XFILLER_198_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65416_ _80437_/Q _65910_/B VGND VGND VPWR VPWR _65417_/C sky130_fd_sc_hd__nor2_2
+XFILLER_503_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_184_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38364_ _38364_/A _38364_/B VGND VGND VPWR VPWR _80395_/D sky130_fd_sc_hd__nand2_2
+XFILLER_360_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_345_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50642_ _50642_/A _50663_/B VGND VGND VPWR VPWR _50642_/X sky130_fd_sc_hd__or2_2
+X_62628_ _78179_/Q _62627_/X VGND VGND VPWR VPWR _62629_/C sky130_fd_sc_hd__nor2_2
+XFILLER_263_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69184_ _81100_/Q _68897_/B VGND VGND VPWR VPWR _69185_/C sky130_fd_sc_hd__nor2_2
+X_81462_ _81326_/CLK _72300_/Y VGND VGND VPWR VPWR _81462_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_260_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_380_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66396_ _66715_/A _66396_/B _66395_/Y VGND VGND VPWR VPWR _66396_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_601_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_694_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_243_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80413_ _80477_/CLK _38294_/Y VGND VGND VPWR VPWR _80413_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_599_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68135_ _80613_/Q _67803_/B VGND VGND VPWR VPWR _68136_/C sky130_fd_sc_hd__nor2_2
+XFILLER_14_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_39_0_u_core.clock clkbuf_6_38_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_79_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_53361_ _53354_/A VGND VGND VPWR VPWR _53371_/B sky130_fd_sc_hd__buf_1
+X_65347_ _56752_/A _65682_/B VGND VGND VPWR VPWR _65347_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_694_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_52_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38295_ _38277_/A VGND VGND VPWR VPWR _38296_/B sky130_fd_sc_hd__buf_1
+XFILLER_323_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_22_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50573_ _50573_/A _50572_/X VGND VGND VPWR VPWR _50573_/Y sky130_fd_sc_hd__nand2_2
+X_62559_ _50101_/A _62711_/B VGND VGND VPWR VPWR _62560_/C sky130_fd_sc_hd__nor2_2
+X_81393_ _81393_/CLK _81393_/D VGND VGND VPWR VPWR _64767_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_323_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_143_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_573_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55100_ _70572_/A _70582_/A _55100_/C VGND VGND VPWR VPWR _55100_/X sky130_fd_sc_hd__or3_2
+XFILLER_356_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_328_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52312_ _52308_/X VGND VGND VPWR VPWR _52332_/B sky130_fd_sc_hd__buf_1
+XFILLER_179_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56080_ _56091_/A _44951_/B VGND VGND VPWR VPWR _56090_/B sky130_fd_sc_hd__nor2_2
+XFILLER_143_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80344_ _80393_/CLK _80344_/D VGND VGND VPWR VPWR _65906_/A sky130_fd_sc_hd__dfxtp_4
+X_68066_ _68066_/A _68563_/B VGND VGND VPWR VPWR _68066_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_557_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53292_ _77321_/Q _53292_/B VGND VGND VPWR VPWR _53294_/A sky130_fd_sc_hd__nand2_2
+X_65278_ _65278_/A _64923_/B VGND VGND VPWR VPWR _65278_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_148_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_10_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_495_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55031_ _55028_/Y _55031_/B VGND VGND VPWR VPWR _76858_/D sky130_fd_sc_hd__nand2_2
+XFILLER_337_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67017_ _65364_/X VGND VGND VPWR VPWR _67018_/B sky130_fd_sc_hd__buf_1
+XFILLER_699_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52243_ _52243_/A _52243_/B VGND VGND VPWR VPWR _52243_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64229_ _81423_/Q _64190_/B VGND VGND VPWR VPWR _64230_/C sky130_fd_sc_hd__nor2_2
+XFILLER_300_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_108_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80275_ _80279_/CLK _80275_/D VGND VGND VPWR VPWR _38845_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_525_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_560_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_137_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_336_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52174_ _60991_/A _52163_/B VGND VGND VPWR VPWR _52178_/A sky130_fd_sc_hd__nand2_2
+XFILLER_540_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_176_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_551_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_293_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_107_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51125_ _51122_/Y _51125_/B VGND VGND VPWR VPWR _77890_/D sky130_fd_sc_hd__nand2_2
+XFILLER_89_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_352_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_541_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_151_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59770_ _59447_/A _59768_/Y _59770_/C VGND VGND VPWR VPWR _59770_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_258_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_104_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_701_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56982_ _56982_/A _56981_/Y VGND VGND VPWR VPWR _56982_/Y sky130_fd_sc_hd__nor2_2
+X_68968_ _68968_/A _68966_/Y _68967_/Y VGND VGND VPWR VPWR _68968_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_686_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_162_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58721_ _58788_/A _58721_/B _58720_/Y VGND VGND VPWR VPWR _58721_/Y sky130_fd_sc_hd__nor3_2
+XPHY_11528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51056_ _51056_/A _51055_/X VGND VGND VPWR VPWR _77909_/D sky130_fd_sc_hd__nand2_2
+XFILLER_85_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55933_ _55933_/A _55933_/B VGND VGND VPWR VPWR _76682_/D sky130_fd_sc_hd__or2_2
+X_67919_ _68241_/A _67917_/Y _67918_/Y VGND VGND VPWR VPWR _67919_/Y sky130_fd_sc_hd__nor3_2
+XPHY_11539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_89_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_235_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_72_0_u_core.clock clkbuf_7_73_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_72_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_467_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68899_ _69324_/A _68899_/B _68898_/Y VGND VGND VPWR VPWR _68899_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_647_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50007_ _50007_/A _50007_/B VGND VGND VPWR VPWR _50007_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_334_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39818_ _39184_/A VGND VGND VPWR VPWR _40313_/A sky130_fd_sc_hd__buf_1
+XPHY_10838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58652_ _39899_/C _58585_/B VGND VGND VPWR VPWR _58652_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_287_3046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_2_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70930_ _70917_/X _71580_/A VGND VGND VPWR VPWR _70978_/B sky130_fd_sc_hd__nor2_2
+XFILLER_330_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_466_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_369_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55864_ _76637_/Q VGND VGND VPWR VPWR _55865_/A sky130_fd_sc_hd__inv_8
+XFILLER_265_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_248_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_189_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_682_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_19_0_u_core.clock clkbuf_6_9_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_38_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_276_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57603_ _57603_/A _57445_/X VGND VGND VPWR VPWR _57605_/B sky130_fd_sc_hd__nor2_2
+XPHY_6113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54815_ _54815_/A _54815_/B VGND VGND VPWR VPWR _54828_/A sky130_fd_sc_hd__or2_2
+XFILLER_267_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39749_ _39744_/X _39738_/X _80044_/Q VGND VGND VPWR VPWR _39749_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_258_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58583_ _58430_/A _58581_/Y _58582_/Y VGND VGND VPWR VPWR _58587_/B sky130_fd_sc_hd__nor3_2
+XFILLER_287_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70861_ _70848_/X _70850_/X _71729_/B VGND VGND VPWR VPWR _71593_/B sky130_fd_sc_hd__or3_2
+XFILLER_162_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55795_ _55795_/A _55739_/B VGND VGND VPWR VPWR _55821_/B sky130_fd_sc_hd__or2_2
+XFILLER_291_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_73_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_3109 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72600_ _72600_/A _72600_/B VGND VGND VPWR VPWR _81388_/D sky130_fd_sc_hd__nand2_2
+XPHY_5412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_311_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57534_ _57531_/X _57534_/B _57533_/Y VGND VGND VPWR VPWR _57534_/Y sky130_fd_sc_hd__nor3_2
+XPHY_5423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42760_ _69991_/A _42760_/B VGND VGND VPWR VPWR _42760_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_582_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54746_ _76936_/Q _54731_/X VGND VGND VPWR VPWR _54746_/Y sky130_fd_sc_hd__nand2_2
+X_73580_ _73580_/A _73579_/Y VGND VGND VPWR VPWR _73580_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_406_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51958_ _51930_/A _51954_/X VGND VGND VPWR VPWR _51959_/B sky130_fd_sc_hd__or2_2
+XPHY_19814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70792_ _70792_/A _70815_/B VGND VGND VPWR VPWR _70794_/B sky130_fd_sc_hd__nor2_2
+XFILLER_527_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_445_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_445_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41711_ _41711_/A VGND VGND VPWR VPWR _55235_/A sky130_fd_sc_hd__inv_8
+XPHY_37204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72531_ _72251_/A _72531_/B VGND VGND VPWR VPWR _72531_/X sky130_fd_sc_hd__or2_2
+XFILLER_363_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_268_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50909_ _50908_/X VGND VGND VPWR VPWR _50909_/X sky130_fd_sc_hd__buf_1
+XFILLER_2_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_92_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57465_ _57144_/X _57420_/X _57464_/X _74484_/A _57227_/X VGND VGND VPWR VPWR _76657_/D
++ sky130_fd_sc_hd__a32oi_4
+XPHY_37215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_502_0_u_core.clock clkbuf_9_503_0_u_core.clock/A VGND VGND VPWR VPWR _80473_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_382_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42691_ _42691_/A _42691_/B VGND VGND VPWR VPWR _42691_/X sky130_fd_sc_hd__and2_2
+X_54677_ _76954_/Q _54682_/B VGND VGND VPWR VPWR _54677_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_205_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_229_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_183_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51889_ _51891_/A VGND VGND VPWR VPWR _51890_/B sky130_fd_sc_hd__buf_1
+XFILLER_324_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59204_ _59155_/X VGND VGND VPWR VPWR _59205_/A sky130_fd_sc_hd__buf_1
+XFILLER_265_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44430_ _79233_/Q _44430_/B _44322_/A VGND VGND VPWR VPWR _44430_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56416_ _56416_/A VGND VGND VPWR VPWR _56744_/A sky130_fd_sc_hd__buf_1
+XFILLER_302_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75250_ _75247_/A _75247_/B _80733_/Q VGND VGND VPWR VPWR _75250_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_697_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41642_ _42270_/A _45912_/A _41642_/C _41641_/Y VGND VGND VPWR VPWR _41907_/B sky130_fd_sc_hd__or4_2
+XPHY_36514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53628_ _53661_/A _53640_/B VGND VGND VPWR VPWR _53628_/X sky130_fd_sc_hd__or2_2
+XFILLER_406_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72462_ _72335_/A _72453_/B VGND VGND VPWR VPWR _72462_/X sky130_fd_sc_hd__or2_2
+XPHY_4777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57396_ _66661_/A _57645_/B VGND VGND VPWR VPWR _57396_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_343_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_3054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_3005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_363_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74201_ _74198_/X _74201_/B VGND VGND VPWR VPWR _74201_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_31_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71413_ _71413_/A VGND VGND VPWR VPWR _71414_/B sky130_fd_sc_hd__inv_8
+XFILLER_658_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59135_ _59100_/A VGND VGND VPWR VPWR _60201_/A sky130_fd_sc_hd__buf_1
+XPHY_35813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44361_ _44354_/Y _44590_/A VGND VGND VPWR VPWR _44582_/A sky130_fd_sc_hd__or2_4
+Xclkbuf_8_104_0_u_core.clock clkbuf_7_52_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_209_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_26035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56347_ _56347_/A VGND VGND VPWR VPWR _56348_/A sky130_fd_sc_hd__buf_1
+X_75181_ _76343_/A _74665_/B VGND VGND VPWR VPWR _75206_/A sky130_fd_sc_hd__or2_2
+XFILLER_497_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41573_ _41536_/X VGND VGND VPWR VPWR _41573_/X sky130_fd_sc_hd__buf_1
+XPHY_35824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53559_ _53559_/A _53570_/B VGND VGND VPWR VPWR _53562_/A sky130_fd_sc_hd__nand2_2
+XFILLER_375_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72393_ _72393_/A _72392_/Y VGND VGND VPWR VPWR _81443_/D sky130_fd_sc_hd__nand2_2
+XFILLER_493_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_164_0_u_core.clock clkbuf_8_82_0_u_core.clock/X VGND VGND VPWR VPWR _76800_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_619_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_183_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_107_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_595_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46100_ _46098_/X _46099_/X VGND VGND VPWR VPWR _46189_/A sky130_fd_sc_hd__nand2_2
+XFILLER_709_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_519_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43312_ _43312_/A _43312_/B VGND VGND VPWR VPWR _79383_/D sky130_fd_sc_hd__nand2_2
+XFILLER_632_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74132_ _74260_/A _74127_/B VGND VGND VPWR VPWR _74134_/A sky130_fd_sc_hd__or2_2
+XFILLER_161_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40524_ _40527_/A _40527_/B _58790_/A VGND VGND VPWR VPWR _40524_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_259_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47080_ _70778_/X _47072_/B VGND VGND VPWR VPWR _47081_/C sky130_fd_sc_hd__and2_2
+XFILLER_718_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_379_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59066_ _59077_/A VGND VGND VPWR VPWR _59199_/A sky130_fd_sc_hd__buf_1
+X_71344_ _72203_/A VGND VGND VPWR VPWR _43801_/A sky130_fd_sc_hd__buf_1
+XFILLER_224_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44292_ _44260_/A _44290_/C VGND VGND VPWR VPWR _44293_/B sky130_fd_sc_hd__or2_2
+XFILLER_9_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56278_ _56271_/X _56278_/B _56277_/Y VGND VGND VPWR VPWR _56278_/Y sky130_fd_sc_hd__nor3_2
+Xclkbuf_8_52_0_u_core.clock clkbuf_8_53_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_52_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_714_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_298_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_3052 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46031_ _46031_/A VGND VGND VPWR VPWR _46031_/X sky130_fd_sc_hd__buf_1
+XFILLER_200_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58017_ _58017_/A _58016_/X VGND VGND VPWR VPWR _58017_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_13_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43243_ _73384_/A _40880_/A VGND VGND VPWR VPWR _43244_/A sky130_fd_sc_hd__or2_2
+X_55229_ _55229_/A _55229_/B VGND VGND VPWR VPWR _55229_/X sky130_fd_sc_hd__or2_2
+XPHY_14110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74063_ _74061_/X _74062_/Y VGND VGND VPWR VPWR _81025_/D sky130_fd_sc_hd__nand2_2
+X_78940_ _78940_/CLK _78940_/D VGND VGND VPWR VPWR _69881_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_554_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40455_ _73199_/A VGND VGND VPWR VPWR _40590_/A sky130_fd_sc_hd__buf_1
+XFILLER_86_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71275_ _71416_/A _70252_/A VGND VGND VPWR VPWR _71275_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_396_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_519_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73014_ _71262_/B _73002_/B VGND VGND VPWR VPWR _73015_/B sky130_fd_sc_hd__or2_2
+XFILLER_504_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_166_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70226_ _70226_/A _70226_/B VGND VGND VPWR VPWR _70227_/B sky130_fd_sc_hd__nor2_2
+XPHY_23943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43174_ _43181_/A VGND VGND VPWR VPWR _46168_/B sky130_fd_sc_hd__buf_1
+XFILLER_544_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78871_ _79565_/CLK _78871_/D VGND VGND VPWR VPWR _78871_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_14165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40386_ _40386_/A _40405_/B VGND VGND VPWR VPWR _40386_/X sky130_fd_sc_hd__or2_2
+XFILLER_257_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_491_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42125_ _79519_/Q _42118_/B VGND VGND VPWR VPWR _42125_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77822_ _77749_/CLK _51392_/Y VGND VGND VPWR VPWR _60717_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_504_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_437_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70157_ _70183_/A _70157_/B _70157_/C VGND VGND VPWR VPWR _70158_/A sky130_fd_sc_hd__or3_2
+XFILLER_292_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47982_ _47982_/A _47982_/B VGND VGND VPWR VPWR _47982_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59968_ _76858_/Q _59496_/B VGND VGND VPWR VPWR _59969_/C sky130_fd_sc_hd__nor2_2
+XFILLER_370_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_292_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49721_ _49693_/A _49730_/B VGND VGND VPWR VPWR _49722_/B sky130_fd_sc_hd__or2_2
+XFILLER_68_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58919_ _54663_/A _58919_/B VGND VGND VPWR VPWR _58919_/Y sky130_fd_sc_hd__nor2_2
+X_46933_ _70334_/Y _46898_/B VGND VGND VPWR VPWR _46934_/C sky130_fd_sc_hd__nor2_2
+X_42056_ _42056_/A VGND VGND VPWR VPWR _42098_/A sky130_fd_sc_hd__buf_1
+X_77753_ _76890_/CLK _51661_/Y VGND VGND VPWR VPWR _77753_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_270_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74965_ _74965_/A _74973_/B _68696_/A VGND VGND VPWR VPWR _74967_/A sky130_fd_sc_hd__nand3_2
+XFILLER_489_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70088_ _69964_/Y VGND VGND VPWR VPWR _70303_/A sky130_fd_sc_hd__buf_1
+XFILLER_235_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59899_ _59899_/A _59573_/B VGND VGND VPWR VPWR _59900_/C sky130_fd_sc_hd__nor2_2
+XFILLER_630_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_669_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41007_ _41007_/A VGND VGND VPWR VPWR _41008_/A sky130_fd_sc_hd__buf_1
+XFILLER_268_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_657_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76704_ _79185_/CLK _76704_/D VGND VGND VPWR VPWR _70354_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_352_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_311_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61930_ _61930_/A _62089_/B VGND VGND VPWR VPWR _61931_/C sky130_fd_sc_hd__nor2_2
+X_49652_ _49714_/A _49675_/B VGND VGND VPWR VPWR _49653_/B sky130_fd_sc_hd__or2_2
+X_73916_ _73986_/A VGND VGND VPWR VPWR _73917_/A sky130_fd_sc_hd__buf_1
+XFILLER_23_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46864_ _46836_/X _71194_/Y _71113_/B _46846_/X VGND VGND VPWR VPWR _46864_/X sky130_fd_sc_hd__o22a_4
+X_77684_ _77679_/CLK _51921_/Y VGND VGND VPWR VPWR _61599_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_296_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_190_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74896_ _75091_/A VGND VGND VPWR VPWR _74901_/A sky130_fd_sc_hd__buf_1
+XFILLER_489_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48603_ _48601_/Y _48602_/X VGND VGND VPWR VPWR _78550_/D sky130_fd_sc_hd__nand2_2
+XFILLER_650_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79423_ _79426_/CLK _42961_/Y VGND VGND VPWR VPWR _66323_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_121_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45815_ _45815_/A VGND VGND VPWR VPWR _45816_/B sky130_fd_sc_hd__buf_2
+X_76635_ io_out[26] VGND VGND VPWR VPWR la_data_out[39] sky130_fd_sc_hd__buf_2
+XPHY_8093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61861_ _61539_/A _61859_/Y _61861_/C VGND VGND VPWR VPWR _61861_/Y sky130_fd_sc_hd__nor3_2
+X_73847_ _73839_/X _73860_/B _65428_/A VGND VGND VPWR VPWR _73847_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_484_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49583_ _49641_/A _49558_/A VGND VGND VPWR VPWR _49583_/X sky130_fd_sc_hd__or2_2
+XFILLER_605_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46795_ _46708_/B VGND VGND VPWR VPWR _46796_/B sky130_fd_sc_hd__inv_8
+XFILLER_721_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_40_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_346_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_236_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63600_ _63600_/A _59221_/B VGND VGND VPWR VPWR _63600_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_706_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60812_ _60347_/A _60807_/Y _60811_/Y VGND VGND VPWR VPWR _60812_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_64_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_76_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48534_ _48534_/A _48533_/X VGND VGND VPWR VPWR _48534_/Y sky130_fd_sc_hd__nand2_2
+Xclkbuf_9_32_0_u_core.clock clkbuf_8_16_0_u_core.clock/X VGND VGND VPWR VPWR _78951_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_79354_ _79347_/CLK _79354_/D VGND VGND VPWR VPWR _43420_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_463_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45746_ _45745_/Y _45715_/B VGND VGND VPWR VPWR _45749_/B sky130_fd_sc_hd__nor2_2
+XPHY_39140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64580_ _64579_/X VGND VGND VPWR VPWR _64581_/B sky130_fd_sc_hd__buf_1
+XFILLER_598_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76566_ VGND VGND VPWR VPWR _76566_/HI la_data_out[62] sky130_fd_sc_hd__conb_1
+XFILLER_545_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42958_ _42958_/A _42955_/B VGND VGND VPWR VPWR _42958_/X sky130_fd_sc_hd__or2_2
+XFILLER_75_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61792_ _61792_/A _61325_/B VGND VGND VPWR VPWR _61792_/Y sky130_fd_sc_hd__nor2_2
+X_73778_ _73778_/A _73778_/B VGND VGND VPWR VPWR _73780_/A sky130_fd_sc_hd__or2_2
+XFILLER_342_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_23_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_64_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78305_ _78301_/CLK _78305_/D VGND VGND VPWR VPWR _62424_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_698_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_149_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63531_ _49184_/A _62909_/B VGND VGND VPWR VPWR _63532_/C sky130_fd_sc_hd__nor2_2
+X_75517_ _75517_/A VGND VGND VPWR VPWR _75518_/B sky130_fd_sc_hd__buf_1
+XFILLER_424_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41909_ _41909_/A VGND VGND VPWR VPWR _43485_/A sky130_fd_sc_hd__inv_8
+XPHY_39184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60743_ _60593_/A _60743_/B _60743_/C VGND VGND VPWR VPWR _60743_/Y sky130_fd_sc_hd__nor3_2
+X_48465_ _48931_/A VGND VGND VPWR VPWR _48465_/X sky130_fd_sc_hd__buf_1
+X_72729_ _72721_/A _72714_/B _81354_/Q VGND VGND VPWR VPWR _72730_/B sky130_fd_sc_hd__nand3_2
+X_79285_ _79285_/CLK _43886_/Y VGND VGND VPWR VPWR _43880_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_35_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45677_ _43660_/B _45676_/X VGND VGND VPWR VPWR _45677_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76497_ VGND VGND VPWR VPWR _76497_/HI io_out[27] sky130_fd_sc_hd__conb_1
+XFILLER_24_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42889_ _42945_/A VGND VGND VPWR VPWR _42906_/A sky130_fd_sc_hd__buf_1
+XFILLER_483_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_229_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47416_ _47416_/A _47416_/B VGND VGND VPWR VPWR _47416_/Y sky130_fd_sc_hd__nand2_2
+X_66250_ _66250_/A _66250_/B VGND VGND VPWR VPWR _66293_/B sky130_fd_sc_hd__nor2_2
+XFILLER_602_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78236_ _77689_/CLK _78236_/D VGND VGND VPWR VPWR _78236_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_381_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44628_ _44628_/A _44628_/B _44620_/A VGND VGND VPWR VPWR _44633_/A sky130_fd_sc_hd__or3_2
+XFILLER_659_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63462_ _63462_/A _63458_/Y _63461_/Y VGND VGND VPWR VPWR _63462_/Y sky130_fd_sc_hd__nor3_2
+X_75448_ _75455_/A _75444_/B _68854_/A VGND VGND VPWR VPWR _75448_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_342_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60674_ _60828_/A _60674_/B _60674_/C VGND VGND VPWR VPWR _60681_/B sky130_fd_sc_hd__nor3_2
+X_48396_ _78604_/Q _48400_/B VGND VGND VPWR VPWR _48396_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_302_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_444_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_57_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_700_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65201_ _69419_/B VGND VGND VPWR VPWR _65202_/B sky130_fd_sc_hd__buf_1
+XFILLER_695_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62413_ _62099_/X _62411_/Y _62412_/Y VGND VGND VPWR VPWR _62417_/B sky130_fd_sc_hd__nor3_2
+XFILLER_719_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_691_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_594_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47347_ _49249_/A VGND VGND VPWR VPWR _47449_/A sky130_fd_sc_hd__buf_1
+XFILLER_585_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66181_ _66172_/X _66176_/Y _66180_/Y VGND VGND VPWR VPWR _66181_/Y sky130_fd_sc_hd__nor3_2
+XPHY_37793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78167_ _78170_/CLK _78167_/D VGND VGND VPWR VPWR _50081_/A sky130_fd_sc_hd__dfxtp_4
+X_44559_ _44571_/A _44557_/Y _44559_/C VGND VGND VPWR VPWR _44559_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_264_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63393_ _63393_/A _62767_/X VGND VGND VPWR VPWR _63393_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_56_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_75379_ _75377_/X _75379_/B VGND VGND VPWR VPWR _75379_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_140_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_242_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65132_ _65132_/A _65127_/Y _65131_/Y VGND VGND VPWR VPWR _65132_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_32_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_566_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77118_ _77259_/CLK _77118_/D VGND VGND VPWR VPWR _77118_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_366_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62344_ _59647_/A VGND VGND VPWR VPWR _62344_/X sky130_fd_sc_hd__buf_1
+XFILLER_125_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47278_ _76826_/Q _47278_/B VGND VGND VPWR VPWR _47279_/B sky130_fd_sc_hd__or2_2
+X_78098_ _78675_/CLK _50351_/Y VGND VGND VPWR VPWR _78098_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_301_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_320_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_392_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_574_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49017_ _49014_/X VGND VGND VPWR VPWR _49039_/B sky130_fd_sc_hd__buf_1
+XFILLER_439_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_121_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_528_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46229_ _46080_/Y _46229_/B VGND VGND VPWR VPWR _46230_/B sky130_fd_sc_hd__nor2_2
+XFILLER_86_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69940_ _69891_/X VGND VGND VPWR VPWR _69958_/A sky130_fd_sc_hd__buf_1
+X_65063_ _80403_/Q _65063_/B VGND VGND VPWR VPWR _65065_/B sky130_fd_sc_hd__nor2_2
+XFILLER_554_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77049_ _77408_/CLK _77049_/D VGND VGND VPWR VPWR _59850_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_507_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62275_ _77904_/Q _61965_/B VGND VGND VPWR VPWR _62276_/C sky130_fd_sc_hd__nor2_2
+XFILLER_535_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_392_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_306_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_717_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_618_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_353_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_318_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_615_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64014_ _64014_/A _64014_/B _64014_/C VGND VGND VPWR VPWR _64030_/A sky130_fd_sc_hd__nor3_2
+XFILLER_357_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_474_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_392_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61226_ _77434_/Q _61070_/B VGND VGND VPWR VPWR _61228_/B sky130_fd_sc_hd__nor2_2
+X_80060_ _80095_/CLK _80060_/D VGND VGND VPWR VPWR _80060_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_353_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69871_ _71227_/A VGND VGND VPWR VPWR _69871_/X sky130_fd_sc_hd__buf_1
+XFILLER_670_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_650_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_515_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68822_ _58453_/A _68821_/X VGND VGND VPWR VPWR _68822_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_361_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_86_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61157_ _60845_/A _61157_/B VGND VGND VPWR VPWR _61197_/B sky130_fd_sc_hd__nor2_2
+XFILLER_156_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38982_ _38994_/A VGND VGND VPWR VPWR _38982_/X sky130_fd_sc_hd__buf_1
+XFILLER_145_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_683_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_467_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_431_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60108_ _60108_/A _60108_/B VGND VGND VPWR VPWR _60108_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_299_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_448_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49919_ _49919_/A _49929_/B VGND VGND VPWR VPWR _49921_/A sky130_fd_sc_hd__nand2_2
+XFILLER_119_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68753_ _68753_/A VGND VGND VPWR VPWR _69343_/B sky130_fd_sc_hd__buf_1
+XFILLER_314_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_711_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61088_ _77489_/Q _61246_/B VGND VGND VPWR VPWR _61088_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_64_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65965_ _57137_/A _65965_/B VGND VGND VPWR VPWR _65965_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_649_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_514_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_448_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_236_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_683_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67704_ _75229_/C _67364_/B VGND VGND VPWR VPWR _67705_/C sky130_fd_sc_hd__nor2_2
+XFILLER_151_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52930_ _52929_/X _52930_/B VGND VGND VPWR VPWR _52930_/X sky130_fd_sc_hd__or2_2
+X_64916_ _64562_/A _64916_/B _64916_/C VGND VGND VPWR VPWR _64917_/C sky130_fd_sc_hd__nor3_2
+X_60039_ _60039_/A _60037_/Y _60039_/C VGND VGND VPWR VPWR _60039_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_687_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68684_ _68684_/A _68350_/B VGND VGND VPWR VPWR _68684_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_583_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80962_ _80969_/CLK _80962_/D VGND VGND VPWR VPWR _80962_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_5_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65896_ _66719_/A VGND VGND VPWR VPWR _66555_/B sky130_fd_sc_hd__buf_1
+XFILLER_302_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_683_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39603_ _39239_/A _39498_/A VGND VGND VPWR VPWR _39603_/X sky130_fd_sc_hd__or2_2
+XFILLER_661_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_258_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_6_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67635_ _65989_/A VGND VGND VPWR VPWR _67974_/A sky130_fd_sc_hd__buf_1
+XFILLER_347_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64847_ _65194_/A _64847_/B _64847_/C VGND VGND VPWR VPWR _64848_/C sky130_fd_sc_hd__nor3_2
+X_52861_ _52833_/A _52870_/B VGND VGND VPWR VPWR _52861_/X sky130_fd_sc_hd__or2_2
+XFILLER_692_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80893_ _80929_/CLK _80893_/D VGND VGND VPWR VPWR _80893_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_284_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_652_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_481_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_413_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_664_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_39_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54600_ _59459_/A _54600_/B VGND VGND VPWR VPWR _54602_/A sky130_fd_sc_hd__nand2_2
+XFILLER_527_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_239_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51812_ _51812_/A _51818_/B VGND VGND VPWR VPWR _51812_/X sky130_fd_sc_hd__or2_2
+X_39534_ _39151_/A _39542_/B VGND VGND VPWR VPWR _39534_/X sky130_fd_sc_hd__or2_2
+XFILLER_407_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_55_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_661_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55580_ _55304_/A _45224_/B VGND VGND VPWR VPWR _55584_/A sky130_fd_sc_hd__or2_2
+X_67566_ _67566_/A _67742_/B VGND VGND VPWR VPWR _67566_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_429_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52792_ _52794_/A VGND VGND VPWR VPWR _52804_/B sky130_fd_sc_hd__buf_1
+X_64778_ _56560_/A _64175_/B VGND VGND VPWR VPWR _64778_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_97_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_269_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_93_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69305_ _76077_/C _69027_/B VGND VGND VPWR VPWR _69306_/C sky130_fd_sc_hd__nor2_2
+XFILLER_3_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54531_ _54649_/A _54535_/B VGND VGND VPWR VPWR _54532_/B sky130_fd_sc_hd__or2_2
+X_66517_ _38816_/C _66362_/B VGND VGND VPWR VPWR _66517_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_284_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51743_ _51708_/A _51761_/B VGND VGND VPWR VPWR _51744_/B sky130_fd_sc_hd__or2_2
+X_39465_ _39460_/X _39452_/X _57004_/A VGND VGND VPWR VPWR _39465_/Y sky130_fd_sc_hd__nand3_2
+XPHY_4029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_416_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63729_ _63991_/A _63729_/B _63729_/C VGND VGND VPWR VPWR _63729_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_403_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_471_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_710_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67497_ _67176_/A _67497_/B _67496_/Y VGND VGND VPWR VPWR _67497_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_58_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_560_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_97_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_243_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_549_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38416_ _76161_/A _38420_/B VGND VGND VPWR VPWR _38416_/X sky130_fd_sc_hd__or2_2
+XFILLER_415_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69236_ _79916_/Q _69236_/B VGND VGND VPWR VPWR _69237_/C sky130_fd_sc_hd__nor2_2
+X_81514_ _81509_/CLK _81514_/D VGND VGND VPWR VPWR _68946_/A sky130_fd_sc_hd__dfxtp_4
+X_57250_ _57400_/A _57249_/Y VGND VGND VPWR VPWR _57250_/Y sky130_fd_sc_hd__nor2_2
+XPHY_3328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_145_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66448_ _66283_/A _66446_/Y _66447_/Y VGND VGND VPWR VPWR _66448_/Y sky130_fd_sc_hd__nor3_2
+X_54462_ _54462_/A _54476_/B VGND VGND VPWR VPWR _54462_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_42_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_109_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39396_ _39127_/A _39401_/B VGND VGND VPWR VPWR _39396_/X sky130_fd_sc_hd__or2_2
+X_51674_ _51972_/A VGND VGND VPWR VPWR _51795_/B sky130_fd_sc_hd__buf_1
+XPHY_3339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_47 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_184_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_401_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_569_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_54_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_69 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56201_ _56201_/A VGND VGND VPWR VPWR _57145_/A sky130_fd_sc_hd__buf_1
+X_53413_ _53413_/A _53413_/B VGND VGND VPWR VPWR _53415_/A sky130_fd_sc_hd__nand2_2
+XFILLER_208_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_23_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38347_ _38357_/A VGND VGND VPWR VPWR _38451_/B sky130_fd_sc_hd__buf_1
+XFILLER_345_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50625_ _50623_/Y _50624_/X VGND VGND VPWR VPWR _78025_/D sky130_fd_sc_hd__nand2_2
+XFILLER_211_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57181_ _57181_/A _57181_/B VGND VGND VPWR VPWR _57182_/B sky130_fd_sc_hd__nor2_2
+XFILLER_221_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69167_ _69167_/A _69027_/B VGND VGND VPWR VPWR _69168_/C sky130_fd_sc_hd__nor2_2
+XFILLER_558_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81445_ _81446_/CLK _72386_/Y VGND VGND VPWR VPWR _68093_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54393_ _54542_/A VGND VGND VPWR VPWR _54509_/A sky130_fd_sc_hd__buf_1
+XFILLER_357_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66379_ _44219_/A _66048_/B VGND VGND VPWR VPWR _66379_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_34_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_126_1345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_303_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56132_ _56116_/A _56132_/B _56132_/C VGND VGND VPWR VPWR wbs_dat_o[22] sky130_fd_sc_hd__nor3_2
+XFILLER_180_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68118_ _67940_/X _68109_/Y _68118_/C VGND VGND VPWR VPWR _68118_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_179_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53344_ _53343_/X _53344_/B VGND VGND VPWR VPWR _53344_/X sky130_fd_sc_hd__or2_2
+XFILLER_356_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50556_ _50556_/A _50556_/B VGND VGND VPWR VPWR _78043_/D sky130_fd_sc_hd__nand2_2
+X_38278_ _38278_/A _38289_/B VGND VGND VPWR VPWR _38278_/X sky130_fd_sc_hd__or2_2
+XFILLER_475_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69098_ _80267_/Q _69516_/B VGND VGND VPWR VPWR _69100_/B sky130_fd_sc_hd__nor2_2
+X_81376_ _81343_/CLK _81376_/D VGND VGND VPWR VPWR _67272_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_52_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_1580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_161_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_718_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_653_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_585_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_194_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80327_ _80354_/CLK _38653_/Y VGND VGND VPWR VPWR _80327_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_17_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56063_ _56004_/X VGND VGND VPWR VPWR _56096_/A sky130_fd_sc_hd__buf_1
+X_68049_ _68049_/A _67383_/B VGND VGND VPWR VPWR _68050_/C sky130_fd_sc_hd__nor2_2
+XFILLER_167_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53275_ _53219_/A _53259_/A VGND VGND VPWR VPWR _53276_/B sky130_fd_sc_hd__or2_2
+XFILLER_178_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50487_ _50430_/X _50461_/X VGND VGND VPWR VPWR _50488_/B sky130_fd_sc_hd__or2_2
+XFILLER_195_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_301_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_653_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_633_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55014_ _54986_/A _55011_/B VGND VGND VPWR VPWR _55015_/B sky130_fd_sc_hd__or2_2
+XFILLER_699_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40240_ _40240_/A VGND VGND VPWR VPWR _40300_/A sky130_fd_sc_hd__buf_1
+XFILLER_148_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52226_ _52156_/A _52247_/B VGND VGND VPWR VPWR _52227_/B sky130_fd_sc_hd__or2_2
+XFILLER_325_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71060_ _71060_/A _71145_/B VGND VGND VPWR VPWR _71060_/X sky130_fd_sc_hd__and2_2
+XFILLER_572_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_492_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_317_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_618_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80258_ _80259_/CLK _80258_/D VGND VGND VPWR VPWR _38911_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_22505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_254_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_108_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_525_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_293_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70011_ _69995_/C _70011_/B VGND VGND VPWR VPWR _70017_/A sky130_fd_sc_hd__nor2_2
+XFILLER_336_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_536_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59822_ _59822_/A _59820_/Y _59821_/Y VGND VGND VPWR VPWR _59822_/Y sky130_fd_sc_hd__nor3_2
+XPHY_22538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_532_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40171_ _40184_/A _40184_/B _40171_/C VGND VGND VPWR VPWR _40172_/B sky130_fd_sc_hd__nand3_2
+XFILLER_351_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52157_ _52157_/A _52156_/X VGND VGND VPWR VPWR _52157_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_163_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80189_ _80187_/CLK _39187_/Y VGND VGND VPWR VPWR _57492_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_551_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_190_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51108_ _51052_/A _51108_/B VGND VGND VPWR VPWR _51108_/X sky130_fd_sc_hd__or2_2
+XFILLER_391_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_709_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59753_ _59753_/A _59753_/B _59752_/Y VGND VGND VPWR VPWR _59753_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_278_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_172_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_150_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52088_ _52060_/A _52082_/B VGND VGND VPWR VPWR _52088_/X sky130_fd_sc_hd__or2_2
+X_56965_ _56957_/Y _56965_/B VGND VGND VPWR VPWR _56966_/B sky130_fd_sc_hd__nor2_2
+XFILLER_351_195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_137_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_461_0_u_core.clock clkbuf_9_461_0_u_core.clock/A VGND VGND VPWR VPWR _80845_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_466_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_567_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58704_ _69224_/A _58704_/B VGND VGND VPWR VPWR _58706_/B sky130_fd_sc_hd__nor2_2
+XFILLER_612_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43930_ _43930_/A _43930_/B _43937_/C VGND VGND VPWR VPWR _43930_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_314_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51039_ _51055_/B VGND VGND VPWR VPWR _51049_/B sky130_fd_sc_hd__buf_1
+XPHY_10624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55916_ _55884_/C _69809_/X VGND VGND VPWR VPWR _55916_/X sky130_fd_sc_hd__and2_2
+XFILLER_683_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74750_ _74750_/A _74749_/Y VGND VGND VPWR VPWR _80862_/D sky130_fd_sc_hd__nand2_2
+XPHY_11369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59684_ _59684_/A VGND VGND VPWR VPWR _60001_/A sky130_fd_sc_hd__buf_1
+X_71962_ _71962_/A VGND VGND VPWR VPWR _71975_/A sky130_fd_sc_hd__buf_1
+XFILLER_666_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_486_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56896_ _56896_/A _56723_/B VGND VGND VPWR VPWR _56897_/C sky130_fd_sc_hd__nor2_2
+XFILLER_647_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_588_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73701_ _73944_/A _73712_/B VGND VGND VPWR VPWR _73703_/A sky130_fd_sc_hd__or2_2
+XFILLER_470_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70913_ _70906_/X _71310_/A VGND VGND VPWR VPWR _70913_/X sky130_fd_sc_hd__or2_2
+XPHY_10668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58635_ _58836_/A _58633_/Y _58634_/Y VGND VGND VPWR VPWR _58635_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_447_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_365_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43861_ _43859_/A _43861_/B VGND VGND VPWR VPWR _43862_/B sky130_fd_sc_hd__nor2_2
+XFILLER_683_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_408_0_u_core.clock clkbuf_9_409_0_u_core.clock/A VGND VGND VPWR VPWR _81509_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_55847_ _55845_/Y _55847_/B VGND VGND VPWR VPWR _76759_/D sky130_fd_sc_hd__nand2_2
+XFILLER_584_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74681_ _74698_/A _74692_/B _69156_/A VGND VGND VPWR VPWR _74682_/B sky130_fd_sc_hd__nand3_2
+XFILLER_293_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_445_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71893_ _70706_/A _71228_/A VGND VGND VPWR VPWR _71898_/B sky130_fd_sc_hd__nor2_2
+XFILLER_605_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_1586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45600_ _42651_/A _45596_/B VGND VGND VPWR VPWR _45602_/B sky130_fd_sc_hd__nor2_2
+XFILLER_246_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76420_ _76418_/X _76420_/B VGND VGND VPWR VPWR _76420_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_365_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_24_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42812_ _42553_/A _42811_/Y VGND VGND VPWR VPWR _42812_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_508_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_482_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_58_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73632_ _73744_/B VGND VGND VPWR VPWR _73644_/B sky130_fd_sc_hd__buf_1
+XFILLER_425_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46580_ _42854_/X _46585_/B VGND VGND VPWR VPWR _46584_/B sky130_fd_sc_hd__nor2_2
+XFILLER_435_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58566_ _68929_/A _58633_/B VGND VGND VPWR VPWR _58566_/Y sky130_fd_sc_hd__nor2_2
+X_70844_ _70844_/A VGND VGND VPWR VPWR _70915_/A sky130_fd_sc_hd__buf_1
+XFILLER_115_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43792_ _43670_/X VGND VGND VPWR VPWR _43848_/B sky130_fd_sc_hd__buf_1
+XFILLER_660_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55778_ _53063_/A _55790_/B VGND VGND VPWR VPWR _55779_/B sky130_fd_sc_hd__or2_2
+XPHY_19600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_623_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_527_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45531_ _45545_/A _45527_/Y _45530_/Y VGND VGND VPWR VPWR _45531_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_699_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57517_ _57517_/A _57517_/B VGND VGND VPWR VPWR _57517_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_128_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76351_ _76334_/X _76360_/B _76351_/C VGND VGND VPWR VPWR _76351_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_57_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42743_ _42691_/A _42743_/B VGND VGND VPWR VPWR _42743_/X sky130_fd_sc_hd__and2_2
+X_54729_ _54729_/A _54728_/X VGND VGND VPWR VPWR _54729_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_226_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73563_ _73926_/A _73560_/B VGND VGND VPWR VPWR _73565_/A sky130_fd_sc_hd__or2_2
+XFILLER_480_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70775_ _69770_/A _76646_/Q VGND VGND VPWR VPWR _70775_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_233_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58497_ _58329_/X _58495_/Y _58497_/C VGND VGND VPWR VPWR _58497_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_261_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_410 _56412_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_720_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_2841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_508_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_421 _56259_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_480_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75302_ _74157_/B VGND VGND VPWR VPWR _75303_/A sky130_fd_sc_hd__buf_1
+XFILLER_261_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_432 _58950_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_441_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48250_ _48248_/Y _48249_/X VGND VGND VPWR VPWR _78639_/D sky130_fd_sc_hd__nand2_2
+X_72514_ _72509_/X _72521_/B _67762_/A VGND VGND VPWR VPWR _72515_/B sky130_fd_sc_hd__nand3_2
+XPHY_18932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79070_ _79057_/CLK _79070_/D VGND VGND VPWR VPWR _41642_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_92_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_443 _60834_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_45462_ _45450_/A _45458_/Y _45461_/Y VGND VGND VPWR VPWR _45462_/Y sky130_fd_sc_hd__nor3_2
+XPHY_37045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57448_ _66618_/A _57447_/X VGND VGND VPWR VPWR _57448_/Y sky130_fd_sc_hd__nor2_2
+X_76282_ _76279_/X _76281_/Y VGND VGND VPWR VPWR _76282_/Y sky130_fd_sc_hd__nand2_2
+XPHY_18943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42674_ _66532_/A _42664_/B VGND VGND VPWR VPWR _42679_/A sky130_fd_sc_hd__nor2_2
+XFILLER_265_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_454 _60687_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_73494_ _74944_/A _73494_/B _64758_/A VGND VGND VPWR VPWR _73494_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_74_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_119_0_u_core.clock clkbuf_6_59_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_239_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_70_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_465 _60826_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_163_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47201_ _47107_/B VGND VGND VPWR VPWR _47202_/B sky130_fd_sc_hd__inv_8
+XFILLER_233_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_476 _64091_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_72_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78021_ _77540_/CLK _50637_/Y VGND VGND VPWR VPWR _61720_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_179_0_u_core.clock clkbuf_7_89_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_359_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_44413_ _74683_/A _44437_/B VGND VGND VPWR VPWR _44413_/Y sky130_fd_sc_hd__nor2_2
+XPHY_4596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75233_ _75231_/X _75233_/B VGND VGND VPWR VPWR _75233_/Y sky130_fd_sc_hd__nand2_2
+XANTENNA_487 _64226_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_41625_ _41623_/X _41624_/Y VGND VGND VPWR VPWR _41625_/Y sky130_fd_sc_hd__nand2_2
+XPHY_36344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48181_ _48171_/X VGND VGND VPWR VPWR _48194_/B sky130_fd_sc_hd__buf_1
+XFILLER_406_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72445_ _72445_/A _72444_/Y VGND VGND VPWR VPWR _81429_/D sky130_fd_sc_hd__nand2_2
+XFILLER_214_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45393_ _44937_/A _55672_/B VGND VGND VPWR VPWR _45393_/X sky130_fd_sc_hd__or2_2
+XFILLER_677_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_498 _64418_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_35_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57379_ _57223_/A _57375_/Y _57379_/C VGND VGND VPWR VPWR _57379_/Y sky130_fd_sc_hd__nor3_2
+XPHY_36355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_122_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47132_ _47129_/X VGND VGND VPWR VPWR _47140_/A sky130_fd_sc_hd__inv_8
+Xclkbuf_5_30_0_u_core.clock clkbuf_5_31_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_5_30_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_548_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59118_ _59387_/A _59114_/Y _59118_/C VGND VGND VPWR VPWR _59118_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_376_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44344_ _44344_/A _44344_/B _44470_/A _44343_/Y VGND VGND VPWR VPWR _44344_/X sky130_fd_sc_hd__or4_2
+XFILLER_159_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75164_ _75164_/A _75164_/B VGND VGND VPWR VPWR _80756_/D sky130_fd_sc_hd__nand2_2
+XFILLER_508_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41556_ _41548_/X _41559_/B _67946_/A VGND VGND VPWR VPWR _41556_/Y sky130_fd_sc_hd__nand3_2
+XPHY_36399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60390_ _60390_/A _60390_/B _60390_/C VGND VGND VPWR VPWR _60391_/C sky130_fd_sc_hd__nor3_2
+X_72376_ _72200_/A _72362_/B VGND VGND VPWR VPWR _72379_/A sky130_fd_sc_hd__or2_2
+XPHY_25131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_186_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74115_ _74783_/A VGND VGND VPWR VPWR _74636_/A sky130_fd_sc_hd__buf_1
+XFILLER_70_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_335_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_259_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_322_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40507_ _40503_/A _40503_/B _64828_/A VGND VGND VPWR VPWR _40507_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_495_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47063_ _47048_/A _47063_/B VGND VGND VPWR VPWR _47067_/A sky130_fd_sc_hd__or2_2
+XFILLER_536_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59049_ _59049_/A VGND VGND VPWR VPWR _59682_/A sky130_fd_sc_hd__buf_1
+X_71327_ _71327_/A VGND VGND VPWR VPWR _71418_/B sky130_fd_sc_hd__buf_1
+XFILLER_690_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44275_ _44260_/A _44273_/C VGND VGND VPWR VPWR _44276_/B sky130_fd_sc_hd__or2_2
+XFILLER_9_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75095_ _74711_/A _75101_/B VGND VGND VPWR VPWR _75095_/X sky130_fd_sc_hd__or2_2
+XPHY_25175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79972_ _79940_/CLK _79972_/D VGND VGND VPWR VPWR _58029_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_201_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41487_ _41487_/A _41486_/Y VGND VGND VPWR VPWR _41487_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_78 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_357_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_200_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46014_ _46043_/A _46013_/Y VGND VGND VPWR VPWR _79044_/D sky130_fd_sc_hd__nor2_2
+XPHY_34986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43226_ _41902_/A VGND VGND VPWR VPWR _46302_/A sky130_fd_sc_hd__buf_1
+XFILLER_671_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62060_ _62060_/A _62060_/B _62059_/Y VGND VGND VPWR VPWR _62060_/Y sky130_fd_sc_hd__nor3_2
+X_74046_ _74020_/A VGND VGND VPWR VPWR _74047_/B sky130_fd_sc_hd__buf_1
+X_78923_ _78940_/CLK _78923_/D VGND VGND VPWR VPWR _46989_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_34997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40438_ _40429_/A _40429_/B _79872_/Q VGND VGND VPWR VPWR _40439_/B sky130_fd_sc_hd__nand3_2
+XFILLER_259_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71258_ _71224_/X _71239_/X _71240_/X _42547_/B VGND VGND VPWR VPWR _71259_/A sky130_fd_sc_hd__o22a_4
+XFILLER_294_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_272_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_177_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61011_ _53040_/A _60544_/B VGND VGND VPWR VPWR _61011_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_272_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_357_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70209_ _69893_/X _70208_/X VGND VGND VPWR VPWR _70210_/B sky130_fd_sc_hd__nor2_2
+XFILLER_319_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43157_ _43206_/A _43156_/Y VGND VGND VPWR VPWR _43157_/X sky130_fd_sc_hd__or2_2
+XFILLER_610_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78854_ _78849_/CLK _78854_/D VGND VGND VPWR VPWR _63211_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_5_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40369_ _40249_/A _40369_/B VGND VGND VPWR VPWR _40369_/X sky130_fd_sc_hd__or2_2
+XPHY_23784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71189_ _71187_/X _71188_/Y VGND VGND VPWR VPWR _81514_/D sky130_fd_sc_hd__nand2_2
+XFILLER_370_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_29_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_177_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_142_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42108_ _42088_/A VGND VGND VPWR VPWR _42109_/C sky130_fd_sc_hd__buf_1
+XFILLER_488_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_720_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77805_ _77799_/CLK _51467_/Y VGND VGND VPWR VPWR _60568_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_330_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47965_ _63322_/A _47971_/B VGND VGND VPWR VPWR _47967_/A sky130_fd_sc_hd__nand2_2
+XFILLER_81_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43088_ _43084_/Y _43085_/X VGND VGND VPWR VPWR _43088_/X sky130_fd_sc_hd__or2_2
+XFILLER_528_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78785_ _78251_/CLK _47680_/Y VGND VGND VPWR VPWR _78785_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_190_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75997_ _75995_/X _75997_/B VGND VGND VPWR VPWR _75997_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_411_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_292_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_141_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_452_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49704_ _61788_/A _49704_/B VGND VGND VPWR VPWR _49704_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_46_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42039_ _41995_/A VGND VGND VPWR VPWR _42047_/A sky130_fd_sc_hd__buf_1
+X_46916_ _70292_/X _46871_/B VGND VGND VPWR VPWR _46917_/C sky130_fd_sc_hd__and2_2
+XPHY_12593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65750_ _65029_/X _65750_/B VGND VGND VPWR VPWR _65788_/B sky130_fd_sc_hd__nor2_2
+X_77736_ _77235_/CLK _77736_/D VGND VGND VPWR VPWR _51723_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_42_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_457_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62962_ _48758_/A _62962_/B VGND VGND VPWR VPWR _62962_/Y sky130_fd_sc_hd__nor2_2
+X_74948_ _74948_/A VGND VGND VPWR VPWR _74965_/A sky130_fd_sc_hd__buf_1
+XFILLER_646_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_296_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_151_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47896_ _63739_/A _47896_/B VGND VGND VPWR VPWR _47899_/A sky130_fd_sc_hd__nand2_2
+XFILLER_411_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_233_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64701_ _64701_/A _64701_/B _64700_/Y VGND VGND VPWR VPWR _64853_/C sky130_fd_sc_hd__or3_2
+XFILLER_190_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_77_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61913_ _61913_/A VGND VGND VPWR VPWR _61916_/A sky130_fd_sc_hd__buf_1
+XFILLER_296_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49635_ _49635_/A _49625_/X VGND VGND VPWR VPWR _49635_/X sky130_fd_sc_hd__or2_2
+XFILLER_548_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46847_ _46836_/X _71113_/B _69882_/B _46846_/X VGND VGND VPWR VPWR _46848_/B sky130_fd_sc_hd__o22a_4
+XFILLER_674_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65681_ _65840_/A _65681_/B _65680_/Y VGND VGND VPWR VPWR _65681_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_228_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77667_ _77613_/CLK _51981_/Y VGND VGND VPWR VPWR _61467_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_608_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62893_ _62890_/X _62893_/B _62892_/Y VGND VGND VPWR VPWR _62897_/B sky130_fd_sc_hd__nor3_2
+XFILLER_96_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74879_ _74876_/X _74878_/Y VGND VGND VPWR VPWR _74879_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_634_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_661_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_10_0_u_core.clock ANTENNA_556/DIODE VGND VGND VPWR VPWR clkbuf_7_21_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_49_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1462 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_643_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67420_ _67420_/A _67585_/B VGND VGND VPWR VPWR _67420_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_55_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79406_ _79501_/CLK _79406_/D VGND VGND VPWR VPWR _79406_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64632_ _69529_/A _64632_/B _64631_/Y VGND VGND VPWR VPWR _64632_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_255_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76618_ VGND VGND VPWR VPWR _76618_/HI la_data_out[114] sky130_fd_sc_hd__conb_1
+X_61844_ _59471_/X VGND VGND VPWR VPWR _62470_/B sky130_fd_sc_hd__buf_1
+X_49566_ _49566_/A _49573_/B VGND VGND VPWR VPWR _49569_/A sky130_fd_sc_hd__nand2_2
+XFILLER_725_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46778_ _46774_/B _46778_/B _46772_/C VGND VGND VPWR VPWR _46778_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_4_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77598_ _77606_/CLK _52246_/Y VGND VGND VPWR VPWR _52244_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_42_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_168_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48517_ _48515_/Y _48517_/B VGND VGND VPWR VPWR _78573_/D sky130_fd_sc_hd__nand2_2
+XFILLER_580_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67351_ _66872_/X _67351_/B _67351_/C VGND VGND VPWR VPWR _67351_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_248_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79337_ _81263_/CLK _43480_/Y VGND VGND VPWR VPWR _56380_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_270_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64563_ _64563_/A _64563_/B _64563_/C VGND VGND VPWR VPWR _64609_/A sky130_fd_sc_hd__nor3_2
+X_45729_ _42207_/Y _46009_/B _45710_/X _45728_/Y VGND VGND VPWR VPWR _45729_/X sky130_fd_sc_hd__o22a_4
+XFILLER_561_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76549_ VGND VGND VPWR VPWR _76549_/HI la_data_out[45] sky130_fd_sc_hd__conb_1
+XFILLER_678_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_702_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61775_ _62243_/A _61775_/B _61775_/C VGND VGND VPWR VPWR _61784_/B sky130_fd_sc_hd__nor3_2
+XFILLER_381_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49497_ _49493_/Y _49496_/X VGND VGND VPWR VPWR _78323_/D sky130_fd_sc_hd__nand2_2
+XFILLER_326_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_598_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_91_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_441_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66302_ _57291_/A _66461_/B VGND VGND VPWR VPWR _66302_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_329_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_698_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39250_ _39250_/A _39250_/B VGND VGND VPWR VPWR _39250_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_398_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63514_ _63514_/A _63364_/X VGND VGND VPWR VPWR _63514_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_397_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60726_ _60100_/A _60725_/Y VGND VGND VPWR VPWR _60726_/Y sky130_fd_sc_hd__nor2_2
+X_48448_ _48448_/A _48448_/B VGND VGND VPWR VPWR _48450_/A sky130_fd_sc_hd__nand2_2
+XFILLER_244_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67282_ _67282_/A _67779_/B VGND VGND VPWR VPWR _67282_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_424_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79268_ _79268_/CLK _79268_/D VGND VGND VPWR VPWR _44060_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64494_ _65740_/A VGND VGND VPWR VPWR _64733_/A sky130_fd_sc_hd__buf_1
+XFILLER_424_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_586_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69021_ _68530_/X _69021_/B _69020_/Y VGND VGND VPWR VPWR _69021_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_412_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66233_ _66233_/A _66556_/B VGND VGND VPWR VPWR _66233_/Y sky130_fd_sc_hd__nor2_2
+X_78219_ _78209_/CLK _49892_/Y VGND VGND VPWR VPWR _49890_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39181_ _39181_/A VGND VGND VPWR VPWR _39195_/A sky130_fd_sc_hd__buf_1
+XFILLER_440_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63445_ _59317_/A VGND VGND VPWR VPWR _63445_/X sky130_fd_sc_hd__buf_1
+XFILLER_209_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60657_ _60185_/A _60657_/B _60656_/Y VGND VGND VPWR VPWR _60661_/B sky130_fd_sc_hd__nor3_2
+X_48379_ _48408_/A _48375_/X VGND VGND VPWR VPWR _48380_/B sky130_fd_sc_hd__or2_2
+XFILLER_659_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79199_ _79207_/CLK _79199_/D VGND VGND VPWR VPWR _44737_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_596_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50410_ _50401_/A VGND VGND VPWR VPWR _50410_/X sky130_fd_sc_hd__buf_1
+XFILLER_220_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81230_ _80813_/CLK _73271_/Y VGND VGND VPWR VPWR _69474_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_307_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1507 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_615_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66164_ _66164_/A VGND VGND VPWR VPWR _66509_/A sky130_fd_sc_hd__buf_1
+XFILLER_20_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_260_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_32_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51390_ _60717_/A _51393_/B VGND VGND VPWR VPWR _51392_/A sky130_fd_sc_hd__nand2_2
+XFILLER_355_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63376_ _62899_/X _63371_/Y _63375_/Y VGND VGND VPWR VPWR _63376_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_574_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60588_ _60588_/A _60739_/B VGND VGND VPWR VPWR _60588_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_379_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_31_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_535_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_676_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_177_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65115_ _64613_/X _65113_/Y _65114_/Y VGND VGND VPWR VPWR _65115_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_258_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_222_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50341_ _78100_/Q _50341_/B VGND VGND VPWR VPWR _50341_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_14_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62327_ _62180_/X _62325_/Y _62326_/Y VGND VGND VPWR VPWR _62327_/Y sky130_fd_sc_hd__nor3_2
+X_81161_ _81133_/CLK _73532_/Y VGND VGND VPWR VPWR _68723_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_418_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66095_ _65598_/A _66093_/Y _66095_/C VGND VGND VPWR VPWR _66095_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_393_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_366_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_353_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_591_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_365_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_257_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_517_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_492_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80112_ _80144_/CLK _39489_/Y VGND VGND VPWR VPWR _56439_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_359_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53060_ _53060_/A _53059_/X VGND VGND VPWR VPWR _77379_/D sky130_fd_sc_hd__nand2_2
+XFILLER_238_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65046_ _64879_/A _65046_/B _65046_/C VGND VGND VPWR VPWR _65046_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_431_3108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69923_ _69923_/A VGND VGND VPWR VPWR _71082_/A sky130_fd_sc_hd__inv_8
+XFILLER_554_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50272_ _50272_/A _50271_/X VGND VGND VPWR VPWR _50272_/Y sky130_fd_sc_hd__nand2_2
+X_62258_ _62099_/X _62256_/Y _62257_/Y VGND VGND VPWR VPWR _62262_/B sky130_fd_sc_hd__nor3_2
+XFILLER_238_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81092_ _81156_/CLK _81092_/D VGND VGND VPWR VPWR _73791_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_710_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_334_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_507_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_724_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_318_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52011_ _52011_/A _52010_/X VGND VGND VPWR VPWR _52011_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_696_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61209_ _61052_/A _61206_/Y _61208_/Y VGND VGND VPWR VPWR _61209_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_66_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80043_ _79947_/CLK _80043_/D VGND VGND VPWR VPWR _80043_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_306_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_82_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69854_ _75825_/A _73384_/A VGND VGND VPWR VPWR _69854_/X sky130_fd_sc_hd__and2_2
+XPHY_9508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62189_ _59828_/X VGND VGND VPWR VPWR _62189_/X sky130_fd_sc_hd__buf_1
+XFILLER_238_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_670_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_353_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_318_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_69_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_689_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_467_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68805_ _68968_/A _68805_/B _68805_/C VGND VGND VPWR VPWR _68805_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_318_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_251_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_550_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38965_ _38596_/A _38965_/B VGND VGND VPWR VPWR _38967_/A sky130_fd_sc_hd__or2_2
+XFILLER_667_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69785_ _69841_/A VGND VGND VPWR VPWR _55886_/B sky130_fd_sc_hd__buf_1
+XPHY_8818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66997_ _66997_/A _67485_/B VGND VGND VPWR VPWR _66997_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_236_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_683_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_510_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_470_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_546_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_288_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_665_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_68736_ _68905_/A _68736_/B _68736_/C VGND VGND VPWR VPWR _68737_/C sky130_fd_sc_hd__nor3_2
+X_56750_ _56917_/A _56750_/B _56750_/C VGND VGND VPWR VPWR _56758_/A sky130_fd_sc_hd__nor3_2
+XFILLER_487_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_388_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53962_ _53929_/X _53965_/B VGND VGND VPWR VPWR _53963_/B sky130_fd_sc_hd__or2_2
+X_65948_ _81336_/Q _65626_/B VGND VGND VPWR VPWR _65950_/B sky130_fd_sc_hd__nor2_2
+XFILLER_82_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38896_ _38508_/A _38907_/B VGND VGND VPWR VPWR _38898_/A sky130_fd_sc_hd__or2_2
+XFILLER_331_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55701_ _55701_/A _55700_/X VGND VGND VPWR VPWR _76792_/D sky130_fd_sc_hd__nand2_2
+XFILLER_463_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_366_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_648_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52913_ _53031_/A _52936_/B VGND VGND VPWR VPWR _52914_/B sky130_fd_sc_hd__or2_2
+XFILLER_99_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_59_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_435_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_214_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56681_ _56440_/A _56681_/B _56680_/Y VGND VGND VPWR VPWR _56681_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_249_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68667_ _80232_/Q _68666_/X VGND VGND VPWR VPWR _68668_/C sky130_fd_sc_hd__nor2_2
+X_80945_ _80912_/CLK _80945_/D VGND VGND VPWR VPWR _80945_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_259_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53893_ _60047_/A _53885_/X VGND VGND VPWR VPWR _53896_/A sky130_fd_sc_hd__nand2_2
+XFILLER_59_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65879_ _65879_/A VGND VGND VPWR VPWR _66538_/B sky130_fd_sc_hd__buf_1
+XFILLER_435_214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_186_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_2841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_386_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58420_ _58710_/A _58413_/Y _58419_/Y VGND VGND VPWR VPWR _58421_/B sky130_fd_sc_hd__nor3_2
+XFILLER_21_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_724_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55632_ _55632_/A _55631_/X VGND VGND VPWR VPWR _55632_/Y sky130_fd_sc_hd__nand2_2
+X_67618_ _67788_/A _67618_/B _67617_/Y VGND VGND VPWR VPWR _67618_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_661_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52844_ _60432_/A _52841_/B VGND VGND VPWR VPWR _52846_/A sky130_fd_sc_hd__nand2_2
+XFILLER_284_2326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_112_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80876_ _80714_/CLK _74682_/Y VGND VGND VPWR VPWR _69156_/A sky130_fd_sc_hd__dfxtp_4
+X_68598_ _58405_/A _68259_/X VGND VGND VPWR VPWR _68600_/B sky130_fd_sc_hd__nor2_2
+XFILLER_28_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_442_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_147_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39517_ _39507_/A VGND VGND VPWR VPWR _39518_/A sky130_fd_sc_hd__buf_1
+XFILLER_39_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58351_ _80008_/Q _58585_/B VGND VGND VPWR VPWR _58352_/C sky130_fd_sc_hd__nor2_2
+XPHY_28718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_442_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_382_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55563_ _55548_/X _55562_/X VGND VGND VPWR VPWR _55563_/Y sky130_fd_sc_hd__nand2_2
+X_67549_ _66900_/A _67549_/B _67548_/Y VGND VGND VPWR VPWR _67550_/C sky130_fd_sc_hd__nor3_2
+XFILLER_440_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52775_ _77456_/Q _52756_/X VGND VGND VPWR VPWR _52775_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_255_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_243_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57302_ _57302_/A _57220_/X VGND VGND VPWR VPWR _57303_/C sky130_fd_sc_hd__nor2_2
+XFILLER_720_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54514_ _54514_/A _54511_/B VGND VGND VPWR VPWR _54516_/A sky130_fd_sc_hd__nand2_2
+XFILLER_344_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_578_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51726_ _51726_/A _51725_/X VGND VGND VPWR VPWR _77736_/D sky130_fd_sc_hd__nand2_2
+X_39448_ _39194_/A _39445_/B VGND VGND VPWR VPWR _39450_/A sky130_fd_sc_hd__or2_2
+XFILLER_93_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58282_ _80167_/Q _58127_/B VGND VGND VPWR VPWR _58282_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_364_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70560_ _70560_/A _70559_/X VGND VGND VPWR VPWR _70560_/X sky130_fd_sc_hd__and2_2
+XFILLER_226_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55494_ _55494_/A VGND VGND VPWR VPWR _55606_/A sky130_fd_sc_hd__buf_1
+XFILLER_128_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_694_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57233_ _57386_/A _57231_/Y _57232_/Y VGND VGND VPWR VPWR _57237_/B sky130_fd_sc_hd__nor3_2
+X_69219_ _64447_/A _69215_/Y _69219_/C VGND VGND VPWR VPWR _69219_/Y sky130_fd_sc_hd__nor3_2
+XPHY_2413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54445_ _59830_/A _54448_/B VGND VGND VPWR VPWR _54447_/A sky130_fd_sc_hd__nand2_2
+XFILLER_345_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_260_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39379_ _39365_/X _39391_/B _58799_/A VGND VGND VPWR VPWR _39380_/B sky130_fd_sc_hd__nand3_2
+XFILLER_442_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51657_ _51568_/A _51656_/X VGND VGND VPWR VPWR _51658_/B sky130_fd_sc_hd__or2_2
+XPHY_17549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70491_ _70491_/A VGND VGND VPWR VPWR _70491_/Y sky130_fd_sc_hd__inv_8
+XPHY_3169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_251_0_u_core.clock clkbuf_9_251_0_u_core.clock/A VGND VGND VPWR VPWR _79837_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_161_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41410_ _41408_/X _41410_/B VGND VGND VPWR VPWR _41410_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_161_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_243_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72230_ _72228_/X _72230_/B VGND VGND VPWR VPWR _72230_/Y sky130_fd_sc_hd__nand2_2
+XPHY_1712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50608_ _50664_/A _50582_/X VGND VGND VPWR VPWR _50609_/B sky130_fd_sc_hd__or2_2
+XFILLER_655_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81428_ _81398_/CLK _81428_/D VGND VGND VPWR VPWR _65282_/A sky130_fd_sc_hd__dfxtp_4
+X_57164_ _57164_/A _57163_/Y VGND VGND VPWR VPWR _57165_/B sky130_fd_sc_hd__nor2_2
+XFILLER_260_2381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42390_ _42390_/A _42389_/X VGND VGND VPWR VPWR _42391_/B sky130_fd_sc_hd__and2_2
+XFILLER_10_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54376_ _54674_/A VGND VGND VPWR VPWR _54467_/A sky130_fd_sc_hd__buf_1
+XFILLER_211_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51588_ _51588_/A _51567_/A VGND VGND VPWR VPWR _51588_/X sky130_fd_sc_hd__or2_2
+XPHY_2479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_400_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_633_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56115_ _56089_/A _56115_/B VGND VGND VPWR VPWR _56116_/C sky130_fd_sc_hd__nor2_2
+XFILLER_475_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41341_ _41395_/A VGND VGND VPWR VPWR _41341_/X sky130_fd_sc_hd__buf_1
+XPHY_33504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53327_ _53327_/A VGND VGND VPWR VPWR _53631_/A sky130_fd_sc_hd__buf_1
+XPHY_34249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72161_ _72166_/A _72160_/X _58819_/A VGND VGND VPWR VPWR _72161_/Y sky130_fd_sc_hd__nand3_2
+XPHY_1767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50539_ _50537_/Y _50538_/X VGND VGND VPWR VPWR _78047_/D sky130_fd_sc_hd__nand2_2
+X_57095_ _80312_/Q _57095_/B VGND VGND VPWR VPWR _57097_/B sky130_fd_sc_hd__nor2_2
+XFILLER_475_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_356_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81359_ _81393_/CLK _72707_/Y VGND VGND VPWR VPWR _81359_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_52_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_109_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_722_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_318_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71112_ _71112_/A VGND VGND VPWR VPWR _71113_/B sky130_fd_sc_hd__inv_8
+XFILLER_706_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_344_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44060_ _44060_/A _44059_/Y VGND VGND VPWR VPWR _44063_/A sky130_fd_sc_hd__nand2_4
+X_56046_ _45191_/Y _55985_/A VGND VGND VPWR VPWR _56048_/B sky130_fd_sc_hd__nor2_2
+XPHY_23025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41272_ _74276_/B _40881_/B VGND VGND VPWR VPWR _41275_/A sky130_fd_sc_hd__or2_2
+XFILLER_6_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53258_ _77330_/Q _53258_/B VGND VGND VPWR VPWR _53258_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_319_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72092_ _70830_/X _72029_/B VGND VGND VPWR VPWR _72092_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_531_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_417_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_367_0_u_core.clock clkbuf_8_183_0_u_core.clock/X VGND VGND VPWR VPWR _77211_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_22313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43011_ _46218_/A VGND VGND VPWR VPWR _43011_/Y sky130_fd_sc_hd__inv_8
+XFILLER_586_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40223_ _40205_/A VGND VGND VPWR VPWR _40225_/A sky130_fd_sc_hd__buf_1
+XFILLER_237_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52209_ _52207_/Y _52209_/B VGND VGND VPWR VPWR _52209_/Y sky130_fd_sc_hd__nand2_2
+X_75920_ _75548_/A _75920_/B VGND VGND VPWR VPWR _75920_/X sky130_fd_sc_hd__or2_2
+XFILLER_417_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71043_ _79508_/Q VGND VGND VPWR VPWR _42167_/A sky130_fd_sc_hd__inv_8
+XFILLER_238_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53189_ _54989_/A VGND VGND VPWR VPWR _53219_/A sky130_fd_sc_hd__buf_1
+XPHY_32869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_393_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_614_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_486_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59805_ _53262_/A _59648_/B VGND VGND VPWR VPWR _59806_/C sky130_fd_sc_hd__nor2_2
+XFILLER_340_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40154_ _40148_/X _40153_/Y VGND VGND VPWR VPWR _79945_/D sky130_fd_sc_hd__nand2_2
+XPHY_21634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75851_ _75841_/A VGND VGND VPWR VPWR _75888_/A sky130_fd_sc_hd__buf_1
+XPHY_22379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_190_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_647_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57997_ _58239_/A _57997_/B _57996_/Y VGND VGND VPWR VPWR _57997_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_332_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_297_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_469_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_685_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_43_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74802_ _74802_/A VGND VGND VPWR VPWR _75409_/A sky130_fd_sc_hd__buf_1
+XFILLER_412_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_469_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_681_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47750_ _47686_/X _47740_/X VGND VGND VPWR VPWR _47750_/X sky130_fd_sc_hd__or2_2
+XFILLER_485_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59736_ _59736_/A _59571_/B VGND VGND VPWR VPWR _59738_/B sky130_fd_sc_hd__nor2_2
+XFILLER_152_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_152_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78570_ _78867_/CLK _78570_/D VGND VGND VPWR VPWR _63731_/A sky130_fd_sc_hd__dfxtp_4
+X_40085_ _39831_/X _40079_/B VGND VGND VPWR VPWR _40085_/X sky130_fd_sc_hd__or2_2
+XFILLER_310_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44962_ _44978_/A _45444_/A _44962_/C VGND VGND VPWR VPWR _44962_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_628_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56948_ _56948_/A _56948_/B VGND VGND VPWR VPWR _56949_/B sky130_fd_sc_hd__nor2_2
+XPHY_20944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75782_ _75782_/A _75781_/Y VGND VGND VPWR VPWR _80603_/D sky130_fd_sc_hd__nand2_2
+XPHY_21689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72994_ _42888_/A _73102_/B VGND VGND VPWR VPWR _72995_/B sky130_fd_sc_hd__or2_2
+XFILLER_707_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_89_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46701_ _46173_/B _46701_/B VGND VGND VPWR VPWR _46702_/B sky130_fd_sc_hd__or2_2
+XPHY_11188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77521_ _77528_/CLK _52536_/Y VGND VGND VPWR VPWR _61093_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_662_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_384_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43913_ _43915_/A _43934_/B VGND VGND VPWR VPWR _43913_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_113_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74733_ _74733_/A VGND VGND VPWR VPWR _74747_/B sky130_fd_sc_hd__buf_1
+XFILLER_412_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_115_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47681_ _47681_/A _47663_/B VGND VGND VPWR VPWR _47681_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_656_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59667_ _59506_/A _59667_/B _59667_/C VGND VGND VPWR VPWR _59667_/Y sky130_fd_sc_hd__nor3_2
+X_71945_ _71945_/A VGND VGND VPWR VPWR _39230_/A sky130_fd_sc_hd__buf_1
+XFILLER_683_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44893_ _44840_/X _44893_/B VGND VGND VPWR VPWR _44893_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_77_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56879_ _65490_/A _56622_/B VGND VGND VPWR VPWR _56881_/B sky130_fd_sc_hd__nor2_2
+XFILLER_616_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_486_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_581_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49420_ _49420_/A _49420_/B VGND VGND VPWR VPWR _49420_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_37_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_369_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46632_ _41821_/Y _78980_/Q VGND VGND VPWR VPWR _46633_/D sky130_fd_sc_hd__nor2_2
+XPHY_10498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_545_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58618_ _69088_/A _56289_/B VGND VGND VPWR VPWR _58619_/C sky130_fd_sc_hd__nor2_2
+XFILLER_237_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77452_ _77454_/CLK _77452_/D VGND VGND VPWR VPWR _77452_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_292_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_93_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43844_ _43678_/X _43832_/Y _43844_/C VGND VGND VPWR VPWR _43846_/B sky130_fd_sc_hd__nor3_2
+XFILLER_644_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74664_ _74664_/A VGND VGND VPWR VPWR _74665_/B sky130_fd_sc_hd__buf_1
+XFILLER_481_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71876_ _71844_/A _71844_/B VGND VGND VPWR VPWR _71876_/Y sky130_fd_sc_hd__nor2_2
+X_59598_ _59598_/A _59761_/B VGND VGND VPWR VPWR _59598_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_150_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_644_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_631_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76403_ _76403_/A VGND VGND VPWR VPWR _76419_/B sky130_fd_sc_hd__buf_1
+XFILLER_482_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_703_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73615_ _73615_/A _73614_/Y VGND VGND VPWR VPWR _81138_/D sky130_fd_sc_hd__nand2_2
+X_49351_ _42021_/Y _49331_/X _42025_/Y _49332_/X VGND VGND VPWR VPWR _49352_/A sky130_fd_sc_hd__o22a_4
+XFILLER_252_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46563_ _46563_/A VGND VGND VPWR VPWR _46584_/A sky130_fd_sc_hd__buf_1
+XFILLER_248_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58549_ _58473_/A _58549_/B _58549_/C VGND VGND VPWR VPWR _58553_/B sky130_fd_sc_hd__nor3_2
+XFILLER_72_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70827_ _56214_/A _69743_/X VGND VGND VPWR VPWR _70827_/Y sky130_fd_sc_hd__nor2_2
+XPHY_29953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_428_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77383_ _77749_/CLK _53045_/Y VGND VGND VPWR VPWR _60853_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_464_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43775_ _43739_/X _43771_/Y _43770_/A _43774_/X VGND VGND VPWR VPWR _43776_/B sky130_fd_sc_hd__o22a_4
+XPHY_5050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74595_ _74557_/A VGND VGND VPWR VPWR _74596_/B sky130_fd_sc_hd__buf_1
+XFILLER_527_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40987_ _40987_/A _40994_/B VGND VGND VPWR VPWR _40990_/A sky130_fd_sc_hd__or2_2
+XFILLER_284_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_343_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_185_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48302_ _63756_/A _48297_/B VGND VGND VPWR VPWR _48307_/A sky130_fd_sc_hd__nand2_2
+XPHY_5072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79122_ _79122_/CLK _45613_/Y VGND VGND VPWR VPWR _42688_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_699_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45514_ _45514_/A _45512_/Y _45513_/Y VGND VGND VPWR VPWR _45514_/Y sky130_fd_sc_hd__nor3_2
+XPHY_29986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_460_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76334_ _76392_/A VGND VGND VPWR VPWR _76334_/X sky130_fd_sc_hd__buf_1
+XPHY_19463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42726_ _42465_/A VGND VGND VPWR VPWR _42752_/A sky130_fd_sc_hd__buf_1
+XFILLER_248_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61560_ _61101_/A _61560_/B _61559_/Y VGND VGND VPWR VPWR _61560_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_267_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49282_ _49282_/A _49282_/B VGND VGND VPWR VPWR _49282_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_226_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73546_ _73539_/A _73531_/B _68066_/A VGND VGND VPWR VPWR _73546_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_480_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46494_ _47234_/A _46494_/B VGND VGND VPWR VPWR _79013_/D sky130_fd_sc_hd__nor2_2
+X_70758_ _70756_/Y _70757_/X VGND VGND VPWR VPWR _70758_/X sky130_fd_sc_hd__and2_2
+XFILLER_233_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_240 _76196_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_508_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_480_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_673_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_251 _38562_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_595_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_395_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_226_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_262 _39947_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_76_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60511_ _60511_/A _59868_/B VGND VGND VPWR VPWR _60512_/C sky130_fd_sc_hd__nor2_2
+X_48233_ _48137_/X _48254_/B VGND VGND VPWR VPWR _48234_/B sky130_fd_sc_hd__or2_2
+XFILLER_324_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79053_ _76848_/CLK _79053_/D VGND VGND VPWR VPWR _79053_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_638_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_521_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_273 _41933_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_45445_ _45450_/A _45443_/Y _45444_/Y VGND VGND VPWR VPWR _45445_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_245_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76265_ _38265_/A _76272_/B VGND VGND VPWR VPWR _76265_/X sky130_fd_sc_hd__or2_2
+XPHY_4393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42657_ _42645_/A _42656_/X VGND VGND VPWR VPWR _42657_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_638_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73477_ _73484_/A _73477_/B _65614_/A VGND VGND VPWR VPWR _73479_/A sky130_fd_sc_hd__nand3_2
+XFILLER_378_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61491_ _61491_/A _61179_/B VGND VGND VPWR VPWR _61492_/C sky130_fd_sc_hd__nor2_2
+XANTENNA_284 _44987_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_226_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_159_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70689_ _70678_/X _70688_/X VGND VGND VPWR VPWR _70736_/B sky130_fd_sc_hd__and2_2
+XFILLER_441_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_72_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_295 _49246_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_579_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78004_ _78002_/CLK _78004_/D VGND VGND VPWR VPWR _62809_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75216_ _75234_/A VGND VGND VPWR VPWR _75231_/B sky130_fd_sc_hd__buf_1
+X_63230_ _63230_/A _63229_/Y VGND VGND VPWR VPWR _63231_/B sky130_fd_sc_hd__nor2_2
+XFILLER_222_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41608_ _41120_/X _41615_/B VGND VGND VPWR VPWR _41608_/X sky130_fd_sc_hd__or2_2
+XFILLER_57_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48164_ _48163_/X _48164_/B VGND VGND VPWR VPWR _48164_/X sky130_fd_sc_hd__or2_2
+X_60442_ _77589_/Q _60442_/B VGND VGND VPWR VPWR _60442_/Y sky130_fd_sc_hd__nor2_2
+XPHY_3692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72428_ _72790_/A _72428_/B VGND VGND VPWR VPWR _72428_/X sky130_fd_sc_hd__or2_2
+XFILLER_324_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45376_ _45376_/A _45375_/Y VGND VGND VPWR VPWR _45376_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_37_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76196_ _76196_/A VGND VGND VPWR VPWR _38205_/A sky130_fd_sc_hd__buf_1
+XFILLER_128_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42588_ _42586_/X _70310_/X _70309_/X _42587_/X VGND VGND VPWR VPWR _42588_/X sky130_fd_sc_hd__o22a_4
+XFILLER_196_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_187_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_726_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_673_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_575_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_106_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_321_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47115_ _47115_/A _47115_/B VGND VGND VPWR VPWR _47172_/A sky130_fd_sc_hd__or2_2
+XFILLER_356_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_35473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44327_ _79236_/Q VGND VGND VPWR VPWR _44327_/Y sky130_fd_sc_hd__inv_8
+XPHY_2991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63161_ _78686_/Q _63161_/B VGND VGND VPWR VPWR _63164_/B sky130_fd_sc_hd__nor2_2
+XFILLER_341_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75147_ _75387_/A _75137_/B VGND VGND VPWR VPWR _75150_/A sky130_fd_sc_hd__or2_2
+XFILLER_658_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41539_ _41535_/X _41538_/Y VGND VGND VPWR VPWR _79593_/D sky130_fd_sc_hd__nand2_2
+XFILLER_140_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60373_ _60373_/A _60372_/Y VGND VGND VPWR VPWR _60374_/B sky130_fd_sc_hd__nor2_2
+XFILLER_144_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48095_ _78678_/Q _48092_/B VGND VGND VPWR VPWR _48095_/Y sky130_fd_sc_hd__nand2_2
+X_72359_ _72359_/A _72358_/Y VGND VGND VPWR VPWR _81452_/D sky130_fd_sc_hd__nand2_2
+XFILLER_147_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_458_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_638_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_390_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_654_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62112_ _62112_/A _62112_/B _62111_/Y VGND VGND VPWR VPWR _62112_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_70_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_697_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47046_ _46962_/A VGND VGND VPWR VPWR _47046_/X sky130_fd_sc_hd__buf_1
+XFILLER_174_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_376_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44258_ _65556_/A _44258_/B _44257_/Y VGND VGND VPWR VPWR _44259_/B sky130_fd_sc_hd__and3_2
+XFILLER_239_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79955_ _79925_/CLK _79955_/D VGND VGND VPWR VPWR _65166_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_302_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63092_ _63092_/A _62631_/X VGND VGND VPWR VPWR _63092_/Y sky130_fd_sc_hd__nor2_2
+X_75078_ _75078_/A _75077_/Y VGND VGND VPWR VPWR _80779_/D sky130_fd_sc_hd__nand2_2
+XFILLER_651_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_706_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_612_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_196_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43209_ _43209_/A _43070_/Y _43209_/C _43209_/D VGND VGND VPWR VPWR _43210_/D sky130_fd_sc_hd__nor4_2
+XFILLER_632_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66920_ _66427_/A _66920_/B _66920_/C VGND VGND VPWR VPWR _66921_/C sky130_fd_sc_hd__nor3_2
+X_62043_ _62043_/A _61563_/X VGND VGND VPWR VPWR _62044_/C sky130_fd_sc_hd__nor2_2
+XFILLER_31_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74029_ _74705_/A VGND VGND VPWR VPWR _74029_/X sky130_fd_sc_hd__buf_1
+XFILLER_259_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78906_ _78903_/CLK _47140_/Y VGND VGND VPWR VPWR _46323_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_350_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_127_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44189_ _44219_/A _44045_/X VGND VGND VPWR VPWR _44190_/A sky130_fd_sc_hd__or2_2
+XFILLER_319_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_504_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79886_ _80046_/CLK _79886_/D VGND VGND VPWR VPWR _58789_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_304_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_54_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_417_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_315_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66851_ _57492_/A _66683_/B VGND VGND VPWR VPWR _66851_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_477_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78837_ _78338_/CLK _78837_/D VGND VGND VPWR VPWR _62948_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_272_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48997_ _48994_/Y _48996_/X VGND VGND VPWR VPWR _78450_/D sky130_fd_sc_hd__nand2_2
+XFILLER_237_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_667_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_170_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65802_ _57057_/A _65129_/B VGND VGND VPWR VPWR _65804_/B sky130_fd_sc_hd__nor2_2
+XFILLER_387_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38750_ _38478_/A _38750_/B VGND VGND VPWR VPWR _38750_/X sky130_fd_sc_hd__or2_2
+XFILLER_332_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69570_ _42150_/A _69670_/A VGND VGND VPWR VPWR _69655_/C sky130_fd_sc_hd__and2_2
+XFILLER_233_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47948_ _78716_/Q _47962_/B VGND VGND VPWR VPWR _47948_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_68_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66782_ _66782_/A _66460_/B VGND VGND VPWR VPWR _66782_/Y sky130_fd_sc_hd__nor2_2
+X_78768_ _78766_/CLK _47748_/Y VGND VGND VPWR VPWR _78768_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_250_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_645_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63994_ _58978_/A _63994_/B _63993_/Y VGND VGND VPWR VPWR _63994_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_569_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_430_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_152_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_330_187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68521_ _68521_/A VGND VGND VPWR VPWR _68524_/A sky130_fd_sc_hd__buf_1
+XFILLER_96_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65733_ _75918_/C _65052_/X VGND VGND VPWR VPWR _65734_/C sky130_fd_sc_hd__nor2_2
+XFILLER_684_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77719_ _77719_/CLK _77719_/D VGND VGND VPWR VPWR _60806_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_69_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_580_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38681_ _38539_/A _38688_/B VGND VGND VPWR VPWR _38684_/A sky130_fd_sc_hd__or2_2
+XFILLER_457_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62945_ _62630_/X _62943_/Y _62944_/Y VGND VGND VPWR VPWR _62945_/Y sky130_fd_sc_hd__nor3_2
+X_47879_ _47879_/A _47879_/B VGND VGND VPWR VPWR _47879_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_411_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_567_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78699_ _78699_/CLK _48022_/Y VGND VGND VPWR VPWR _78699_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_313_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_133_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49618_ _49615_/X VGND VGND VPWR VPWR _49634_/B sky130_fd_sc_hd__buf_1
+X_80730_ _80863_/CLK _75263_/Y VGND VGND VPWR VPWR _66219_/A sky130_fd_sc_hd__dfxtp_4
+X_68452_ _65145_/A VGND VGND VPWR VPWR _68788_/B sky130_fd_sc_hd__buf_1
+XFILLER_283_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_3_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65664_ _67309_/A VGND VGND VPWR VPWR _66326_/B sky130_fd_sc_hd__buf_1
+XFILLER_387_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50890_ _50906_/B VGND VGND VPWR VPWR _50900_/B sky130_fd_sc_hd__buf_1
+XFILLER_266_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62876_ _62564_/A _62876_/B _62875_/Y VGND VGND VPWR VPWR _62876_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_465_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_266_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_237_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67403_ _67745_/A _67399_/Y _67402_/Y VGND VGND VPWR VPWR _67414_/B sky130_fd_sc_hd__nor3_2
+XFILLER_621_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64615_ _56482_/A _64178_/B VGND VGND VPWR VPWR _64615_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_227_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_460_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_248_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61827_ _50872_/A _61201_/B VGND VGND VPWR VPWR _61829_/B sky130_fd_sc_hd__nor2_2
+XFILLER_211_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49549_ _49635_/A _49552_/B VGND VGND VPWR VPWR _49549_/X sky130_fd_sc_hd__or2_2
+XFILLER_621_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80661_ _80630_/CLK _75555_/Y VGND VGND VPWR VPWR _80661_/Q sky130_fd_sc_hd__dfxtp_4
+X_68383_ _67894_/A _68383_/B _68382_/Y VGND VGND VPWR VPWR _68384_/B sky130_fd_sc_hd__nor3_2
+XFILLER_260_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_225_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65595_ _64739_/A VGND VGND VPWR VPWR _65596_/B sky130_fd_sc_hd__buf_1
+XFILLER_428_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_129_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_246_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_604_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39302_ _39291_/X _39310_/B _80162_/Q VGND VGND VPWR VPWR _39303_/B sky130_fd_sc_hd__nand3_2
+XFILLER_90_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_602_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_36_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_502_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67334_ _67176_/A _67332_/Y _67334_/C VGND VGND VPWR VPWR _67334_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_77_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_361_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52560_ _52560_/A _52559_/X VGND VGND VPWR VPWR _52560_/X sky130_fd_sc_hd__or2_2
+XFILLER_561_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64546_ _64298_/A VGND VGND VPWR VPWR _68894_/A sky130_fd_sc_hd__buf_1
+XFILLER_526_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_209_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61758_ _61758_/A _61752_/Y _61758_/C VGND VGND VPWR VPWR _61758_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_402_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80592_ _80525_/CLK _75821_/Y VGND VGND VPWR VPWR _80592_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_541_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_621_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_307_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39233_ _39231_/X _39233_/B VGND VGND VPWR VPWR _39233_/Y sky130_fd_sc_hd__nand2_2
+X_51511_ _51511_/A _51511_/B VGND VGND VPWR VPWR _77793_/D sky130_fd_sc_hd__nand2_2
+XFILLER_162_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_142_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_719_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60709_ _60709_/A _60395_/B VGND VGND VPWR VPWR _60709_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_413_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_283_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_691_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67265_ _67265_/A _67585_/B VGND VGND VPWR VPWR _67265_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_575_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52491_ _52604_/A _52457_/X VGND VGND VPWR VPWR _52491_/X sky130_fd_sc_hd__or2_2
+X_64477_ _66719_/A VGND VGND VPWR VPWR _64477_/X sky130_fd_sc_hd__buf_1
+XFILLER_343_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_244_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61689_ _61689_/A _61378_/B VGND VGND VPWR VPWR _61691_/B sky130_fd_sc_hd__nor2_2
+XFILLER_342_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_127_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69004_ _44402_/A _68512_/X VGND VGND VPWR VPWR _69007_/A sky130_fd_sc_hd__nor2_2
+XFILLER_398_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54230_ _54230_/A _54239_/B VGND VGND VPWR VPWR _54230_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_14_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66216_ _66704_/A _66216_/B _66216_/C VGND VGND VPWR VPWR _66221_/B sky130_fd_sc_hd__nor3_2
+XFILLER_558_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_539_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_149_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_500_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39164_ _39162_/X _39163_/Y VGND VGND VPWR VPWR _80194_/D sky130_fd_sc_hd__nand2_2
+X_51442_ _77812_/Q _51456_/B VGND VGND VPWR VPWR _51442_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_656_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63428_ _63428_/A _63705_/B VGND VGND VPWR VPWR _63428_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_123_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67196_ _67196_/A _67030_/Y _67196_/C _67195_/Y VGND VGND VPWR VPWR _76701_/D sky130_fd_sc_hd__or4_2
+XFILLER_593_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_101_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_22_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81213_ _81190_/CLK _73333_/Y VGND VGND VPWR VPWR _66759_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_71_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54161_ _48394_/B _54033_/B VGND VGND VPWR VPWR _54164_/A sky130_fd_sc_hd__or2_2
+XFILLER_355_3028 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_162_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_527_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66147_ _65808_/X _66147_/B _66146_/Y VGND VGND VPWR VPWR _66147_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_359_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_717_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_472_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39095_ _39089_/A _38982_/X _80208_/Q VGND VGND VPWR VPWR _39095_/Y sky130_fd_sc_hd__nand3_2
+X_51373_ _51370_/Y _51372_/X VGND VGND VPWR VPWR _77828_/D sky130_fd_sc_hd__nand2_2
+X_63359_ _59882_/A VGND VGND VPWR VPWR _63359_/X sky130_fd_sc_hd__buf_1
+XPHY_14709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_374_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_140_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53112_ _53056_/A _53133_/B VGND VGND VPWR VPWR _53113_/B sky130_fd_sc_hd__or2_2
+XFILLER_338_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_203_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_656_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_552_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50324_ _62348_/A _50312_/X VGND VGND VPWR VPWR _50326_/A sky130_fd_sc_hd__nand2_2
+XFILLER_542_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81144_ _81144_/CLK _81144_/D VGND VGND VPWR VPWR _81144_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_472_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54092_ _54542_/A VGND VGND VPWR VPWR _54093_/A sky130_fd_sc_hd__buf_1
+XFILLER_273_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66078_ _66726_/A _66076_/Y _66077_/Y VGND VGND VPWR VPWR _66079_/C sky130_fd_sc_hd__nor3_2
+XFILLER_539_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_7_65_0_u_core.clock clkbuf_7_65_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_65_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_355_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_611_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_121_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57920_ _58088_/A _57920_/B VGND VGND VPWR VPWR _57940_/B sky130_fd_sc_hd__nor2_2
+X_53043_ _60853_/A _53049_/B VGND VGND VPWR VPWR _53045_/A sky130_fd_sc_hd__nand2_2
+XFILLER_307_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65029_ _66699_/A VGND VGND VPWR VPWR _65029_/X sky130_fd_sc_hd__buf_1
+X_69906_ _55251_/A _69905_/Y VGND VGND VPWR VPWR _69907_/B sky130_fd_sc_hd__nor2_2
+XFILLER_611_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50255_ _50240_/A VGND VGND VPWR VPWR _50261_/B sky130_fd_sc_hd__buf_1
+XFILLER_341_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81075_ _81047_/CLK _81075_/D VGND VGND VPWR VPWR _73853_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_615_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_3_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_435_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80026_ _80027_/CLK _39835_/Y VGND VGND VPWR VPWR _80026_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_372_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69837_ _69813_/Y _69831_/Y _69837_/C _69837_/D VGND VGND VPWR VPWR _69842_/B sky130_fd_sc_hd__or4_2
+XFILLER_216_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57851_ _67437_/A _57527_/X VGND VGND VPWR VPWR _57851_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_713_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_314_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50186_ _50093_/X _50201_/B VGND VGND VPWR VPWR _50186_/X sky130_fd_sc_hd__or2_2
+XFILLER_251_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_62_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_629_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39997_ _39997_/A _39997_/B VGND VGND VPWR VPWR _39997_/X sky130_fd_sc_hd__or2_2
+XPHY_9349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_105_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56802_ _65296_/A _56721_/B VGND VGND VPWR VPWR _56802_/Y sky130_fd_sc_hd__nor2_2
+XPHY_20229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_689_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_47_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_726_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38948_ _38948_/A _38947_/Y VGND VGND VPWR VPWR _80248_/D sky130_fd_sc_hd__nand2_2
+XFILLER_350_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_685_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57782_ _57543_/X _57748_/X _57781_/X _74466_/A _57626_/X VGND VGND VPWR VPWR _76661_/D
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_251_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69768_ _69746_/X _42133_/A _42137_/A VGND VGND VPWR VPWR _69768_/X sky130_fd_sc_hd__and3_2
+XFILLER_585_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54994_ _55001_/A VGND VGND VPWR VPWR _54998_/B sky130_fd_sc_hd__buf_1
+XFILLER_510_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59521_ _59060_/X VGND VGND VPWR VPWR _59687_/A sky130_fd_sc_hd__buf_1
+XFILLER_212_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56733_ _56492_/A _56729_/Y _56732_/Y VGND VGND VPWR VPWR _56734_/B sky130_fd_sc_hd__nor3_2
+XFILLER_102_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_726_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_546_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68719_ _68719_/A _68719_/B VGND VGND VPWR VPWR _68762_/B sky130_fd_sc_hd__nor2_2
+XFILLER_287_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_251_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53945_ _53647_/A VGND VGND VPWR VPWR _53946_/A sky130_fd_sc_hd__buf_1
+XFILLER_388_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_665_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38879_ _38879_/A _38878_/Y VGND VGND VPWR VPWR _80267_/D sky130_fd_sc_hd__nand2_2
+XFILLER_487_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69699_ _38621_/A VGND VGND VPWR VPWR _75827_/A sky130_fd_sc_hd__buf_1
+XFILLER_476_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_150_0_u_core.clock clkbuf_7_75_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_301_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_274_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40910_ _40910_/A _40909_/Y VGND VGND VPWR VPWR _79752_/D sky130_fd_sc_hd__nand2_2
+XFILLER_219_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71730_ _70646_/B _71729_/Y VGND VGND VPWR VPWR _71730_/X sky130_fd_sc_hd__or2_2
+XFILLER_99_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59452_ _59611_/A _59452_/B _59451_/Y VGND VGND VPWR VPWR _59453_/B sky130_fd_sc_hd__nor3_2
+XFILLER_87_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80928_ _80929_/CLK _80928_/D VGND VGND VPWR VPWR _74465_/A sky130_fd_sc_hd__dfxtp_4
+X_56664_ _56744_/A _56662_/Y _56663_/Y VGND VGND VPWR VPWR _56668_/B sky130_fd_sc_hd__nor3_2
+XFILLER_249_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_448_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41890_ _41863_/A VGND VGND VPWR VPWR _41897_/A sky130_fd_sc_hd__buf_1
+XFILLER_609_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53876_ _53874_/Y _53876_/B VGND VGND VPWR VPWR _77167_/D sky130_fd_sc_hd__nand2_2
+XPHY_29216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_5_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_186_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_28_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_25_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58403_ _58699_/A _58401_/Y _58403_/C VGND VGND VPWR VPWR _58403_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_60_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_566_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55615_ _55612_/Y _55613_/X _55615_/C VGND VGND VPWR VPWR _55615_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_234_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40841_ _40835_/X _40840_/Y VGND VGND VPWR VPWR _79770_/D sky130_fd_sc_hd__nand2_2
+XPHY_28504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52827_ _52825_/Y _52826_/X VGND VGND VPWR VPWR _77443_/D sky130_fd_sc_hd__nand2_2
+X_59383_ _59383_/A _59383_/B _59382_/Y VGND VGND VPWR VPWR _59384_/C sky130_fd_sc_hd__nor3_2
+XPHY_29249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_71661_ _71661_/A _71583_/B _71661_/C VGND VGND VPWR VPWR _71661_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_170_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_641_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56595_ _56440_/A _56593_/Y _56595_/C VGND VGND VPWR VPWR _56595_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_284_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80859_ _80862_/CLK _74763_/Y VGND VGND VPWR VPWR _80859_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_28515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_157_0_u_core.clock clkbuf_8_78_0_u_core.clock/X VGND VGND VPWR VPWR _77787_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_290_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_55_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_327_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_696_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_407_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73400_ _73400_/A VGND VGND VPWR VPWR _73404_/B sky130_fd_sc_hd__buf_1
+XFILLER_309_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_262_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_696_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58334_ _68435_/A _58334_/B VGND VGND VPWR VPWR _58335_/C sky130_fd_sc_hd__nor2_2
+X_70612_ _70611_/X VGND VGND VPWR VPWR _70612_/Y sky130_fd_sc_hd__inv_8
+XFILLER_103_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43560_ _42518_/B _43560_/B VGND VGND VPWR VPWR _43560_/Y sky130_fd_sc_hd__nor2_2
+X_55546_ _55562_/A _55545_/Y VGND VGND VPWR VPWR _55546_/X sky130_fd_sc_hd__or2_2
+X_74380_ _74378_/X _74379_/Y VGND VGND VPWR VPWR _80948_/D sky130_fd_sc_hd__nand2_2
+Xclkbuf_8_45_0_u_core.clock clkbuf_7_22_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_91_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_18025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_540_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40772_ _40758_/X VGND VGND VPWR VPWR _40772_/X sky130_fd_sc_hd__buf_1
+XPHY_28559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52758_ _52758_/A VGND VGND VPWR VPWR _52880_/A sky130_fd_sc_hd__buf_1
+X_71592_ _70651_/B _71592_/B VGND VGND VPWR VPWR _71604_/B sky130_fd_sc_hd__nor2_2
+XFILLER_407_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_203_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_577_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42511_ _42586_/A VGND VGND VPWR VPWR _42511_/X sky130_fd_sc_hd__buf_1
+X_73331_ _73323_/X _73324_/X _66759_/A VGND VGND VPWR VPWR _73331_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_344_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51709_ _51709_/A _51709_/B VGND VGND VPWR VPWR _77740_/D sky130_fd_sc_hd__nand2_2
+XPHY_17324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58265_ _79975_/Q _57944_/X VGND VGND VPWR VPWR _58267_/B sky130_fd_sc_hd__nor2_2
+XPHY_18069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70543_ _70543_/A _70542_/Y VGND VGND VPWR VPWR _70543_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_578_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43491_ _43490_/X VGND VGND VPWR VPWR _55598_/B sky130_fd_sc_hd__buf_1
+XFILLER_639_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55477_ _55466_/A _55477_/B VGND VGND VPWR VPWR _55478_/B sky130_fd_sc_hd__nor2_2
+XFILLER_404_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_501_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52689_ _60735_/A _52692_/B VGND VGND VPWR VPWR _52689_/Y sky130_fd_sc_hd__nand2_2
+XPHY_27869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_58_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_519_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_726_218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45230_ _45029_/X _45230_/B _45229_/Y VGND VGND VPWR VPWR _45231_/B sky130_fd_sc_hd__or3_2
+XFILLER_199_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_708_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57216_ _57135_/A _57213_/Y _57215_/Y VGND VGND VPWR VPWR _57216_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_639_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76050_ _76050_/A _76036_/B VGND VGND VPWR VPWR _76050_/X sky130_fd_sc_hd__or2_2
+XFILLER_54_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42442_ _69561_/B VGND VGND VPWR VPWR _42443_/C sky130_fd_sc_hd__inv_8
+X_54428_ _54428_/A _54427_/X VGND VGND VPWR VPWR _54428_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_42_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73262_ _73262_/A VGND VGND VPWR VPWR _73262_/X sky130_fd_sc_hd__buf_1
+XFILLER_694_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_497_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_400_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58196_ _58036_/X _58192_/Y _58195_/Y VGND VGND VPWR VPWR _58196_/Y sky130_fd_sc_hd__nor3_2
+XPHY_17379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70474_ _70056_/A VGND VGND VPWR VPWR _70484_/C sky130_fd_sc_hd__buf_1
+XPHY_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2298 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_89_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75001_ _75019_/A VGND VGND VPWR VPWR _75006_/B sky130_fd_sc_hd__buf_1
+XFILLER_519_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_458_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72213_ _72235_/A _72190_/X _68120_/A VGND VGND VPWR VPWR _72214_/B sky130_fd_sc_hd__nand3_2
+XPHY_15922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_494_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45161_ _45161_/A _45222_/B VGND VGND VPWR VPWR _45161_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_243_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57147_ _66169_/A _57309_/B VGND VGND VPWR VPWR _57147_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42373_ _42373_/A _42372_/Y VGND VGND VPWR VPWR _42373_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_534_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54359_ _77039_/Q _54362_/B VGND VGND VPWR VPWR _54359_/Y sky130_fd_sc_hd__nand2_2
+XPHY_33301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73193_ _73216_/A VGND VGND VPWR VPWR _73208_/A sky130_fd_sc_hd__buf_1
+XFILLER_184_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_400_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_633_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44112_ _43834_/A _44102_/A _44111_/X VGND VGND VPWR VPWR _44112_/X sky130_fd_sc_hd__and3_2
+XPHY_1586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41324_ _41286_/X VGND VGND VPWR VPWR _41324_/X sky130_fd_sc_hd__buf_1
+XPHY_33334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72144_ _72135_/A _72143_/B VGND VGND VPWR VPWR _72144_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45092_ _45061_/A _45091_/Y VGND VGND VPWR VPWR _45092_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_715_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57078_ _57078_/A _57160_/B VGND VGND VPWR VPWR _57080_/B sky130_fd_sc_hd__nor2_2
+XFILLER_616_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_109_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_32_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_722_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_626_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44043_ _65874_/A _44042_/X VGND VGND VPWR VPWR _44043_/X sky130_fd_sc_hd__or2_4
+XFILLER_326_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48920_ _48920_/A VGND VGND VPWR VPWR _48921_/B sky130_fd_sc_hd__buf_1
+XFILLER_573_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56029_ _45281_/Y _56010_/X VGND VGND VPWR VPWR _56031_/B sky130_fd_sc_hd__nor2_2
+XFILLER_256_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79740_ _79741_/CLK _79740_/D VGND VGND VPWR VPWR _40954_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_560_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41255_ _41253_/X _41255_/B VGND VGND VPWR VPWR _41255_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_49_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76952_ _76921_/CLK _76952_/D VGND VGND VPWR VPWR _76952_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_291_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72075_ _72075_/A _72075_/B VGND VGND VPWR VPWR _72084_/B sky130_fd_sc_hd__or2_2
+XFILLER_533_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_313_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_136_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_332_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_688_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40206_ _40188_/A VGND VGND VPWR VPWR _40207_/B sky130_fd_sc_hd__buf_1
+XFILLER_256_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_217_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75903_ _75901_/X _75902_/Y VGND VGND VPWR VPWR _80571_/D sky130_fd_sc_hd__nand2_2
+XFILLER_516_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71026_ _70900_/X _71130_/B VGND VGND VPWR VPWR _71027_/B sky130_fd_sc_hd__or2_2
+XFILLER_48_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48851_ _48880_/A _48845_/B VGND VGND VPWR VPWR _48851_/X sky130_fd_sc_hd__or2_2
+XFILLER_151_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79671_ _79703_/CLK _41243_/Y VGND VGND VPWR VPWR _57056_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_414_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41186_ _41240_/A VGND VGND VPWR VPWR _41187_/B sky130_fd_sc_hd__buf_1
+XPHY_31954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76883_ _77318_/CLK _76883_/D VGND VGND VPWR VPWR _60226_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_351_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47802_ _63642_/A _47791_/B VGND VGND VPWR VPWR _47804_/A sky130_fd_sc_hd__nand2_2
+XFILLER_434_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_629_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78622_ _78675_/CLK _48327_/Y VGND VGND VPWR VPWR _63172_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_117_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_25_0_u_core.clock clkbuf_9_25_0_u_core.clock/A VGND VGND VPWR VPWR _78840_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_31987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40137_ _40257_/A _40127_/X VGND VGND VPWR VPWR _40137_/X sky130_fd_sc_hd__or2_2
+XPHY_21464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75834_ _75829_/X _75833_/Y VGND VGND VPWR VPWR _80590_/D sky130_fd_sc_hd__nand2_2
+XFILLER_289_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48782_ _48782_/A _48782_/B VGND VGND VPWR VPWR _48782_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_124_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_21475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45994_ _45984_/X _45992_/Y _45994_/C VGND VGND VPWR VPWR _79049_/D sky130_fd_sc_hd__nor3_2
+XFILLER_642_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_65_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_78_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_447_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47733_ _47756_/B VGND VGND VPWR VPWR _47755_/B sky130_fd_sc_hd__buf_1
+X_59719_ _59719_/A _59719_/B _59718_/Y VGND VGND VPWR VPWR _59723_/B sky130_fd_sc_hd__nor3_2
+XFILLER_278_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78553_ _78545_/CLK _78553_/D VGND VGND VPWR VPWR _63585_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40068_ _40068_/A VGND VGND VPWR VPWR _40069_/B sky130_fd_sc_hd__buf_1
+X_44945_ _45210_/A _44945_/B VGND VGND VPWR VPWR _44945_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_709_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75765_ _76007_/A _75772_/B VGND VGND VPWR VPWR _75768_/A sky130_fd_sc_hd__or2_2
+XPHY_20774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72977_ _72977_/A VGND VGND VPWR VPWR _73744_/A sky130_fd_sc_hd__buf_1
+X_60991_ _60991_/A _61303_/B VGND VGND VPWR VPWR _60991_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_8_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77504_ _77506_/CLK _77504_/D VGND VGND VPWR VPWR _60929_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_310_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_662_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74716_ _74721_/A _74716_/B _80869_/Q VGND VGND VPWR VPWR _74716_/Y sky130_fd_sc_hd__nand3_2
+X_62730_ _62730_/A _62579_/B VGND VGND VPWR VPWR _62730_/Y sky130_fd_sc_hd__nor2_2
+XPHY_10284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47664_ _47979_/A VGND VGND VPWR VPWR _47704_/A sky130_fd_sc_hd__buf_1
+X_71928_ _71150_/A _71928_/B VGND VGND VPWR VPWR _71929_/D sky130_fd_sc_hd__nor2_2
+X_78484_ _77937_/CLK _48865_/Y VGND VGND VPWR VPWR _63938_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_10295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44876_ _75046_/A _44836_/X _44868_/Y _44875_/X VGND VGND VPWR VPWR _44877_/C sky130_fd_sc_hd__o22a_4
+XFILLER_447_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_19_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_75696_ _75643_/A VGND VGND VPWR VPWR _75696_/X sky130_fd_sc_hd__buf_1
+XFILLER_466_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_511_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_310_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_616_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_254_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_267_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49403_ _49431_/A _49424_/B VGND VGND VPWR VPWR _49403_/X sky130_fd_sc_hd__or2_2
+XFILLER_627_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46615_ _46626_/A VGND VGND VPWR VPWR _46615_/X sky130_fd_sc_hd__buf_1
+XFILLER_482_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77435_ _77434_/CLK _77435_/D VGND VGND VPWR VPWR _77435_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_722_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43827_ _43826_/X _43805_/Y VGND VGND VPWR VPWR _43827_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_111_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62661_ _62977_/A _62659_/Y _62661_/C VGND VGND VPWR VPWR _62662_/C sky130_fd_sc_hd__nor3_2
+X_74647_ _74647_/A _74654_/B _80883_/Q VGND VGND VPWR VPWR _74648_/B sky130_fd_sc_hd__nand3_2
+XFILLER_267_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71859_ _71253_/X _71840_/Y _71848_/Y _71858_/X VGND VGND VPWR VPWR _42743_/B sky130_fd_sc_hd__o22a_4
+X_47595_ _47624_/A _47582_/X VGND VGND VPWR VPWR _47595_/X sky130_fd_sc_hd__or2_2
+XFILLER_327_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_523_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_281_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_1_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_460_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64400_ _66361_/A VGND VGND VPWR VPWR _64407_/A sky130_fd_sc_hd__buf_1
+XFILLER_447_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_19_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_631_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61612_ _61612_/A _61610_/Y _61612_/C VGND VGND VPWR VPWR _61612_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_4_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_703_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49334_ _49499_/A VGND VGND VPWR VPWR _51152_/A sky130_fd_sc_hd__buf_1
+XFILLER_56_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_323_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_605_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_423_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46546_ _42361_/Y _46554_/B VGND VGND VPWR VPWR _46546_/X sky130_fd_sc_hd__or2_2
+XFILLER_245_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65380_ _44354_/Y _65872_/B VGND VGND VPWR VPWR _65383_/A sky130_fd_sc_hd__nor2_2
+X_77366_ _77365_/CLK _77366_/D VGND VGND VPWR VPWR _60638_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_29783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_185_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43758_ _43790_/A _43758_/B _43758_/C VGND VGND VPWR VPWR _79300_/D sky130_fd_sc_hd__nor3_2
+XFILLER_499_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62592_ _62592_/A _62592_/B VGND VGND VPWR VPWR _62592_/Y sky130_fd_sc_hd__nor2_2
+X_74578_ _74674_/A VGND VGND VPWR VPWR _74579_/A sky130_fd_sc_hd__buf_1
+XFILLER_540_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_660_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79105_ _79095_/CLK _79105_/D VGND VGND VPWR VPWR _45702_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_679_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_575_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64331_ _64553_/A VGND VGND VPWR VPWR _67183_/A sky130_fd_sc_hd__buf_1
+X_76317_ _76392_/A VGND VGND VPWR VPWR _76318_/A sky130_fd_sc_hd__buf_1
+XFILLER_584_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42709_ _66042_/A _42634_/B VGND VGND VPWR VPWR _42712_/B sky130_fd_sc_hd__nor2_2
+X_61543_ _61397_/A _61543_/B VGND VGND VPWR VPWR _61581_/B sky130_fd_sc_hd__nor2_2
+X_49265_ _49255_/X VGND VGND VPWR VPWR _49265_/X sky130_fd_sc_hd__buf_1
+XFILLER_245_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73529_ _73506_/X VGND VGND VPWR VPWR _73530_/A sky130_fd_sc_hd__buf_1
+XFILLER_460_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_499_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46477_ _46466_/X VGND VGND VPWR VPWR _46592_/B sky130_fd_sc_hd__buf_1
+XFILLER_221_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_501_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_72_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77297_ _77295_/CLK _77297_/D VGND VGND VPWR VPWR _59909_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43689_ _43859_/A VGND VGND VPWR VPWR _43849_/A sky130_fd_sc_hd__inv_8
+XPHY_4190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_410_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48216_ _78648_/Q _48201_/X VGND VGND VPWR VPWR _48216_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_395_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67050_ _67217_/A _67050_/B _67049_/Y VGND VGND VPWR VPWR _67050_/Y sky130_fd_sc_hd__nor3_2
+XPHY_18592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79036_ _79036_/CLK _79036_/D VGND VGND VPWR VPWR _46085_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_206_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45428_ _45428_/A _45427_/X VGND VGND VPWR VPWR _55688_/B sky130_fd_sc_hd__nand2_2
+XFILLER_21_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64262_ _68297_/A VGND VGND VPWR VPWR _64262_/X sky130_fd_sc_hd__buf_1
+X_76248_ _76284_/A VGND VGND VPWR VPWR _76263_/B sky130_fd_sc_hd__buf_1
+XFILLER_495_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_419_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_656_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61474_ _60845_/A _61474_/B VGND VGND VPWR VPWR _61508_/B sky130_fd_sc_hd__nor2_2
+XFILLER_226_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49196_ _49196_/A _47570_/A VGND VGND VPWR VPWR _54935_/A sky130_fd_sc_hd__or2_2
+XFILLER_163_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_692_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66001_ _66167_/A _66001_/B _66001_/C VGND VGND VPWR VPWR _66005_/B sky130_fd_sc_hd__nor3_2
+XFILLER_50_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63213_ _63213_/A _62743_/X VGND VGND VPWR VPWR _63213_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_336_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_376_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48147_ _48134_/X VGND VGND VPWR VPWR _48164_/B sky130_fd_sc_hd__buf_1
+X_60425_ _60425_/A _60734_/B VGND VGND VPWR VPWR _60428_/B sky130_fd_sc_hd__nor2_2
+XFILLER_89_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_348_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_690_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45359_ _45358_/Y _45032_/X VGND VGND VPWR VPWR _45364_/B sky130_fd_sc_hd__nor2_2
+XPHY_35270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64193_ _69455_/A _64193_/B _64192_/Y VGND VGND VPWR VPWR _64193_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_525_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_141_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76179_ _38310_/A _76161_/B VGND VGND VPWR VPWR _76181_/A sky130_fd_sc_hd__or2_2
+XFILLER_454_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_536_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63144_ _59087_/A VGND VGND VPWR VPWR _63144_/X sky130_fd_sc_hd__buf_1
+XFILLER_551_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_651_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60356_ _59713_/A _60352_/Y _60356_/C VGND VGND VPWR VPWR _60357_/C sky130_fd_sc_hd__nor3_2
+X_48078_ _48078_/A _48078_/B VGND VGND VPWR VPWR _48078_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_102_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_159_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_2982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_376_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_552_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_162_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_514_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_536_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39920_ _39920_/A _39920_/B VGND VGND VPWR VPWR _39920_/Y sky130_fd_sc_hd__nand2_2
+X_47029_ _47004_/X _71837_/B _71781_/B _47021_/X VGND VGND VPWR VPWR _47030_/B sky130_fd_sc_hd__o22a_4
+XFILLER_683_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_356_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_514_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63075_ _63075_/A _63236_/B VGND VGND VPWR VPWR _63075_/Y sky130_fd_sc_hd__nor2_2
+X_67952_ _58099_/A _68269_/B VGND VGND VPWR VPWR _67953_/C sky130_fd_sc_hd__nor2_2
+XFILLER_697_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79938_ _79969_/CLK _40178_/Y VGND VGND VPWR VPWR _79938_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_304_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60287_ _59481_/A VGND VGND VPWR VPWR _60288_/B sky130_fd_sc_hd__buf_1
+XFILLER_155_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50040_ _50012_/A _50055_/B VGND VGND VPWR VPWR _50041_/B sky130_fd_sc_hd__or2_2
+XFILLER_6_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66903_ _66903_/A _66565_/B VGND VGND VPWR VPWR _66903_/Y sky130_fd_sc_hd__nor2_2
+X_62026_ _61402_/A _62024_/Y _62025_/Y VGND VGND VPWR VPWR _62026_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_700_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39851_ _39850_/X _39847_/B VGND VGND VPWR VPWR _39851_/X sky130_fd_sc_hd__or2_2
+XFILLER_63_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_154_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67883_ _67724_/A _67883_/B _67883_/C VGND VGND VPWR VPWR _67884_/C sky130_fd_sc_hd__nor3_2
+XFILLER_118_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_170_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_436_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79869_ _79805_/CLK _79869_/D VGND VGND VPWR VPWR _57474_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_487_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_331_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_289_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38802_ _38805_/A _38805_/B _80287_/Q VGND VGND VPWR VPWR _38803_/B sky130_fd_sc_hd__nand3_2
+XFILLER_272_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_233_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69622_ _69625_/A _69622_/B _69625_/C _69622_/D VGND VGND VPWR VPWR _69632_/A sky130_fd_sc_hd__nor4_2
+XFILLER_44_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66834_ _57474_/A _67328_/B VGND VGND VPWR VPWR _66835_/C sky130_fd_sc_hd__nor2_2
+XFILLER_610_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_666_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_1244 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39782_ _40285_/A _39777_/X VGND VGND VPWR VPWR _39782_/X sky130_fd_sc_hd__or2_2
+XFILLER_157_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_9_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_477_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_410_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38733_ _38730_/X _38733_/B VGND VGND VPWR VPWR _80305_/D sky130_fd_sc_hd__nand2_2
+XFILLER_297_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69553_ _69537_/Y _69553_/B VGND VGND VPWR VPWR _69554_/B sky130_fd_sc_hd__nor2_2
+XFILLER_512_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66765_ _64226_/X VGND VGND VPWR VPWR _66774_/A sky130_fd_sc_hd__buf_1
+XFILLER_269_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51991_ _51991_/A _51990_/X VGND VGND VPWR VPWR _51991_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_25_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63977_ _63977_/A _59052_/B VGND VGND VPWR VPWR _63977_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_68_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_487_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_582_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68504_ _67809_/X _68504_/B VGND VGND VPWR VPWR _68505_/C sky130_fd_sc_hd__nor2_2
+XFILLER_246_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53730_ _53728_/Y _53729_/X VGND VGND VPWR VPWR _77206_/D sky130_fd_sc_hd__nand2_2
+X_65716_ _65881_/A _65716_/B _65715_/Y VGND VGND VPWR VPWR _65720_/B sky130_fd_sc_hd__nor3_2
+XFILLER_418_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_69_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38664_ _38670_/A _38670_/B _80324_/Q VGND VGND VPWR VPWR _38664_/Y sky130_fd_sc_hd__nand3_2
+X_50942_ _77939_/Q _50949_/B VGND VGND VPWR VPWR _50942_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_96_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62928_ _60585_/A VGND VGND VPWR VPWR _62929_/B sky130_fd_sc_hd__buf_1
+XFILLER_662_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69484_ _81390_/Q _64239_/B VGND VGND VPWR VPWR _69485_/C sky130_fd_sc_hd__nor2_2
+XFILLER_187_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_582_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66696_ _43699_/Y _66210_/B VGND VGND VPWR VPWR _66698_/B sky130_fd_sc_hd__nor2_2
+XFILLER_721_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_3132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_662_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_421_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_361_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_446_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_606_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_216_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80713_ _80742_/CLK _75329_/Y VGND VGND VPWR VPWR _68687_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_433_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68435_ _68435_/A _69080_/B VGND VGND VPWR VPWR _68435_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_271_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_84_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53661_ _53661_/A _53673_/B VGND VGND VPWR VPWR _53661_/X sky130_fd_sc_hd__or2_2
+XFILLER_57_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65647_ _65486_/A _65647_/B _65647_/C VGND VGND VPWR VPWR _65647_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_383_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38595_ _76201_/A VGND VGND VPWR VPWR _38596_/A sky130_fd_sc_hd__buf_1
+X_62859_ _62859_/A _62859_/B _62859_/C VGND VGND VPWR VPWR _62860_/C sky130_fd_sc_hd__nor3_2
+X_50873_ _50275_/A VGND VGND VPWR VPWR _50988_/A sky130_fd_sc_hd__buf_1
+XFILLER_0_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_37_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_645_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_309_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_71_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_3187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_383_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55400_ _55400_/A _55399_/X VGND VGND VPWR VPWR _55400_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_693_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_383_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52612_ _52608_/Y _52612_/B VGND VGND VPWR VPWR _52612_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_17_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_228_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80644_ _80641_/CLK _80644_/D VGND VGND VPWR VPWR _75630_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_621_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56380_ _56380_/A _58808_/B VGND VGND VPWR VPWR _56384_/B sky130_fd_sc_hd__nor2_2
+XFILLER_623_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68366_ _65877_/A VGND VGND VPWR VPWR _68367_/B sky130_fd_sc_hd__buf_1
+XFILLER_678_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_460_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53592_ _77243_/Q _53595_/B VGND VGND VPWR VPWR _53592_/Y sky130_fd_sc_hd__nand2_2
+X_65578_ _66401_/A VGND VGND VPWR VPWR _66235_/B sky130_fd_sc_hd__buf_1
+XFILLER_129_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_16_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_414_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_383_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_344_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_20_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55331_ _55356_/A _55369_/A VGND VGND VPWR VPWR _55331_/X sky130_fd_sc_hd__or2_2
+XFILLER_246_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67317_ _80000_/Q _66649_/X VGND VGND VPWR VPWR _67319_/B sky130_fd_sc_hd__nor2_2
+XFILLER_90_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52543_ _60628_/A _52546_/B VGND VGND VPWR VPWR _52545_/A sky130_fd_sc_hd__nand2_2
+X_64529_ _67208_/A VGND VGND VPWR VPWR _64741_/B sky130_fd_sc_hd__buf_1
+XFILLER_478_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80575_ _80543_/CLK _75890_/Y VGND VGND VPWR VPWR _80575_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_361_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68297_ _68297_/A VGND VGND VPWR VPWR _68297_/X sky130_fd_sc_hd__buf_1
+XPHY_217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_227_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_40_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_596_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_693_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39216_ _76187_/A VGND VGND VPWR VPWR _39217_/A sky130_fd_sc_hd__buf_1
+XFILLER_213_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58050_ _80164_/Q _57728_/B VGND VGND VPWR VPWR _58050_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_51_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_24_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67248_ _67248_/A _67903_/B VGND VGND VPWR VPWR _67249_/C sky130_fd_sc_hd__nor2_2
+XFILLER_90_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55262_ _55470_/A _55262_/B VGND VGND VPWR VPWR _55262_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_142_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52474_ _52772_/A VGND VGND VPWR VPWR _52563_/A sky130_fd_sc_hd__buf_1
+XFILLER_719_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_575_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57001_ _57083_/A _57000_/Y VGND VGND VPWR VPWR _57021_/B sky130_fd_sc_hd__nor2_2
+XFILLER_300_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_181_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54213_ _59352_/A _54210_/B VGND VGND VPWR VPWR _54215_/A sky130_fd_sc_hd__nand2_2
+XFILLER_528_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39147_ _39147_/A _39142_/X VGND VGND VPWR VPWR _39147_/X sky130_fd_sc_hd__or2_2
+XFILLER_396_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51425_ _60883_/A _51435_/B VGND VGND VPWR VPWR _51425_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_32_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_705_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_498_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55193_ _55171_/A _55188_/Y _55193_/C VGND VGND VPWR VPWR _55195_/A sky130_fd_sc_hd__nor3_2
+XFILLER_556_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67179_ _68003_/A VGND VGND VPWR VPWR _67180_/B sky130_fd_sc_hd__buf_1
+XFILLER_535_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_517_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_198_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_617_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54144_ _54078_/A _54147_/B VGND VGND VPWR VPWR _54145_/B sky130_fd_sc_hd__or2_2
+XFILLER_527_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_472_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51356_ _51356_/A _51356_/B VGND VGND VPWR VPWR _51356_/Y sky130_fd_sc_hd__nand2_2
+X_39078_ _39060_/A VGND VGND VPWR VPWR _39079_/B sky130_fd_sc_hd__buf_1
+X_70190_ _70162_/X _70189_/Y VGND VGND VPWR VPWR _70200_/A sky130_fd_sc_hd__nand2_2
+XFILLER_222_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_382_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_203_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_454_0_u_core.clock clkbuf_9_455_0_u_core.clock/A VGND VGND VPWR VPWR _80751_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_552_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_382_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_446_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50307_ _50307_/A _50306_/X VGND VGND VPWR VPWR _50307_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_593_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81127_ _80790_/CLK _73660_/Y VGND VGND VPWR VPWR _81127_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_542_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_159_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54075_ _53158_/A VGND VGND VPWR VPWR _54076_/A sky130_fd_sc_hd__buf_1
+X_58952_ _59481_/A VGND VGND VPWR VPWR _59313_/B sky130_fd_sc_hd__buf_1
+XFILLER_218_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_31206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51287_ _51287_/A _51287_/B VGND VGND VPWR VPWR _77852_/D sky130_fd_sc_hd__nand2_2
+XFILLER_134_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_630_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_10_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_179_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_535_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41040_ _41036_/A _41030_/B _68435_/A VGND VGND VPWR VPWR _41041_/B sky130_fd_sc_hd__nand3_2
+XFILLER_689_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57903_ _80962_/Q _58223_/B VGND VGND VPWR VPWR _57904_/C sky130_fd_sc_hd__nor2_2
+XFILLER_418_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53026_ _53026_/A _53040_/B VGND VGND VPWR VPWR _53026_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_27_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_134_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50238_ _50238_/A _50237_/X VGND VGND VPWR VPWR _78125_/D sky130_fd_sc_hd__nand2_2
+XFILLER_133_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81058_ _81156_/CLK _73921_/Y VGND VGND VPWR VPWR _67566_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_450_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58883_ _62529_/A VGND VGND VPWR VPWR _64014_/A sky130_fd_sc_hd__buf_1
+XFILLER_489_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_9135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_238_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_114_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_0_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72900_ _73537_/A VGND VGND VPWR VPWR _73517_/A sky130_fd_sc_hd__buf_1
+XPHY_9157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80009_ _80010_/CLK _80009_/D VGND VGND VPWR VPWR _68803_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_134_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57834_ _57683_/A _57832_/Y _57834_/C VGND VGND VPWR VPWR _57840_/B sky130_fd_sc_hd__nor3_2
+XPHY_30549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50169_ _50167_/Y _50169_/B VGND VGND VPWR VPWR _78145_/D sky130_fd_sc_hd__nand2_2
+XPHY_20026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73880_ _73638_/A _73987_/B VGND VGND VPWR VPWR _73882_/A sky130_fd_sc_hd__or2_2
+XFILLER_456_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_161_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_251_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_251_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_629_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_484_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_58_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_626_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72831_ _72846_/A VGND VGND VPWR VPWR _72842_/B sky130_fd_sc_hd__buf_1
+XPHY_7722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57765_ _41450_/C _57445_/X VGND VGND VPWR VPWR _57767_/B sky130_fd_sc_hd__nor2_2
+XFILLER_27_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42991_ _72013_/B _42994_/B VGND VGND VPWR VPWR _42991_/X sky130_fd_sc_hd__or2_2
+XFILLER_5_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54977_ _54977_/A _54980_/B VGND VGND VPWR VPWR _54977_/X sky130_fd_sc_hd__or2_2
+XFILLER_333_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_644_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_247_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59504_ _77015_/Q _59344_/B VGND VGND VPWR VPWR _59504_/Y sky130_fd_sc_hd__nor2_2
+XPHY_39503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44730_ _68676_/A _44726_/Y _44696_/B _44729_/X VGND VGND VPWR VPWR _44731_/B sky130_fd_sc_hd__o22a_4
+XFILLER_112_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56716_ _56795_/A _56716_/B VGND VGND VPWR VPWR _56736_/B sky130_fd_sc_hd__nor2_2
+X_75550_ _75550_/A _75550_/B VGND VGND VPWR VPWR _75550_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_436_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41942_ _79409_/Q VGND VGND VPWR VPWR _41942_/Y sky130_fd_sc_hd__inv_8
+XFILLER_212_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53928_ _77153_/Q _53932_/B VGND VGND VPWR VPWR _53928_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_561_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72762_ _72234_/A _72772_/B VGND VGND VPWR VPWR _72764_/A sky130_fd_sc_hd__or2_2
+XPHY_7777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_169_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57696_ _57444_/X _57692_/Y _57695_/Y VGND VGND VPWR VPWR _57696_/Y sky130_fd_sc_hd__nor3_2
+XPHY_39525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_542_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_264_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_644_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_542_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_29013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_483_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74501_ _65926_/A VGND VGND VPWR VPWR _74501_/Y sky130_fd_sc_hd__inv_8
+XFILLER_60_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59435_ _63758_/A _59435_/B _59434_/Y VGND VGND VPWR VPWR _59441_/B sky130_fd_sc_hd__nor3_2
+X_71713_ _70848_/X _71756_/B VGND VGND VPWR VPWR _71714_/B sky130_fd_sc_hd__and2_2
+XPHY_38813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56647_ _56647_/A _58809_/B VGND VGND VPWR VPWR _56648_/C sky130_fd_sc_hd__nor2_2
+X_44661_ _44883_/A VGND VGND VPWR VPWR _44663_/A sky130_fd_sc_hd__inv_8
+X_75481_ _75992_/A _75481_/B VGND VGND VPWR VPWR _75481_/X sky130_fd_sc_hd__or2_2
+XPHY_29035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_483_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41873_ _41868_/A VGND VGND VPWR VPWR _41873_/Y sky130_fd_sc_hd__inv_8
+XFILLER_74_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53859_ _53831_/A _53862_/B VGND VGND VPWR VPWR _53860_/B sky130_fd_sc_hd__or2_2
+XPHY_39569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_520_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72693_ _72693_/A _72692_/Y VGND VGND VPWR VPWR _81363_/D sky130_fd_sc_hd__nand2_2
+XPHY_29046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_704_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46400_ _46400_/A VGND VGND VPWR VPWR _46401_/B sky130_fd_sc_hd__inv_8
+XFILLER_613_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_5_23_0_u_core.clock clkbuf_5_23_0_u_core.clock/A VGND VGND VPWR VPWR ANTENNA_559/DIODE
++ sky130_fd_sc_hd__clkbuf_1
+X_77220_ _77211_/CLK _77220_/D VGND VGND VPWR VPWR _53680_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_700_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43612_ _42668_/B _43607_/B VGND VGND VPWR VPWR _43612_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_210_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74432_ _74432_/A _74428_/B VGND VGND VPWR VPWR _74432_/X sky130_fd_sc_hd__or2_2
+XFILLER_21_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40824_ _40824_/A _40824_/B VGND VGND VPWR VPWR _40824_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47380_ _47380_/A _47380_/B VGND VGND VPWR VPWR _78855_/D sky130_fd_sc_hd__nand2_2
+XPHY_29079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59366_ _59081_/A _59364_/Y _59366_/C VGND VGND VPWR VPWR _59367_/C sky130_fd_sc_hd__nor3_2
+X_71644_ _70504_/A _71380_/B VGND VGND VPWR VPWR _71649_/B sky130_fd_sc_hd__nor2_2
+XFILLER_362_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44592_ _44583_/B _44591_/Y _44576_/C VGND VGND VPWR VPWR _44592_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_284_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_524_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56578_ _39995_/C _56666_/B VGND VGND VPWR VPWR _56578_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_229_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_186_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46331_ _43038_/Y _46331_/B VGND VGND VPWR VPWR _46332_/B sky130_fd_sc_hd__or2_2
+XFILLER_129_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58317_ _58239_/A _58317_/B _58316_/Y VGND VGND VPWR VPWR _58318_/B sky130_fd_sc_hd__nor3_2
+XFILLER_566_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77151_ _77732_/CLK _53939_/Y VGND VGND VPWR VPWR _77151_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_28378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43543_ _43543_/A VGND VGND VPWR VPWR _43544_/A sky130_fd_sc_hd__buf_1
+XFILLER_182_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_404_272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55529_ _55426_/A _55605_/A _55529_/C VGND VGND VPWR VPWR _55529_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_147_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74363_ _74500_/A _74348_/X VGND VGND VPWR VPWR _74363_/X sky130_fd_sc_hd__or2_2
+XFILLER_622_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40755_ _40514_/A _40755_/B VGND VGND VPWR VPWR _40755_/X sky130_fd_sc_hd__or2_2
+XPHY_27644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59297_ _61614_/A VGND VGND VPWR VPWR _63893_/A sky130_fd_sc_hd__buf_1
+X_71575_ _71443_/X _71575_/B VGND VGND VPWR VPWR _71575_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_377_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_540_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_43_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_204_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_477_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_657_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76102_ _76316_/A VGND VGND VPWR VPWR _76152_/A sky130_fd_sc_hd__buf_1
+XFILLER_502_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_71_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73314_ _74992_/A _73308_/B VGND VGND VPWR VPWR _73315_/B sky130_fd_sc_hd__or2_2
+X_49050_ _49050_/A _49065_/B VGND VGND VPWR VPWR _49050_/X sky130_fd_sc_hd__or2_2
+XPHY_27677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46262_ _41742_/Y _41981_/A VGND VGND VPWR VPWR _46263_/B sky130_fd_sc_hd__nor2_2
+X_70526_ _70509_/Y _70523_/Y VGND VGND VPWR VPWR _70543_/A sky130_fd_sc_hd__nor2_2
+XFILLER_477_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77082_ _77082_/CLK _77082_/D VGND VGND VPWR VPWR _77082_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58248_ _56252_/X VGND VGND VPWR VPWR _58568_/A sky130_fd_sc_hd__buf_1
+XFILLER_501_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43474_ _43474_/A _43473_/Y VGND VGND VPWR VPWR _43474_/Y sky130_fd_sc_hd__nand2_2
+XPHY_2040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74294_ _74292_/X _74293_/Y VGND VGND VPWR VPWR _80971_/D sky130_fd_sc_hd__nand2_2
+XFILLER_340_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40686_ _40684_/X _40685_/Y VGND VGND VPWR VPWR _79811_/D sky130_fd_sc_hd__nand2_2
+XPHY_26954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48001_ _48001_/A VGND VGND VPWR VPWR _48063_/A sky130_fd_sc_hd__buf_1
+XPHY_16442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_125_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_301_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45213_ _45213_/A _45321_/B VGND VGND VPWR VPWR _45213_/Y sky130_fd_sc_hd__nor2_2
+XPHY_26976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_54_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76033_ _76020_/A _76027_/B _65897_/A VGND VGND VPWR VPWR _76034_/B sky130_fd_sc_hd__nand3_2
+XPHY_16453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42425_ _69826_/X VGND VGND VPWR VPWR _42427_/A sky130_fd_sc_hd__buf_1
+XFILLER_455_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73245_ _73253_/A _73245_/B _81234_/Q VGND VGND VPWR VPWR _73245_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_318_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_3083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46193_ _46193_/A _46193_/B _46193_/C _46193_/D VGND VGND VPWR VPWR _46194_/C sky130_fd_sc_hd__nor4_2
+XFILLER_16_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58179_ _58104_/A _58178_/Y VGND VGND VPWR VPWR _58179_/Y sky130_fd_sc_hd__nor2_2
+X_70457_ _70431_/Y _70442_/X VGND VGND VPWR VPWR _70457_/X sky130_fd_sc_hd__and2_2
+XPHY_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_193_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_519_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60210_ _61776_/A VGND VGND VPWR VPWR _60371_/A sky130_fd_sc_hd__buf_1
+XFILLER_297_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45144_ _45143_/X VGND VGND VPWR VPWR _45144_/X sky130_fd_sc_hd__buf_1
+XPHY_33120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_533_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61190_ _61190_/A _61037_/B VGND VGND VPWR VPWR _61192_/B sky130_fd_sc_hd__nor2_2
+XFILLER_279_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42356_ _42320_/X _42355_/Y _42399_/A _42331_/B VGND VGND VPWR VPWR _42357_/B sky130_fd_sc_hd__o22a_4
+X_73176_ _74058_/A VGND VGND VPWR VPWR _74996_/A sky130_fd_sc_hd__buf_1
+XFILLER_158_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_531_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70388_ _70388_/A _70388_/B _70388_/C VGND VGND VPWR VPWR _70389_/B sky130_fd_sc_hd__or3_2
+XFILLER_455_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41307_ _41042_/X _41307_/B VGND VGND VPWR VPWR _41307_/X sky130_fd_sc_hd__or2_2
+XFILLER_144_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60141_ _77003_/Q _60141_/B VGND VGND VPWR VPWR _60141_/Y sky130_fd_sc_hd__nor2_2
+X_72127_ _72127_/A _71145_/B VGND VGND VPWR VPWR _72127_/X sky130_fd_sc_hd__and2_2
+XFILLER_373_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_715_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49952_ _49952_/A _49961_/B VGND VGND VPWR VPWR _49956_/A sky130_fd_sc_hd__nand2_2
+X_45075_ _45176_/A _45075_/B VGND VGND VPWR VPWR _55496_/B sky130_fd_sc_hd__nor2_2
+XFILLER_679_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42287_ _42264_/A _42287_/B VGND VGND VPWR VPWR _42287_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_234_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77984_ _78494_/CLK _77984_/D VGND VGND VPWR VPWR _62144_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_119_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_616_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_99_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_137_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_80_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_453_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44026_ _79248_/Q VGND VGND VPWR VPWR _65874_/A sky130_fd_sc_hd__inv_8
+XFILLER_316_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48903_ _48845_/A _48900_/B VGND VGND VPWR VPWR _48904_/B sky130_fd_sc_hd__or2_2
+XFILLER_10_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79723_ _79694_/CLK _41021_/Y VGND VGND VPWR VPWR _58641_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_67_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41238_ _41242_/A _41247_/B _57133_/A VGND VGND VPWR VPWR _41239_/B sky130_fd_sc_hd__nand3_2
+XPHY_32474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60072_ _60072_/A _59429_/B VGND VGND VPWR VPWR _60072_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_136_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76935_ _76917_/CLK _54751_/Y VGND VGND VPWR VPWR _54749_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_271_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72058_ _70384_/A _72058_/B _72057_/Y VGND VGND VPWR VPWR _72059_/A sky130_fd_sc_hd__or3_2
+XFILLER_531_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_174_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49883_ _49881_/Y _49882_/X VGND VGND VPWR VPWR _78221_/D sky130_fd_sc_hd__nand2_2
+XFILLER_471_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_31740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_49_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_668_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_140_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63900_ _58982_/A _63900_/B VGND VGND VPWR VPWR _63933_/B sky130_fd_sc_hd__nor2_2
+XFILLER_298_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71009_ _71276_/A VGND VGND VPWR VPWR _71289_/A sky130_fd_sc_hd__inv_8
+XFILLER_84_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_152_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48834_ _48832_/A VGND VGND VPWR VPWR _48838_/B sky130_fd_sc_hd__buf_1
+XFILLER_252_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79654_ _79686_/CLK _41309_/Y VGND VGND VPWR VPWR _58254_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_258_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_681_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_475_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41169_ _41158_/A _41158_/B _58637_/A VGND VGND VPWR VPWR _41170_/B sky130_fd_sc_hd__nand3_2
+XFILLER_6_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64880_ _64880_/A _64477_/X VGND VGND VPWR VPWR _64880_/Y sky130_fd_sc_hd__nor2_2
+XPHY_31784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76866_ _77082_/CLK _76866_/D VGND VGND VPWR VPWR _55000_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_488_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_536 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_174_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_371_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_340_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_676_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78605_ _78589_/CLK _48392_/Y VGND VGND VPWR VPWR _78605_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_62_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_446_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63831_ _59363_/A _63829_/Y _63831_/C VGND VGND VPWR VPWR _63831_/Y sky130_fd_sc_hd__nor3_2
+X_75817_ _75810_/X _75811_/B _75817_/C VGND VGND VPWR VPWR _75817_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_113_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_690_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48765_ _63971_/A _48765_/B VGND VGND VPWR VPWR _48765_/Y sky130_fd_sc_hd__nand2_2
+XPHY_20560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79585_ _79610_/CLK _79585_/D VGND VGND VPWR VPWR _57847_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_663_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45977_ _79053_/Q _45974_/B VGND VGND VPWR VPWR _45977_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_312_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76797_ _76798_/CLK _76797_/D VGND VGND VPWR VPWR _76797_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_20571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_466_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_20582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47716_ _78776_/Q _47706_/B VGND VGND VPWR VPWR _47718_/A sky130_fd_sc_hd__nand2_2
+XFILLER_61_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66550_ _66550_/A VGND VGND VPWR VPWR _66890_/B sky130_fd_sc_hd__buf_1
+XFILLER_349_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_43_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78536_ _78525_/CLK _78536_/D VGND VGND VPWR VPWR _63437_/A sky130_fd_sc_hd__dfxtp_4
+X_44928_ _41917_/A _44928_/B VGND VGND VPWR VPWR _44978_/C sky130_fd_sc_hd__or2_2
+XFILLER_644_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63762_ _63181_/A _63760_/Y _63761_/Y VGND VGND VPWR VPWR _63766_/B sky130_fd_sc_hd__nor3_2
+XFILLER_681_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75748_ _75802_/A VGND VGND VPWR VPWR _75749_/B sky130_fd_sc_hd__buf_1
+XFILLER_564_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60974_ _59381_/A VGND VGND VPWR VPWR _60974_/X sky130_fd_sc_hd__buf_1
+XFILLER_23_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_78_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48696_ _48696_/A _48695_/X VGND VGND VPWR VPWR _78527_/D sky130_fd_sc_hd__nand2_2
+XFILLER_709_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_78_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65501_ _80245_/Q _65501_/B VGND VGND VPWR VPWR _65503_/B sky130_fd_sc_hd__nor2_2
+XFILLER_455_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62713_ _50068_/A _62401_/B VGND VGND VPWR VPWR _62715_/B sky130_fd_sc_hd__nor2_2
+XFILLER_113_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_662_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47647_ _47647_/A _47653_/B VGND VGND VPWR VPWR _47647_/X sky130_fd_sc_hd__or2_2
+X_66481_ _66473_/X _66476_/Y _66481_/C VGND VGND VPWR VPWR _66481_/Y sky130_fd_sc_hd__nor3_2
+X_78467_ _78451_/CLK _48929_/Y VGND VGND VPWR VPWR _78467_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_529_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44859_ _44857_/X _44858_/X VGND VGND VPWR VPWR _44859_/X sky130_fd_sc_hd__and2_2
+XFILLER_81_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_93_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63693_ _63411_/X _63693_/B _63693_/C VGND VGND VPWR VPWR _63693_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_19_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75679_ _75643_/A VGND VGND VPWR VPWR _75680_/A sky130_fd_sc_hd__buf_1
+XFILLER_285_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_624_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_53_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_602_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68220_ _68220_/A _68562_/B VGND VGND VPWR VPWR _68222_/B sky130_fd_sc_hd__nor2_2
+XFILLER_679_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65432_ _80885_/Q _65431_/X VGND VGND VPWR VPWR _65435_/B sky130_fd_sc_hd__nor2_2
+XFILLER_443_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_722_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77418_ _77410_/CLK _77418_/D VGND VGND VPWR VPWR _61236_/A sky130_fd_sc_hd__dfxtp_4
+X_38380_ _38259_/A _38391_/B VGND VGND VPWR VPWR _38383_/A sky130_fd_sc_hd__or2_2
+XFILLER_47_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62644_ _62158_/X _62644_/B _62643_/Y VGND VGND VPWR VPWR _62645_/B sky130_fd_sc_hd__nor3_2
+XFILLER_588_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47578_ _63912_/A _47573_/X VGND VGND VPWR VPWR _47578_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_605_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_34_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78398_ _77903_/CLK _78398_/D VGND VGND VPWR VPWR _78398_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_700_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_78_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_228_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_323_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_542_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_443_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49317_ _41974_/Y _47337_/X _41978_/Y _47338_/X VGND VGND VPWR VPWR _49488_/A sky130_fd_sc_hd__o22a_4
+XFILLER_577_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68151_ _68151_/A _67824_/B VGND VGND VPWR VPWR _68151_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_343_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46529_ _42313_/Y _46523_/X VGND VGND VPWR VPWR _46529_/X sky130_fd_sc_hd__or2_2
+X_65363_ _65363_/A _65361_/Y _65363_/C VGND VGND VPWR VPWR _65371_/B sky130_fd_sc_hd__nor3_2
+XFILLER_523_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77349_ _77353_/CLK _53192_/Y VGND VGND VPWR VPWR _59242_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_56_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_206_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62575_ _62417_/A _62575_/B _62575_/C VGND VGND VPWR VPWR _62587_/B sky130_fd_sc_hd__nor3_2
+XFILLER_179_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_280_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_52_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_558_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_250_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_163_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67102_ _65248_/A VGND VGND VPWR VPWR _67105_/A sky130_fd_sc_hd__buf_1
+XFILLER_260_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_181_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2455 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64314_ _68821_/A VGND VGND VPWR VPWR _64800_/B sky130_fd_sc_hd__buf_1
+XFILLER_575_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_34_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_679_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49248_ _63183_/A _49251_/B VGND VGND VPWR VPWR _49250_/A sky130_fd_sc_hd__nand2_2
+X_61526_ _61376_/A _61526_/B _61526_/C VGND VGND VPWR VPWR _61542_/A sky130_fd_sc_hd__nor3_2
+XFILLER_37_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80360_ _80393_/CLK _38501_/Y VGND VGND VPWR VPWR _68549_/A sky130_fd_sc_hd__dfxtp_4
+X_68082_ _68738_/A _68082_/B _68082_/C VGND VGND VPWR VPWR _68100_/A sky130_fd_sc_hd__nor3_2
+XFILLER_167_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_584_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65294_ _65294_/A VGND VGND VPWR VPWR _65329_/A sky130_fd_sc_hd__buf_1
+XFILLER_368_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_403_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_301_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_397_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39001_ _39012_/A _38998_/B _80234_/Q VGND VGND VPWR VPWR _39001_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_378_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_600_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67033_ _44018_/Y _67033_/B VGND VGND VPWR VPWR _67033_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_339_2108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79019_ _79500_/CLK _79019_/D VGND VGND VPWR VPWR _79019_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_638_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64245_ _65384_/A _64245_/B _64245_/C VGND VGND VPWR VPWR _64418_/A sky130_fd_sc_hd__nor3_2
+XFILLER_102_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61457_ _62238_/A VGND VGND VPWR VPWR _61458_/B sky130_fd_sc_hd__buf_1
+XFILLER_21_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49179_ _49087_/A _49179_/B VGND VGND VPWR VPWR _49179_/X sky130_fd_sc_hd__or2_2
+X_80291_ _80289_/CLK _80291_/D VGND VGND VPWR VPWR _80291_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_473_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_159_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51210_ _62326_/A _51198_/X VGND VGND VPWR VPWR _51210_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_419_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_356_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_50_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60408_ _59923_/A _60408_/B _60407_/Y VGND VGND VPWR VPWR _60408_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_119_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_3_2_1_u_core.clock clkbuf_3_2_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_4_4_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_293_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_276_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_124_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52190_ _51436_/A VGND VGND VPWR VPWR _52788_/A sky130_fd_sc_hd__buf_1
+X_64176_ _64153_/A VGND VGND VPWR VPWR _64176_/X sky130_fd_sc_hd__buf_1
+XFILLER_297_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_493_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61388_ _59807_/A VGND VGND VPWR VPWR _61539_/A sky130_fd_sc_hd__buf_1
+XFILLER_571_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_454_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_174_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_391_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51141_ _54963_/A _51141_/B VGND VGND VPWR VPWR _51142_/A sky130_fd_sc_hd__or2_2
+XFILLER_134_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63127_ _62822_/X _63124_/Y _63127_/C VGND VGND VPWR VPWR _63132_/B sky130_fd_sc_hd__nor3_2
+XFILLER_653_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_11_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60339_ _60005_/A _60339_/B _60338_/Y VGND VGND VPWR VPWR _60339_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_293_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_714_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68984_ _80138_/Q _68825_/B VGND VGND VPWR VPWR _68986_/B sky130_fd_sc_hd__nor2_2
+XFILLER_293_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_612_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_3_5_0_u_core.clock ANTENNA_554/DIODE VGND VGND VPWR VPWR clkbuf_3_5_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_686_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39903_ _40142_/A _39910_/B VGND VGND VPWR VPWR _39905_/A sky130_fd_sc_hd__or2_2
+XFILLER_639_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51072_ _51070_/Y _51072_/B VGND VGND VPWR VPWR _77905_/D sky130_fd_sc_hd__nand2_2
+XFILLER_512_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67935_ _68253_/A _67929_/Y _67935_/C VGND VGND VPWR VPWR _67936_/C sky130_fd_sc_hd__nor3_2
+X_63058_ _62899_/X _63054_/Y _63057_/Y VGND VGND VPWR VPWR _63058_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_711_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_372_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_451_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50023_ _50023_/A _50022_/X VGND VGND VPWR VPWR _78184_/D sky130_fd_sc_hd__nand2_2
+X_54900_ _54900_/A _54899_/X VGND VGND VPWR VPWR _76895_/D sky130_fd_sc_hd__nand2_2
+XFILLER_333_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_332_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62009_ _61854_/A _62009_/B _62008_/Y VGND VGND VPWR VPWR _62009_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_521_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39834_ _39816_/A _39834_/B _80026_/Q VGND VGND VPWR VPWR _39835_/B sky130_fd_sc_hd__nand3_2
+XFILLER_63_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_530_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55880_ _55880_/A _55879_/Y VGND VGND VPWR VPWR _55880_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_710_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67866_ _67705_/A _67864_/Y _67866_/C VGND VGND VPWR VPWR _67866_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_157_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_565_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69605_ _69688_/A VGND VGND VPWR VPWR _64066_/A sky130_fd_sc_hd__inv_8
+XFILLER_44_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54831_ _76913_/Q _54831_/B VGND VGND VPWR VPWR _54831_/Y sky130_fd_sc_hd__nand2_2
+X_66817_ _66329_/A _66811_/Y _66817_/C VGND VGND VPWR VPWR _66818_/C sky130_fd_sc_hd__nor3_2
+XFILLER_682_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39765_ _39744_/X _39764_/X _39765_/C VGND VGND VPWR VPWR _39766_/B sky130_fd_sc_hd__nand3_2
+XPHY_7029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_287_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_230_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67797_ _67631_/A _67793_/Y _67797_/C VGND VGND VPWR VPWR _67797_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_170_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_465_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38716_ _38713_/X _38715_/Y VGND VGND VPWR VPWR _80310_/D sky130_fd_sc_hd__nand2_2
+XFILLER_465_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_445_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57550_ _58535_/A VGND VGND VPWR VPWR _57633_/B sky130_fd_sc_hd__buf_1
+X_69536_ _64838_/A _69532_/Y _69536_/C VGND VGND VPWR VPWR _69537_/C sky130_fd_sc_hd__nor3_2
+XFILLER_389_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54762_ _54791_/A _54777_/B VGND VGND VPWR VPWR _54763_/B sky130_fd_sc_hd__or2_2
+X_66748_ _80893_/Q _66260_/X VGND VGND VPWR VPWR _66750_/B sky130_fd_sc_hd__nor2_2
+XFILLER_465_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_645_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51974_ _51976_/A VGND VGND VPWR VPWR _51989_/B sky130_fd_sc_hd__buf_1
+X_39696_ _39689_/A _39692_/X _80057_/Q VGND VGND VPWR VPWR _39696_/Y sky130_fd_sc_hd__nand3_2
+XPHY_6339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_269_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56501_ _80017_/Q _56577_/B VGND VGND VPWR VPWR _56503_/B sky130_fd_sc_hd__nor2_2
+XPHY_5616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53713_ _53657_/A _53728_/B VGND VGND VPWR VPWR _53714_/B sky130_fd_sc_hd__or2_2
+XFILLER_284_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38109 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38647_ _38643_/X _38646_/Y VGND VGND VPWR VPWR _80329_/D sky130_fd_sc_hd__nand2_2
+XFILLER_508_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50925_ _50925_/A _50928_/B VGND VGND VPWR VPWR _50925_/X sky130_fd_sc_hd__or2_2
+XFILLER_723_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57481_ _57481_/A _57480_/Y VGND VGND VPWR VPWR _57482_/B sky130_fd_sc_hd__nor2_2
+X_69467_ _64467_/A _69467_/B _69466_/Y VGND VGND VPWR VPWR _69467_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_606_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54693_ _54693_/A _54693_/B VGND VGND VPWR VPWR _76951_/D sky130_fd_sc_hd__nand2_2
+X_66679_ _67182_/A _66679_/B _66678_/Y VGND VGND VPWR VPWR _66687_/B sky130_fd_sc_hd__nor3_2
+Xclkbuf_9_244_0_u_core.clock clkbuf_9_245_0_u_core.clock/A VGND VGND VPWR VPWR _81285_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_151_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_187_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_622_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59220_ _60236_/A VGND VGND VPWR VPWR _59221_/B sky130_fd_sc_hd__buf_1
+XFILLER_285_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37408 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_363_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56432_ _40874_/C _58835_/B VGND VGND VPWR VPWR _56433_/C sky130_fd_sc_hd__nor2_2
+XFILLER_642_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68418_ _81351_/Q _68588_/B VGND VGND VPWR VPWR _68420_/B sky130_fd_sc_hd__nor2_2
+XFILLER_328_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53644_ _53701_/A _53640_/B VGND VGND VPWR VPWR _53644_/X sky130_fd_sc_hd__or2_2
+XFILLER_426_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37419 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38578_ _38578_/A _38578_/B VGND VGND VPWR VPWR _38578_/X sky130_fd_sc_hd__or2_2
+XFILLER_433_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50856_ _50856_/A VGND VGND VPWR VPWR _50919_/A sky130_fd_sc_hd__buf_1
+XFILLER_246_1512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69398_ _58735_/A _68981_/B VGND VGND VPWR VPWR _69398_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_443_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_2871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59151_ _59882_/A VGND VGND VPWR VPWR _59721_/B sky130_fd_sc_hd__buf_1
+XFILLER_38_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68349_ _66702_/A VGND VGND VPWR VPWR _68350_/B sky130_fd_sc_hd__buf_1
+X_80627_ _80630_/CLK _80627_/D VGND VGND VPWR VPWR _75690_/C sky130_fd_sc_hd__dfxtp_4
+X_56363_ _56266_/A VGND VGND VPWR VPWR _58679_/A sky130_fd_sc_hd__buf_1
+X_53575_ _53575_/A _53575_/B VGND VGND VPWR VPWR _77248_/D sky130_fd_sc_hd__nand2_2
+XFILLER_148_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36729 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50787_ _54609_/A _50759_/B VGND VGND VPWR VPWR _50813_/B sky130_fd_sc_hd__or2_2
+XFILLER_241_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_502_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58102_ _58336_/A _58098_/Y _58102_/C VGND VGND VPWR VPWR _58102_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_25_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_70_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55314_ _55393_/A _55314_/B VGND VGND VPWR VPWR _55315_/B sky130_fd_sc_hd__nor2_2
+XFILLER_224_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_183_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40540_ _40540_/A _40540_/B VGND VGND VPWR VPWR _40540_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_107_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52526_ _52523_/Y _52526_/B VGND VGND VPWR VPWR _77524_/D sky130_fd_sc_hd__nand2_2
+XPHY_26239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59082_ _59082_/A _59070_/Y _59082_/C VGND VGND VPWR VPWR _59082_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_306_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71360_ _70903_/A _71359_/Y VGND VGND VPWR VPWR _71360_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_402_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_181_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56294_ _56294_/A VGND VGND VPWR VPWR _56536_/A sky130_fd_sc_hd__buf_1
+X_80558_ _80584_/CLK _75953_/Y VGND VGND VPWR VPWR _80558_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_478_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_639_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_601_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_478_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_55_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_40_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58033_ _67980_/A _58033_/B VGND VGND VPWR VPWR _58034_/C sky130_fd_sc_hd__nor2_2
+XFILLER_120_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70311_ _70102_/X _70309_/X _70112_/X _70310_/X VGND VGND VPWR VPWR _70311_/X sky130_fd_sc_hd__o22a_4
+XFILLER_519_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55245_ _55245_/A VGND VGND VPWR VPWR _55341_/A sky130_fd_sc_hd__buf_1
+XPHY_15015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_33_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_2532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40471_ _40538_/A VGND VGND VPWR VPWR _40471_/X sky130_fd_sc_hd__buf_1
+XFILLER_139_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52457_ _54368_/A _52428_/X VGND VGND VPWR VPWR _52457_/X sky130_fd_sc_hd__or2_2
+XFILLER_491_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71291_ _71427_/A _71289_/Y _71291_/C VGND VGND VPWR VPWR _71291_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_691_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_671_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80489_ _80518_/CLK _76250_/Y VGND VGND VPWR VPWR _80489_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_494_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42210_ _42047_/A _42204_/Y _42210_/C VGND VGND VPWR VPWR _79503_/D sky130_fd_sc_hd__nor3_2
+XFILLER_142_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_327_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73030_ _42922_/A _73020_/X VGND VGND VPWR VPWR _73031_/B sky130_fd_sc_hd__or2_2
+XFILLER_276_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51408_ _51408_/A _51408_/B VGND VGND VPWR VPWR _77819_/D sky130_fd_sc_hd__nand2_2
+XFILLER_515_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70242_ _70228_/X _71284_/A VGND VGND VPWR VPWR _70242_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43190_ _79447_/Q VGND VGND VPWR VPWR _43190_/Y sky130_fd_sc_hd__inv_8
+XFILLER_201_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55176_ _55176_/A VGND VGND VPWR VPWR _55176_/Y sky130_fd_sc_hd__inv_8
+XFILLER_202_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52388_ _60960_/A _52373_/X VGND VGND VPWR VPWR _52388_/Y sky130_fd_sc_hd__nand2_2
+XPHY_24859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_49_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_126_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42141_ _69725_/A _42141_/B VGND VGND VPWR VPWR _42141_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54127_ _59358_/A _54124_/B VGND VGND VPWR VPWR _54129_/A sky130_fd_sc_hd__nand2_2
+XFILLER_720_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_472_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_712_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_370_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_354_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51339_ _51338_/X VGND VGND VPWR VPWR _51339_/X sky130_fd_sc_hd__buf_1
+XPHY_13624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70173_ _70163_/Y _70164_/X _70165_/X _70172_/X VGND VGND VPWR VPWR _70173_/X sky130_fd_sc_hd__o22a_4
+XPHY_14369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59984_ _59042_/A VGND VGND VPWR VPWR _59985_/B sky130_fd_sc_hd__buf_1
+XFILLER_613_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_704_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_270_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_68_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_689_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_31025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_118_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54058_ _54058_/A _54037_/B VGND VGND VPWR VPWR _54058_/Y sky130_fd_sc_hd__nand2_2
+X_58935_ _59384_/A _58920_/Y _58934_/Y VGND VGND VPWR VPWR _58936_/C sky130_fd_sc_hd__nor3_2
+X_42072_ _70136_/A _42053_/X VGND VGND VPWR VPWR _42074_/A sky130_fd_sc_hd__nor2_2
+XPHY_13668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_134_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74981_ _74981_/A _74985_/B VGND VGND VPWR VPWR _74981_/X sky130_fd_sc_hd__or2_2
+XFILLER_316_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_323_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_194_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41023_ _41022_/X _41019_/B VGND VGND VPWR VPWR _41023_/X sky130_fd_sc_hd__or2_2
+X_45900_ _46861_/A VGND VGND VPWR VPWR _46043_/A sky130_fd_sc_hd__buf_1
+XPHY_31069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53009_ _77393_/Q _52997_/X VGND VGND VPWR VPWR _53011_/A sky130_fd_sc_hd__nand2_2
+X_76720_ _76720_/CLK _76720_/D VGND VGND VPWR VPWR _69886_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_180_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73932_ _73929_/X _73932_/B VGND VGND VPWR VPWR _73932_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_27_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_106_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46880_ _46880_/A _46880_/B _46879_/X VGND VGND VPWR VPWR _46880_/X sky130_fd_sc_hd__or3_2
+XPHY_12978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58866_ _58866_/A _58866_/B VGND VGND VPWR VPWR _58868_/B sky130_fd_sc_hd__nor2_2
+XFILLER_133_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45831_ _45831_/A _45795_/A VGND VGND VPWR VPWR _45832_/B sky130_fd_sc_hd__nor2_2
+XFILLER_231_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57817_ _57817_/A _57817_/B VGND VGND VPWR VPWR _57818_/B sky130_fd_sc_hd__nor2_2
+XFILLER_66_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76651_ _76651_/CLK _56984_/Y VGND VGND VPWR VPWR _76651_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_672_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73863_ _73866_/A _73750_/B _64539_/A VGND VGND VPWR VPWR _73863_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_79_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58797_ _56615_/A _58796_/Y VGND VGND VPWR VPWR _58814_/B sky130_fd_sc_hd__nor2_2
+XFILLER_251_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_663_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_47_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_483_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75602_ _75600_/X _75601_/Y VGND VGND VPWR VPWR _80651_/D sky130_fd_sc_hd__nand2_2
+XFILLER_251_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_583_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72814_ _72313_/X _72810_/B VGND VGND VPWR VPWR _72814_/X sky130_fd_sc_hd__or2_2
+XFILLER_409_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48550_ _63992_/A _48554_/B VGND VGND VPWR VPWR _48550_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_565_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79370_ _79568_/CLK _79370_/D VGND VGND VPWR VPWR _56483_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_97_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45762_ _70184_/X VGND VGND VPWR VPWR _45763_/A sky130_fd_sc_hd__inv_8
+XPHY_39300 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57748_ _57582_/A _57726_/Y _57748_/C VGND VGND VPWR VPWR _57748_/X sky130_fd_sc_hd__or3_2
+XFILLER_310_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76582_ VGND VGND VPWR VPWR _76582_/HI la_data_out[78] sky130_fd_sc_hd__conb_1
+XFILLER_94_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_409_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_131_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42974_ _42974_/A _42971_/B VGND VGND VPWR VPWR _42974_/X sky130_fd_sc_hd__or2_2
+XFILLER_188_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39311 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73794_ _73784_/A _73791_/B _81091_/Q VGND VGND VPWR VPWR _73794_/Y sky130_fd_sc_hd__nand3_2
+XPHY_7574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39322 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47501_ _47313_/A VGND VGND VPWR VPWR _47829_/A sky130_fd_sc_hd__buf_1
+XFILLER_663_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78321_ _78317_/CLK _78321_/D VGND VGND VPWR VPWR _49504_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39333 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_526_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44713_ _44514_/Y VGND VGND VPWR VPWR _44715_/A sky130_fd_sc_hd__buf_1
+XFILLER_263_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_75533_ _75508_/A VGND VGND VPWR VPWR _75534_/A sky130_fd_sc_hd__buf_1
+XFILLER_480_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_327_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41925_ _41924_/X VGND VGND VPWR VPWR _41925_/X sky130_fd_sc_hd__buf_1
+XPHY_39344 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48481_ _63134_/A _48485_/B VGND VGND VPWR VPWR _48484_/A sky130_fd_sc_hd__nand2_2
+XFILLER_507_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72745_ _72737_/X _72734_/B _81350_/Q VGND VGND VPWR VPWR _72746_/B sky130_fd_sc_hd__nand3_2
+XFILLER_112_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45693_ _42427_/A _46071_/B VGND VGND VPWR VPWR _45694_/B sky130_fd_sc_hd__nor2_2
+XPHY_38610 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57679_ _57830_/A _57679_/B _57679_/C VGND VGND VPWR VPWR _57680_/C sky130_fd_sc_hd__nor3_2
+XPHY_39355 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_35_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38621 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39366 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_676_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_264_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_147_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38632 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39377 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47432_ _63827_/A _47435_/B VGND VGND VPWR VPWR _47434_/A sky130_fd_sc_hd__nand2_2
+XFILLER_508_2992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59418_ _59418_/A _63880_/B VGND VGND VPWR VPWR _59418_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_559_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78252_ _78251_/CLK _78252_/D VGND VGND VPWR VPWR _49766_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39388 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38643 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44644_ _44727_/A VGND VGND VPWR VPWR _68676_/A sky130_fd_sc_hd__inv_8
+XFILLER_40_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_18_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75464_ _75478_/A _75473_/B _68350_/A VGND VGND VPWR VPWR _75465_/B sky130_fd_sc_hd__nand3_2
+XFILLER_597_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60690_ _60371_/A _60690_/B _60689_/Y VGND VGND VPWR VPWR _60690_/Y sky130_fd_sc_hd__nor3_2
+X_41856_ wbs_dat_i[0] VGND VGND VPWR VPWR _41856_/Y sky130_fd_sc_hd__inv_8
+XFILLER_90_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39399 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38654 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72676_ _72676_/A VGND VGND VPWR VPWR _72688_/B sky130_fd_sc_hd__buf_1
+XFILLER_344_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38665 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37920 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37931 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38676 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77203_ _77203_/CLK _77203_/D VGND VGND VPWR VPWR _53741_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_700_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_379_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_598_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_409_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37942 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74415_ _74412_/X _74414_/X VGND VGND VPWR VPWR _74415_/Y sky130_fd_sc_hd__nand2_2
+XPHY_38687 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_309_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40807_ _40568_/A _40807_/B VGND VGND VPWR VPWR _40809_/A sky130_fd_sc_hd__or2_2
+XFILLER_1_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71627_ _70489_/Y _71421_/B VGND VGND VPWR VPWR _71627_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_182_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47363_ _47430_/A _47384_/B VGND VGND VPWR VPWR _47364_/B sky130_fd_sc_hd__or2_2
+XFILLER_700_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59349_ _59349_/A _59347_/Y _59349_/C VGND VGND VPWR VPWR _59350_/C sky130_fd_sc_hd__nor3_2
+XPHY_37953 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78183_ _78191_/CLK _50026_/Y VGND VGND VPWR VPWR _78183_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_38698 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44575_ _44573_/A _44575_/B VGND VGND VPWR VPWR _44576_/B sky130_fd_sc_hd__nor2_2
+XFILLER_264_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75395_ _75391_/X _75395_/B VGND VGND VPWR VPWR _75395_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_422_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41787_ _41780_/A VGND VGND VPWR VPWR _41787_/X sky130_fd_sc_hd__buf_1
+XPHY_37964 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_524_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37975 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49102_ _47527_/A VGND VGND VPWR VPWR _49133_/A sky130_fd_sc_hd__buf_1
+XPHY_28197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46314_ _46313_/X VGND VGND VPWR VPWR _46480_/A sky130_fd_sc_hd__inv_8
+XPHY_37986 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77134_ _77128_/CLK _77134_/D VGND VGND VPWR VPWR _77134_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43526_ _42427_/A VGND VGND VPWR VPWR _45789_/A sky130_fd_sc_hd__inv_8
+XFILLER_143_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62360_ _62341_/Y _62360_/B VGND VGND VPWR VPWR _62360_/Y sky130_fd_sc_hd__nor2_2
+X_74346_ _74338_/X _74339_/X _74346_/C VGND VGND VPWR VPWR _74347_/B sky130_fd_sc_hd__nand3_2
+XPHY_37997 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40738_ _40619_/A _40741_/B VGND VGND VPWR VPWR _40740_/A sky130_fd_sc_hd__or2_2
+XFILLER_654_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_47294_ _47294_/A _47294_/B _42286_/B VGND VGND VPWR VPWR _53137_/A sky130_fd_sc_hd__or3_2
+XFILLER_593_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71558_ _70912_/D _71310_/B VGND VGND VPWR VPWR _71560_/B sky130_fd_sc_hd__nor2_2
+XFILLER_349_146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61311_ _61311_/A _60999_/B VGND VGND VPWR VPWR _61311_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_340_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49033_ _63254_/A _49039_/B VGND VGND VPWR VPWR _49035_/A sky130_fd_sc_hd__nand2_2
+XFILLER_657_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_125_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46245_ _46245_/A _46238_/B VGND VGND VPWR VPWR _46245_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_364_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70509_ _70506_/X _70509_/B VGND VGND VPWR VPWR _70509_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_494_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77065_ _77065_/CLK _54270_/Y VGND VGND VPWR VPWR _54268_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_26773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43457_ _43457_/A _43456_/Y VGND VGND VPWR VPWR _79344_/D sky130_fd_sc_hd__nand2_2
+XFILLER_619_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74277_ _74277_/A VGND VGND VPWR VPWR _74284_/B sky130_fd_sc_hd__buf_1
+X_62291_ _47424_/A VGND VGND VPWR VPWR _62997_/A sky130_fd_sc_hd__buf_1
+XFILLER_496_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40669_ _40405_/A _40658_/B VGND VGND VPWR VPWR _40669_/X sky130_fd_sc_hd__or2_2
+XFILLER_581_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71489_ _71489_/A VGND VGND VPWR VPWR _71490_/A sky130_fd_sc_hd__buf_1
+XFILLER_615_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_201_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_477_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76016_ _76016_/A _76015_/Y VGND VGND VPWR VPWR _80541_/D sky130_fd_sc_hd__nand2_2
+XFILLER_635_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_613_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64030_ _64030_/A _64029_/Y VGND VGND VPWR VPWR _64031_/B sky130_fd_sc_hd__nor2_2
+XFILLER_518_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_438_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42408_ _42405_/X _42408_/B VGND VGND VPWR VPWR _46694_/A sky130_fd_sc_hd__nand2_2
+X_73228_ _75035_/A _73237_/B VGND VGND VPWR VPWR _73228_/X sky130_fd_sc_hd__or2_2
+X_61242_ _59657_/A VGND VGND VPWR VPWR _61242_/X sky130_fd_sc_hd__buf_1
+XFILLER_717_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_77 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46176_ _43187_/Y _46176_/B VGND VGND VPWR VPWR _46180_/B sky130_fd_sc_hd__nor2_2
+XFILLER_438_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43388_ _43388_/A _43387_/Y VGND VGND VPWR VPWR _79363_/D sky130_fd_sc_hd__nand2_2
+XPHY_15560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_172_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_32_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_69_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_392_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_670_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_116_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45127_ _44903_/A _45099_/Y _45126_/Y VGND VGND VPWR VPWR _45127_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_474_2999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42339_ _42373_/A _42339_/B VGND VGND VPWR VPWR _42339_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_176_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_490_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61173_ _60864_/A _61173_/B _61173_/C VGND VGND VPWR VPWR _61174_/C sky130_fd_sc_hd__nor3_2
+XFILLER_158_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73159_ _74981_/A _73154_/X VGND VGND VPWR VPWR _73159_/X sky130_fd_sc_hd__or2_2
+XFILLER_172_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_8_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_723_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60124_ _60124_/A _59801_/B VGND VGND VPWR VPWR _60124_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_561_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_28_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49935_ _61915_/A _49932_/B VGND VGND VPWR VPWR _49937_/A sky130_fd_sc_hd__nand2_2
+X_45058_ _44913_/X VGND VGND VPWR VPWR _45059_/A sky130_fd_sc_hd__buf_1
+XFILLER_521_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65981_ _80952_/Q _65981_/B VGND VGND VPWR VPWR _65984_/B sky130_fd_sc_hd__nor2_2
+X_77967_ _78477_/CLK _77967_/D VGND VGND VPWR VPWR _61984_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_80_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_433_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44009_ _44009_/A VGND VGND VPWR VPWR _68517_/A sky130_fd_sc_hd__inv_8
+XFILLER_252_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67720_ _67720_/A _67718_/Y _67720_/C VGND VGND VPWR VPWR _67725_/B sky130_fd_sc_hd__nor3_2
+XFILLER_153_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79706_ _79737_/CLK _79706_/D VGND VGND VPWR VPWR _57302_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_80_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_433_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64932_ _64932_/A _64771_/B VGND VGND VPWR VPWR _64932_/Y sky130_fd_sc_hd__nor2_2
+X_60055_ _60055_/A VGND VGND VPWR VPWR _60100_/A sky130_fd_sc_hd__buf_1
+XFILLER_331_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76918_ _78638_/CLK _54811_/Y VGND VGND VPWR VPWR _76918_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_256_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_67_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49866_ _49857_/A VGND VGND VPWR VPWR _49876_/B sky130_fd_sc_hd__buf_1
+XFILLER_475_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_171_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_98_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77898_ _78794_/CLK _77898_/D VGND VGND VPWR VPWR _51094_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_351_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_1458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48817_ _48848_/A _48810_/X VGND VGND VPWR VPWR _48818_/B sky130_fd_sc_hd__or2_2
+XPHY_21080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67651_ _67323_/A _67647_/Y _67651_/C VGND VGND VPWR VPWR _67660_/B sky130_fd_sc_hd__nor3_2
+X_79637_ _79751_/CLK _41372_/Y VGND VGND VPWR VPWR _41371_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_285_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_132_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64863_ _75569_/C _64704_/B VGND VGND VPWR VPWR _64863_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_488_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76849_ _76848_/CLK _76849_/D VGND VGND VPWR VPWR _55076_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_724_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_668_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49797_ _49917_/A _49820_/B VGND VGND VPWR VPWR _49798_/B sky130_fd_sc_hd__or2_2
+XFILLER_414_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_288_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_608_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66602_ _66283_/A _66600_/Y _66601_/Y VGND VGND VPWR VPWR _66602_/Y sky130_fd_sc_hd__nor3_2
+X_39550_ _39550_/A _39550_/B _67333_/A VGND VGND VPWR VPWR _39550_/Y sky130_fd_sc_hd__nand3_2
+X_63814_ _63814_/A _59005_/B VGND VGND VPWR VPWR _63816_/B sky130_fd_sc_hd__nor2_2
+XFILLER_67_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_230_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_113_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_239_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_462_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48748_ _48746_/Y _48747_/X VGND VGND VPWR VPWR _48748_/Y sky130_fd_sc_hd__nand2_2
+XPHY_20390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67582_ _67582_/A _67261_/B VGND VGND VPWR VPWR _67582_/Y sky130_fd_sc_hd__nor2_2
+X_79568_ _79568_/CLK _41628_/Y VGND VGND VPWR VPWR _56480_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_80_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_544_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64794_ _64794_/A VGND VGND VPWR VPWR _64802_/A sky130_fd_sc_hd__buf_1
+XFILLER_312_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_706_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38501_ _38498_/X _38501_/B VGND VGND VPWR VPWR _38501_/Y sky130_fd_sc_hd__nand2_2
+Xclkbuf_2_2_3_u_core.clock clkbuf_2_2_2_u_core.clock/X VGND VGND VPWR VPWR ANTENNA_554/DIODE
++ sky130_fd_sc_hd__clkbuf_1
+X_69321_ _69321_/A _69461_/B VGND VGND VPWR VPWR _69321_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_607_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66533_ _44347_/Y _66209_/B VGND VGND VPWR VPWR _66536_/A sky130_fd_sc_hd__nor2_2
+XFILLER_94_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_483_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78519_ _78523_/CLK _48725_/Y VGND VGND VPWR VPWR _63255_/A sky130_fd_sc_hd__dfxtp_4
+X_39481_ _39235_/A _39378_/A VGND VGND VPWR VPWR _39483_/A sky130_fd_sc_hd__or2_2
+XFILLER_3_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63745_ _78706_/Q _63745_/B VGND VGND VPWR VPWR _63747_/B sky130_fd_sc_hd__nor2_2
+XFILLER_266_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_254_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_48679_ _48708_/A _48682_/B VGND VGND VPWR VPWR _48679_/X sky130_fd_sc_hd__or2_2
+XFILLER_227_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60957_ _52328_/A _60791_/B VGND VGND VPWR VPWR _60958_/C sky130_fd_sc_hd__nor2_2
+XFILLER_681_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79499_ _79565_/CLK _79499_/D VGND VGND VPWR VPWR _79499_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_349_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_564_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38432_ _38430_/X _38431_/Y VGND VGND VPWR VPWR _80376_/D sky130_fd_sc_hd__nand2_2
+XFILLER_589_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50710_ _50710_/A _50710_/B VGND VGND VPWR VPWR _50710_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_281_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69252_ _58659_/A _68810_/X VGND VGND VPWR VPWR _69252_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_542_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66464_ _64270_/A VGND VGND VPWR VPWR _66464_/X sky130_fd_sc_hd__buf_1
+Xclkbuf_6_2_0_u_core.clock clkbuf_5_1_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_5_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_415_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_544_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51690_ _51690_/A _51690_/B VGND VGND VPWR VPWR _77745_/D sky130_fd_sc_hd__nand2_2
+X_63676_ _63676_/A _58995_/B VGND VGND VPWR VPWR _63676_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_145_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_384_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60888_ _60888_/A _60888_/B VGND VGND VPWR VPWR _60889_/C sky130_fd_sc_hd__nor2_2
+XFILLER_78_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_148_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_254_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_247_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_470_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68203_ _67720_/A _68203_/B _68203_/C VGND VGND VPWR VPWR _68208_/B sky130_fd_sc_hd__nor3_2
+XFILLER_427_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_387_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_697_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65415_ _65415_/A _65909_/B VGND VGND VPWR VPWR _65415_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_207_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_659_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_424_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38363_ _38374_/A _38366_/B _38363_/C VGND VGND VPWR VPWR _38364_/B sky130_fd_sc_hd__nand3_2
+X_50641_ _50638_/X VGND VGND VPWR VPWR _50663_/B sky130_fd_sc_hd__buf_1
+X_62627_ _61846_/A VGND VGND VPWR VPWR _62627_/X sky130_fd_sc_hd__buf_1
+XFILLER_327_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69183_ _69183_/A _69461_/B VGND VGND VPWR VPWR _69183_/Y sky130_fd_sc_hd__nor2_2
+X_81461_ _81326_/CLK _72306_/Y VGND VGND VPWR VPWR _65489_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_430_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_225_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_306_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66395_ _66395_/A _66395_/B _66394_/Y VGND VGND VPWR VPWR _66395_/Y sky130_fd_sc_hd__nor3_2
+XPHY_2809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_345_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_260_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_91_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_104_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80412_ _80477_/CLK _38298_/Y VGND VGND VPWR VPWR _80412_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_585_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68134_ _80261_/Q _68625_/B VGND VGND VPWR VPWR _68136_/B sky130_fd_sc_hd__nor2_2
+XFILLER_369_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53360_ _77306_/Q _53367_/B VGND VGND VPWR VPWR _53360_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_397_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65346_ _67823_/A VGND VGND VPWR VPWR _65346_/X sky130_fd_sc_hd__buf_1
+XFILLER_74_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50572_ _50658_/A _50572_/B VGND VGND VPWR VPWR _50572_/X sky130_fd_sc_hd__or2_2
+X_38294_ _38292_/X _38293_/Y VGND VGND VPWR VPWR _38294_/Y sky130_fd_sc_hd__nand2_2
+X_62558_ _50135_/A _62397_/B VGND VGND VPWR VPWR _62558_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_411_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_694_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81392_ _81354_/CLK _72582_/Y VGND VGND VPWR VPWR _64593_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_396_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_58_0_u_core.clock clkbuf_7_59_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_58_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_636_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_250_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_672_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52311_ _52758_/A VGND VGND VPWR VPWR _52311_/X sky130_fd_sc_hd__buf_1
+XFILLER_143_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_241_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61509_ _61435_/Y _61509_/B VGND VGND VPWR VPWR _61509_/Y sky130_fd_sc_hd__nor2_2
+X_80343_ _80393_/CLK _38580_/Y VGND VGND VPWR VPWR _65741_/A sky130_fd_sc_hd__dfxtp_4
+X_68065_ _81125_/Q _68562_/B VGND VGND VPWR VPWR _68067_/B sky130_fd_sc_hd__nor2_2
+XFILLER_295_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53291_ _53288_/Y _53290_/X VGND VGND VPWR VPWR _53291_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_148_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65277_ _65277_/A _64581_/B VGND VGND VPWR VPWR _65279_/B sky130_fd_sc_hd__nor2_2
+XFILLER_17_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_555_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62489_ _59796_/A VGND VGND VPWR VPWR _62489_/X sky130_fd_sc_hd__buf_1
+XFILLER_50_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_104_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_421_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_276_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55030_ _54974_/A _55030_/B VGND VGND VPWR VPWR _55031_/B sky130_fd_sc_hd__or2_2
+X_67016_ _66849_/A _67016_/B _67015_/Y VGND VGND VPWR VPWR _67022_/B sky130_fd_sc_hd__nor3_2
+XFILLER_477_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52242_ _52182_/A _52245_/B VGND VGND VPWR VPWR _52243_/B sky130_fd_sc_hd__or2_2
+XFILLER_276_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_104_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_516_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64228_ _81391_/Q _64186_/B VGND VGND VPWR VPWR _64228_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_393_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_653_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80274_ _80332_/CLK _38849_/Y VGND VGND VPWR VPWR _38848_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_175_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_708_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_352_801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_614_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_371_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52173_ _52173_/A _52172_/X VGND VGND VPWR VPWR _52173_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_371_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64159_ _64470_/A VGND VGND VPWR VPWR _67877_/A sky130_fd_sc_hd__buf_1
+XPHY_22709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_198_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51124_ _51007_/A _51123_/X VGND VGND VPWR VPWR _51125_/B sky130_fd_sc_hd__or2_2
+XPHY_12219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_438_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_11_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56981_ _56981_/A _56980_/Y VGND VGND VPWR VPWR _56981_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_513_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68967_ _39759_/C _68297_/X VGND VGND VPWR VPWR _68967_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_584_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_143_0_u_core.clock clkbuf_7_71_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_287_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_28_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_416_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_289_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_454_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58720_ _58653_/A _58720_/B _58720_/C VGND VGND VPWR VPWR _58720_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_144_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51055_ _51055_/A _51055_/B VGND VGND VPWR VPWR _51055_/X sky130_fd_sc_hd__or2_2
+X_55932_ _42070_/A _55894_/X _55932_/C VGND VGND VPWR VPWR _55933_/B sky130_fd_sc_hd__nor3_2
+XFILLER_219_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67918_ _67918_/A _67261_/B VGND VGND VPWR VPWR _67918_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_24_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_115_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_172_1525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68898_ _69323_/A _68895_/Y _68898_/C VGND VGND VPWR VPWR _68898_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_438_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_157_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_91_0_u_core.clock clkbuf_7_45_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_91_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_521_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50006_ _50015_/A VGND VGND VPWR VPWR _50007_/B sky130_fd_sc_hd__buf_1
+XFILLER_235_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39817_ _39814_/X _39816_/Y VGND VGND VPWR VPWR _80030_/D sky130_fd_sc_hd__nand2_2
+XFILLER_63_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58651_ _80044_/Q _58510_/B VGND VGND VPWR VPWR _58653_/B sky130_fd_sc_hd__nor2_2
+XPHY_10828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67849_ _67809_/X _67849_/B VGND VGND VPWR VPWR _67850_/C sky130_fd_sc_hd__nor2_2
+XFILLER_63_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55863_ _42186_/A VGND VGND VPWR VPWR _55904_/A sky130_fd_sc_hd__buf_1
+XFILLER_627_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_566_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_98_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_438_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_465_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_3024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57602_ _56242_/X VGND VGND VPWR VPWR _57923_/A sky130_fd_sc_hd__buf_1
+XFILLER_686_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_562_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54814_ _54814_/A _54813_/X VGND VGND VPWR VPWR _54814_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_723_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_38_0_u_core.clock clkbuf_8_38_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_77_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_6114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39748_ _40257_/A _39880_/B VGND VGND VPWR VPWR _39748_/X sky130_fd_sc_hd__or2_2
+XFILLER_24_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_569_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58582_ _40143_/C _58345_/X VGND VGND VPWR VPWR _58582_/Y sky130_fd_sc_hd__nor2_2
+X_70860_ _71795_/A _70860_/B VGND VGND VPWR VPWR _71729_/B sky130_fd_sc_hd__or2_2
+XFILLER_642_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_705_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55794_ _55794_/A _55793_/X VGND VGND VPWR VPWR _55794_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_481_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_699_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57533_ _57533_/A _57215_/B VGND VGND VPWR VPWR _57533_/Y sky130_fd_sc_hd__nor2_2
+X_69519_ _64562_/A _69515_/Y _69519_/C VGND VGND VPWR VPWR _69520_/C sky130_fd_sc_hd__nor3_2
+XFILLER_365_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54745_ _54743_/Y _54745_/B VGND VGND VPWR VPWR _76937_/D sky130_fd_sc_hd__nand2_2
+XFILLER_57_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_385_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_148_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51957_ _51957_/A _51950_/B VGND VGND VPWR VPWR _51959_/A sky130_fd_sc_hd__nand2_2
+XPHY_5424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_39679_ _39677_/X _39679_/B VGND VGND VPWR VPWR _39679_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70791_ _70791_/A VGND VGND VPWR VPWR _70792_/A sky130_fd_sc_hd__inv_8
+XPHY_6169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_484_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_625_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_508_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_73_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41710_ _41713_/C _41709_/Y VGND VGND VPWR VPWR _41714_/A sky130_fd_sc_hd__nor2_2
+X_72530_ _72526_/X _72530_/B VGND VGND VPWR VPWR _81407_/D sky130_fd_sc_hd__nand2_2
+XPHY_4712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_582_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50908_ _52819_/A _50908_/B VGND VGND VPWR VPWR _50908_/X sky130_fd_sc_hd__or2_2
+XFILLER_273_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_246_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37205 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57464_ _57541_/A _57464_/B _57463_/Y VGND VGND VPWR VPWR _57464_/X sky130_fd_sc_hd__or3_2
+XFILLER_363_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_611_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42690_ _42558_/A VGND VGND VPWR VPWR _42691_/A sky130_fd_sc_hd__buf_1
+XFILLER_521_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54676_ _54673_/Y _54676_/B VGND VGND VPWR VPWR _76955_/D sky130_fd_sc_hd__nand2_2
+XFILLER_398_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_426_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_723_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37216 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_2870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51888_ _53799_/A _51916_/B VGND VGND VPWR VPWR _51891_/A sky130_fd_sc_hd__or2_2
+XFILLER_427_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_701_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_232_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37227 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59203_ _59578_/A _59203_/B _59202_/Y VGND VGND VPWR VPWR _59224_/B sky130_fd_sc_hd__nor3_2
+XFILLER_70_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37238 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56415_ _56826_/A VGND VGND VPWR VPWR _56759_/A sky130_fd_sc_hd__buf_1
+XFILLER_26_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41641_ _43489_/A VGND VGND VPWR VPWR _41641_/Y sky130_fd_sc_hd__inv_8
+XPHY_36504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53627_ _53618_/A VGND VGND VPWR VPWR _53640_/B sky130_fd_sc_hd__buf_1
+XPHY_37249 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72461_ _72459_/X _72461_/B VGND VGND VPWR VPWR _72461_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_433_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_543_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50839_ _50724_/X _50826_/X VGND VGND VPWR VPWR _50839_/X sky130_fd_sc_hd__or2_2
+XFILLER_92_1515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57395_ _66662_/A _57320_/X VGND VGND VPWR VPWR _57395_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_96_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_261_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_2991 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_13_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_578_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_328_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_246_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74200_ _74189_/A _74203_/B _80996_/Q VGND VGND VPWR VPWR _74201_/B sky130_fd_sc_hd__nand3_2
+XFILLER_404_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59134_ _62852_/A VGND VGND VPWR VPWR _63620_/A sky130_fd_sc_hd__buf_1
+XFILLER_478_3066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71412_ _71412_/A _71355_/B VGND VGND VPWR VPWR _71415_/C sky130_fd_sc_hd__nor2_2
+XFILLER_673_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44360_ _44360_/A _44360_/B VGND VGND VPWR VPWR _44590_/A sky130_fd_sc_hd__or2_2
+XFILLER_298_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56346_ _80591_/Q _56346_/B VGND VGND VPWR VPWR _56350_/B sky130_fd_sc_hd__nor2_2
+XFILLER_363_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75180_ _75180_/A _75179_/Y VGND VGND VPWR VPWR _80751_/D sky130_fd_sc_hd__nand2_2
+XPHY_26025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_575_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53558_ _53567_/A VGND VGND VPWR VPWR _53570_/B sky130_fd_sc_hd__buf_1
+X_41572_ _41074_/X _41564_/X VGND VGND VPWR VPWR _41575_/A sky130_fd_sc_hd__or2_2
+XPHY_36559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72392_ _72382_/A _72400_/B _67763_/A VGND VGND VPWR VPWR _72392_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_214_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_1991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43311_ _43301_/A _43319_/B _66779_/A VGND VGND VPWR VPWR _43312_/B sky130_fd_sc_hd__nand3_2
+X_74131_ _76201_/A VGND VGND VPWR VPWR _74260_/A sky130_fd_sc_hd__buf_1
+XFILLER_74_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40523_ _40522_/X VGND VGND VPWR VPWR _40527_/B sky130_fd_sc_hd__buf_1
+XPHY_26069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52509_ _60940_/A _52494_/X VGND VGND VPWR VPWR _52509_/Y sky130_fd_sc_hd__nand2_2
+X_71343_ _71343_/A VGND VGND VPWR VPWR _72203_/A sky130_fd_sc_hd__inv_8
+XFILLER_386_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59065_ _77061_/Q _59064_/X VGND VGND VPWR VPWR _59070_/B sky130_fd_sc_hd__nor2_2
+XFILLER_636_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_70_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44291_ _44291_/A _44290_/X VGND VGND VPWR VPWR _44291_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_590_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56277_ _56277_/A _58816_/B VGND VGND VPWR VPWR _56277_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_322_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53489_ _77271_/Q _53493_/B VGND VGND VPWR VPWR _53489_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_122_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_71_0_u_core.clock clkbuf_9_71_0_u_core.clock/A VGND VGND VPWR VPWR _77515_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_205_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_718_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46030_ _42424_/X _42432_/B _55930_/C VGND VGND VPWR VPWR _46031_/A sky130_fd_sc_hd__and3_2
+X_58016_ _56354_/A VGND VGND VPWR VPWR _58016_/X sky130_fd_sc_hd__buf_1
+XFILLER_35_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43242_ _43227_/X _43242_/B _43241_/Y VGND VGND VPWR VPWR _43242_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_693_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55228_ _55221_/B _55227_/Y _55228_/C VGND VGND VPWR VPWR _55229_/B sky130_fd_sc_hd__nor3_2
+XFILLER_224_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74062_ _74047_/A _74047_/B _67411_/A VGND VGND VPWR VPWR _74062_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_339_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40454_ _40452_/X _40453_/Y VGND VGND VPWR VPWR _79869_/D sky130_fd_sc_hd__nand2_2
+XPHY_24634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71274_ _71274_/A _71274_/B _71271_/Y _71273_/Y VGND VGND VPWR VPWR _71274_/X sky130_fd_sc_hd__or4_2
+XFILLER_671_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_618_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_491_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_516_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_374_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_16_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_276_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73013_ _73005_/A _73010_/B _73013_/C VGND VGND VPWR VPWR _73015_/A sky130_fd_sc_hd__nand3_2
+XFILLER_127_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_18_0_u_core.clock clkbuf_8_9_0_u_core.clock/X VGND VGND VPWR VPWR _78836_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_14144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70225_ _70225_/A VGND VGND VPWR VPWR _70226_/B sky130_fd_sc_hd__buf_1
+XFILLER_491_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43173_ _42839_/X VGND VGND VPWR VPWR _43178_/A sky130_fd_sc_hd__inv_8
+X_55159_ _55148_/B VGND VGND VPWR VPWR _55160_/B sky130_fd_sc_hd__inv_8
+XPHY_13410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78870_ _79411_/CLK _47234_/Y VGND VGND VPWR VPWR _78870_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_14155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40385_ _40409_/A VGND VGND VPWR VPWR _40405_/B sky130_fd_sc_hd__buf_1
+XPHY_24689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42124_ _42121_/Y _42123_/Y _42109_/C VGND VGND VPWR VPWR _79520_/D sky130_fd_sc_hd__nor3_2
+XPHY_14188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77821_ _76890_/CLK _77821_/D VGND VGND VPWR VPWR _60564_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_669_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70156_ _70156_/A _70182_/B _70182_/C VGND VGND VPWR VPWR _70157_/C sky130_fd_sc_hd__nor3_2
+XPHY_14199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47981_ _48018_/A _48000_/B VGND VGND VPWR VPWR _47982_/B sky130_fd_sc_hd__or2_2
+XFILLER_491_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_452_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59967_ _59967_/A _59495_/B VGND VGND VPWR VPWR _59967_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_415_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49720_ _62411_/A _49708_/X VGND VGND VPWR VPWR _49722_/A sky130_fd_sc_hd__nand2_2
+XFILLER_218_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_250_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46932_ _71413_/A _46932_/B VGND VGND VPWR VPWR _46934_/B sky130_fd_sc_hd__nor2_2
+XPHY_12753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42055_ _42055_/A VGND VGND VPWR VPWR _42056_/A sky130_fd_sc_hd__inv_8
+XPHY_13498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58918_ _59787_/A VGND VGND VPWR VPWR _58919_/B sky130_fd_sc_hd__buf_1
+X_77752_ _76882_/CLK _51664_/Y VGND VGND VPWR VPWR _77752_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_253_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_175_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_688_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_589_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74964_ _75019_/A VGND VGND VPWR VPWR _74973_/B sky130_fd_sc_hd__buf_1
+XFILLER_485_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70087_ _70086_/Y VGND VGND VPWR VPWR _70879_/A sky130_fd_sc_hd__buf_1
+XFILLER_270_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_686_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59898_ _59898_/A _59571_/B VGND VGND VPWR VPWR _59900_/B sky130_fd_sc_hd__nor2_2
+XFILLER_68_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_122_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_532_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41006_ _41002_/X _41005_/Y VGND VGND VPWR VPWR _79726_/D sky130_fd_sc_hd__nand2_2
+XFILLER_268_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76703_ _76714_/CLK _76703_/D VGND VGND VPWR VPWR _70380_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_12797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73915_ _74013_/A VGND VGND VPWR VPWR _73986_/A sky130_fd_sc_hd__buf_1
+X_49651_ _49800_/A VGND VGND VPWR VPWR _49714_/A sky130_fd_sc_hd__buf_1
+XFILLER_45_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46863_ _46863_/A VGND VGND VPWR VPWR _46877_/A sky130_fd_sc_hd__buf_1
+X_58849_ _58849_/A _58849_/B VGND VGND VPWR VPWR io_out[19] sky130_fd_sc_hd__xor2_2
+X_77683_ _77679_/CLK _77683_/D VGND VGND VPWR VPWR _51922_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_268_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74895_ _74674_/A VGND VGND VPWR VPWR _75091_/A sky130_fd_sc_hd__buf_1
+XFILLER_256_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_23_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48602_ _48602_/A _48590_/B VGND VGND VPWR VPWR _48602_/X sky130_fd_sc_hd__or2_2
+XFILLER_333_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_456_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79422_ _79426_/CLK _42966_/Y VGND VGND VPWR VPWR _66153_/A sky130_fd_sc_hd__dfxtp_4
+X_45814_ _45806_/X _45807_/Y _45813_/Y VGND VGND VPWR VPWR _79094_/D sky130_fd_sc_hd__nor3_2
+XFILLER_608_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_136_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_584_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76634_ io_out[25] VGND VGND VPWR VPWR la_data_out[38] sky130_fd_sc_hd__buf_2
+XPHY_8083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61860_ _61860_/A _61538_/B VGND VGND VPWR VPWR _61861_/C sky130_fd_sc_hd__nor2_2
+X_49582_ _61803_/A _49576_/B VGND VGND VPWR VPWR _49582_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_95_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73846_ _73771_/X VGND VGND VPWR VPWR _73860_/B sky130_fd_sc_hd__buf_1
+XFILLER_438_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46794_ _46790_/B _46793_/Y _46787_/X VGND VGND VPWR VPWR _78954_/D sky130_fd_sc_hd__nor3_2
+XFILLER_485_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_42_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_467_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_3035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60811_ _60811_/A _60809_/Y _60811_/C VGND VGND VPWR VPWR _60811_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_346_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48533_ _48470_/X _48533_/B VGND VGND VPWR VPWR _48533_/X sky130_fd_sc_hd__or2_2
+XFILLER_76_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79353_ _79353_/CLK _79353_/D VGND VGND VPWR VPWR _57693_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_626_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45745_ _70717_/X VGND VGND VPWR VPWR _45745_/Y sky130_fd_sc_hd__inv_8
+XFILLER_166_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39130 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_583_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76565_ VGND VGND VPWR VPWR _76565_/HI la_data_out[61] sky130_fd_sc_hd__conb_1
+XPHY_7393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_706_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42957_ _42955_/X _42957_/B VGND VGND VPWR VPWR _42957_/Y sky130_fd_sc_hd__nand2_2
+X_61791_ _61791_/A _61323_/B VGND VGND VPWR VPWR _61793_/B sky130_fd_sc_hd__nor2_2
+XFILLER_7_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73777_ _73775_/X _73776_/Y VGND VGND VPWR VPWR _73777_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_236_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39141 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70989_ _70986_/Y _70989_/B VGND VGND VPWR VPWR _70990_/B sky130_fd_sc_hd__nor2_2
+XFILLER_409_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_282_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39152 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_663_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78304_ _78281_/CLK _78304_/D VGND VGND VPWR VPWR _62267_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39163 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63530_ _78832_/Q _63530_/B VGND VGND VPWR VPWR _63532_/B sky130_fd_sc_hd__nor2_2
+X_75516_ _76159_/A VGND VGND VPWR VPWR _75898_/A sky130_fd_sc_hd__buf_1
+XFILLER_422_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41908_ _45974_/A _41908_/B _41644_/X _41908_/D VGND VGND VPWR VPWR _41909_/A sky130_fd_sc_hd__or4_2
+XPHY_39174 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72728_ _72728_/A _72728_/B VGND VGND VPWR VPWR _72730_/A sky130_fd_sc_hd__or2_2
+X_60742_ _76776_/Q _60592_/B VGND VGND VPWR VPWR _60743_/C sky130_fd_sc_hd__nor2_2
+XPHY_6692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48464_ _63728_/A _48455_/B VGND VGND VPWR VPWR _48468_/A sky130_fd_sc_hd__nand2_2
+XFILLER_526_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79284_ _79285_/CLK _79284_/D VGND VGND VPWR VPWR _43887_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_162_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45676_ _46071_/B _45675_/X VGND VGND VPWR VPWR _45676_/X sky130_fd_sc_hd__or2_2
+XPHY_38440 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76496_ VGND VGND VPWR VPWR _76496_/HI io_out[22] sky130_fd_sc_hd__conb_1
+XPHY_39185 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42888_ _42888_/A _42888_/B VGND VGND VPWR VPWR _42891_/A sky130_fd_sc_hd__or2_2
+XFILLER_409_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39196 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38451 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38462 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_676_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47415_ _47452_/A _47415_/B VGND VGND VPWR VPWR _47416_/B sky130_fd_sc_hd__or2_2
+XFILLER_127_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38473 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78235_ _77689_/CLK _49836_/Y VGND VGND VPWR VPWR _78235_/Q sky130_fd_sc_hd__dfxtp_4
+X_44627_ _44620_/B _44567_/A VGND VGND VPWR VPWR _44628_/B sky130_fd_sc_hd__nor2_2
+XFILLER_264_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63461_ _63304_/A _63461_/B _63461_/C VGND VGND VPWR VPWR _63461_/Y sky130_fd_sc_hd__nor3_2
+X_75447_ _75966_/A _75442_/X VGND VGND VPWR VPWR _75449_/A sky130_fd_sc_hd__or2_2
+XFILLER_461_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41839_ _46637_/A _41796_/B VGND VGND VPWR VPWR _41844_/A sky130_fd_sc_hd__or2_2
+XPHY_38484 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60673_ _77638_/Q _60673_/B VGND VGND VPWR VPWR _60674_/C sky130_fd_sc_hd__nor2_2
+X_48395_ _48395_/A VGND VGND VPWR VPWR _48400_/B sky130_fd_sc_hd__buf_1
+X_72659_ _72659_/A _72673_/B VGND VGND VPWR VPWR _72662_/A sky130_fd_sc_hd__or2_2
+XFILLER_205_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37750 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38495 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_543_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37761 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65200_ _65200_/A _65024_/Y _65200_/C _65200_/D VGND VGND VPWR VPWR _76689_/D sky130_fd_sc_hd__or4_2
+XFILLER_225_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62412_ _78273_/Q _61941_/X VGND VGND VPWR VPWR _62412_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_57_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_37772 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_676_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66180_ _66177_/X _66180_/B _66179_/Y VGND VGND VPWR VPWR _66180_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_220_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47346_ _47527_/A VGND VGND VPWR VPWR _49249_/A sky130_fd_sc_hd__buf_1
+X_78166_ _78699_/CLK _50086_/Y VGND VGND VPWR VPWR _78166_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_340_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37783 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44558_ _72932_/A _44535_/B VGND VGND VPWR VPWR _44559_/C sky130_fd_sc_hd__nor2_2
+XFILLER_188_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75378_ _75375_/A _75375_/B _66385_/A VGND VGND VPWR VPWR _75379_/B sky130_fd_sc_hd__nand3_2
+XFILLER_578_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63392_ _59306_/A VGND VGND VPWR VPWR _63809_/A sky130_fd_sc_hd__buf_1
+XFILLER_203_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37794 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77117_ _77120_/CLK _77117_/D VGND VGND VPWR VPWR _54058_/A sky130_fd_sc_hd__dfxtp_4
+X_65131_ _64631_/A _65129_/Y _65131_/C VGND VGND VPWR VPWR _65131_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_32_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_717_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_143_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43509_ _43504_/X _43508_/Y VGND VGND VPWR VPWR _43509_/Y sky130_fd_sc_hd__nor2_2
+X_74329_ _74596_/A _74328_/X VGND VGND VPWR VPWR _74331_/A sky130_fd_sc_hd__or2_2
+X_62343_ _78089_/Q _62342_/X VGND VGND VPWR VPWR _62343_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_338_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_654_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47277_ _47275_/X _47277_/B _42285_/A VGND VGND VPWR VPWR _47277_/X sky130_fd_sc_hd__or3_2
+XFILLER_242_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_559_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78097_ _78675_/CLK _78097_/D VGND VGND VPWR VPWR _62308_/A sky130_fd_sc_hd__dfxtp_4
+X_44489_ _44489_/A _44487_/X _44489_/C VGND VGND VPWR VPWR _44489_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_566_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_400_2662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49016_ _78444_/Q _49016_/B VGND VGND VPWR VPWR _49019_/A sky130_fd_sc_hd__nand2_2
+XFILLER_192_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46228_ _41969_/X _46228_/B _42192_/A _46233_/A VGND VGND VPWR VPWR _46229_/B sky130_fd_sc_hd__and4_2
+X_65062_ _65743_/A _65060_/Y _65061_/Y VGND VGND VPWR VPWR _65066_/B sky130_fd_sc_hd__nor3_2
+X_77048_ _77060_/CLK _54329_/Y VGND VGND VPWR VPWR _59690_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_657_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62274_ _62274_/A _62592_/B VGND VGND VPWR VPWR _62274_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_591_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_490_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64013_ _63620_/A _64013_/B _64013_/C VGND VGND VPWR VPWR _64014_/C sky130_fd_sc_hd__nor3_2
+XFILLER_613_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_145_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61225_ _60910_/A _61223_/Y _61225_/C VGND VGND VPWR VPWR _61229_/B sky130_fd_sc_hd__nor3_2
+XFILLER_717_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_353_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46159_ _46156_/X _46158_/Y VGND VGND VPWR VPWR _46159_/X sky130_fd_sc_hd__and2_2
+XFILLER_713_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_172_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69870_ _69675_/B VGND VGND VPWR VPWR _71227_/A sky130_fd_sc_hd__inv_8
+XFILLER_669_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_535_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_8_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_12_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68821_ _68821_/A VGND VGND VPWR VPWR _68821_/X sky130_fd_sc_hd__buf_1
+XFILLER_392_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_632_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_490_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61156_ _61156_/A _61156_/B VGND VGND VPWR VPWR _61157_/B sky130_fd_sc_hd__nor2_2
+X_38981_ _76066_/A _38740_/B VGND VGND VPWR VPWR _38994_/A sky130_fd_sc_hd__or2_2
+XFILLER_314_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78999_ _79501_/CLK _78999_/D VGND VGND VPWR VPWR _46577_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_687_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60107_ _76971_/Q _60107_/B VGND VGND VPWR VPWR _60109_/B sky130_fd_sc_hd__nor2_2
+XFILLER_299_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_691_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49918_ _49918_/A _49918_/B VGND VGND VPWR VPWR _49918_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_522_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68752_ _69342_/A _68750_/Y _68751_/Y VGND VGND VPWR VPWR _68752_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_373_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61087_ _60765_/A _61085_/Y _61087_/C VGND VGND VPWR VPWR _61087_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_236_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65964_ _68434_/A VGND VGND VPWR VPWR _65965_/B sky130_fd_sc_hd__buf_1
+XFILLER_503_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_468_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67703_ _75349_/C _67040_/B VGND VGND VPWR VPWR _67705_/B sky130_fd_sc_hd__nor2_2
+XFILLER_60_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64915_ _64915_/A _64915_/B _64914_/Y VGND VGND VPWR VPWR _64916_/C sky130_fd_sc_hd__nor3_2
+XFILLER_636_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60038_ _77122_/Q _59882_/X VGND VGND VPWR VPWR _60039_/C sky130_fd_sc_hd__nor2_2
+XFILLER_101_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49849_ _49847_/Y _49848_/X VGND VGND VPWR VPWR _78231_/D sky130_fd_sc_hd__nand2_2
+XFILLER_502_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68683_ _68683_/A _68348_/B VGND VGND VPWR VPWR _68685_/B sky130_fd_sc_hd__nor2_2
+XFILLER_585_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_509_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80961_ _80929_/CLK _80961_/D VGND VGND VPWR VPWR _74330_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_334_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65895_ _66718_/A VGND VGND VPWR VPWR _66557_/A sky130_fd_sc_hd__buf_1
+XFILLER_626_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_447_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39602_ _39600_/X _39601_/Y VGND VGND VPWR VPWR _80082_/D sky130_fd_sc_hd__nand2_2
+XFILLER_274_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67634_ _67147_/A _67634_/B _67634_/C VGND VGND VPWR VPWR _67634_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_665_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_5_8_0_u_core.clock clkbuf_5_9_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_5_8_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_41_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52860_ _77433_/Q _52863_/B VGND VGND VPWR VPWR _52862_/A sky130_fd_sc_hd__nand2_2
+XFILLER_288_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_566_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64846_ _65015_/A _64844_/Y _64846_/C VGND VGND VPWR VPWR _64847_/C sky130_fd_sc_hd__nor3_2
+XFILLER_624_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80892_ _81013_/CLK _80892_/D VGND VGND VPWR VPWR _80892_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_6_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_347_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_171_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51811_ _77712_/Q _51811_/B VGND VGND VPWR VPWR _51813_/A sky130_fd_sc_hd__nand2_2
+XFILLER_464_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39533_ _39533_/A _39533_/B VGND VGND VPWR VPWR _39533_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_386_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67565_ _67901_/A _67565_/B _67564_/Y VGND VGND VPWR VPWR _67569_/B sky130_fd_sc_hd__nor3_2
+XFILLER_642_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_331_0_u_core.clock clkbuf_9_331_0_u_core.clock/A VGND VGND VPWR VPWR _77171_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_52791_ _54702_/A _52791_/B VGND VGND VPWR VPWR _52794_/A sky130_fd_sc_hd__or2_2
+XFILLER_288_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64777_ _65112_/A _64777_/B _64777_/C VGND VGND VPWR VPWR _64852_/B sky130_fd_sc_hd__nor3_2
+XFILLER_661_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_624_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61989_ _61989_/A _62613_/B VGND VGND VPWR VPWR _61989_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_583_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_620_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69304_ _69304_/A _68873_/B VGND VGND VPWR VPWR _69304_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_227_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54530_ _54530_/A VGND VGND VPWR VPWR _54535_/B sky130_fd_sc_hd__buf_1
+X_66516_ _66022_/A _66516_/B _66515_/Y VGND VGND VPWR VPWR _66516_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_720_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_462_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51742_ _51749_/A VGND VGND VPWR VPWR _51761_/B sky130_fd_sc_hd__buf_1
+XFILLER_481_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39464_ _39213_/A _39463_/X VGND VGND VPWR VPWR _39464_/X sky130_fd_sc_hd__or2_2
+XPHY_4019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63728_ _63728_/A _63990_/B VGND VGND VPWR VPWR _63729_/C sky130_fd_sc_hd__nor2_2
+XFILLER_265_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_54_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_81_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67496_ _57804_/A _67333_/B VGND VGND VPWR VPWR _67496_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_282_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_55_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_544_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_266_2997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_78_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38415_ _38415_/A VGND VGND VPWR VPWR _38420_/B sky130_fd_sc_hd__buf_1
+XFILLER_560_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69235_ _58692_/A _69235_/B VGND VGND VPWR VPWR _69235_/Y sky130_fd_sc_hd__nor2_2
+X_81513_ _81513_/CLK _81513_/D VGND VGND VPWR VPWR _68785_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_26 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_97_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54461_ _54463_/A VGND VGND VPWR VPWR _54476_/B sky130_fd_sc_hd__buf_1
+XFILLER_576_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66447_ _66447_/A _66117_/B VGND VGND VPWR VPWR _66447_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_694_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_93_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_247_1651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51673_ _51671_/Y _51673_/B VGND VGND VPWR VPWR _77749_/D sky130_fd_sc_hd__nand2_2
+XPHY_37 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_579_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39395_ _39395_/A _39394_/Y VGND VGND VPWR VPWR _39395_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_440_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63659_ _63659_/A _63657_/Y _63659_/C VGND VGND VPWR VPWR _63659_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_242_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_48 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56200_ _56200_/A VGND VGND VPWR VPWR _56200_/X sky130_fd_sc_hd__buf_1
+XPHY_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53412_ _53409_/Y _53411_/X VGND VGND VPWR VPWR _77292_/D sky130_fd_sc_hd__nand2_2
+XFILLER_25_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_39_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38346_ _38346_/A _38460_/B VGND VGND VPWR VPWR _38350_/A sky130_fd_sc_hd__or2_2
+XFILLER_342_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50624_ _50652_/A _50633_/B VGND VGND VPWR VPWR _50624_/X sky130_fd_sc_hd__or2_2
+XFILLER_243_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69166_ _80492_/Q _68873_/B VGND VGND VPWR VPWR _69166_/Y sky130_fd_sc_hd__nor2_2
+X_57180_ _57180_/A _57176_/Y _57180_/C VGND VGND VPWR VPWR _57181_/B sky130_fd_sc_hd__nor3_2
+XFILLER_503_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81444_ _81446_/CLK _81444_/D VGND VGND VPWR VPWR _67928_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_659_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_557_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54392_ _59508_/A _54396_/B VGND VGND VPWR VPWR _54395_/A sky130_fd_sc_hd__nand2_2
+X_66378_ _43701_/Y _66210_/B VGND VGND VPWR VPWR _66380_/B sky130_fd_sc_hd__nor2_2
+XFILLER_399_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_558_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_707_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_447_0_u_core.clock clkbuf_9_447_0_u_core.clock/A VGND VGND VPWR VPWR _80187_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_401_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_104_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_208_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56131_ _56131_/A _56131_/B VGND VGND VPWR VPWR _56132_/C sky130_fd_sc_hd__nor2_2
+XFILLER_162_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_601_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68117_ _68274_/A _68117_/B _68116_/Y VGND VGND VPWR VPWR _68118_/C sky130_fd_sc_hd__nor3_2
+XFILLER_328_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53343_ _53643_/A VGND VGND VPWR VPWR _53343_/X sky130_fd_sc_hd__buf_1
+XFILLER_260_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65329_ _65329_/A _65328_/Y VGND VGND VPWR VPWR _65375_/B sky130_fd_sc_hd__nor2_2
+XFILLER_377_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38277_ _38277_/A VGND VGND VPWR VPWR _38289_/B sky130_fd_sc_hd__buf_1
+XFILLER_572_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50555_ _50645_/A _50578_/B VGND VGND VPWR VPWR _50556_/B sky130_fd_sc_hd__or2_2
+X_81375_ _81435_/CLK _81375_/D VGND VGND VPWR VPWR _67109_/A sky130_fd_sc_hd__dfxtp_4
+X_69097_ _68621_/X _69095_/Y _69096_/Y VGND VGND VPWR VPWR _69097_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_206_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_260_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_479_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_3061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_577_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56062_ _56005_/X _56062_/B _56062_/C VGND VGND VPWR VPWR wbs_dat_o[12] sky130_fd_sc_hd__nor3_2
+X_68048_ _80485_/Q _68048_/B VGND VGND VPWR VPWR _68048_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_573_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80326_ _80354_/CLK _80326_/D VGND VGND VPWR VPWR _80326_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_183_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53274_ _53274_/A _53271_/B VGND VGND VPWR VPWR _53276_/A sky130_fd_sc_hd__nand2_2
+XFILLER_301_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_178_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50486_ _61741_/A _50486_/B VGND VGND VPWR VPWR _50488_/A sky130_fd_sc_hd__nand2_2
+XFILLER_438_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_194_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_538_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55013_ _55013_/A _54998_/B VGND VGND VPWR VPWR _55013_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_337_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52225_ _52222_/X VGND VGND VPWR VPWR _52247_/B sky130_fd_sc_hd__buf_1
+XFILLER_295_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_526_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80257_ _80597_/CLK _38916_/Y VGND VGND VPWR VPWR _80257_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_555_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_699_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_375_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_339_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_194_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_124_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70010_ _44982_/A _42453_/A VGND VGND VPWR VPWR _70011_/B sky130_fd_sc_hd__or2_2
+XFILLER_237_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59821_ _76857_/Q _59496_/B VGND VGND VPWR VPWR _59821_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_139_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_713_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40170_ _40188_/A VGND VGND VPWR VPWR _40184_/B sky130_fd_sc_hd__buf_1
+XFILLER_339_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52156_ _52156_/A _52184_/B VGND VGND VPWR VPWR _52156_/X sky130_fd_sc_hd__or2_2
+XFILLER_30_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80188_ _80187_/CLK _39192_/Y VGND VGND VPWR VPWR _66683_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69999_ _70657_/A _70680_/A VGND VGND VPWR VPWR _69999_/X sky130_fd_sc_hd__or2_2
+XFILLER_351_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51107_ _61969_/A _51110_/B VGND VGND VPWR VPWR _51107_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_451_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59752_ _77296_/Q _59429_/B VGND VGND VPWR VPWR _59752_/Y sky130_fd_sc_hd__nor2_2
+XPHY_21838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_159_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_588_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52087_ _77638_/Q _52087_/B VGND VGND VPWR VPWR _52087_/Y sky130_fd_sc_hd__nand2_2
+X_56964_ _56785_/X _56964_/B _56963_/Y VGND VGND VPWR VPWR _56965_/B sky130_fd_sc_hd__nor3_2
+XFILLER_668_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_132_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_467_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58703_ _58837_/A _58699_/Y _58702_/Y VGND VGND VPWR VPWR _58703_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_510_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_189_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51038_ _62482_/A _51035_/B VGND VGND VPWR VPWR _51041_/A sky130_fd_sc_hd__nand2_2
+XFILLER_24_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55915_ _55915_/A _69815_/X VGND VGND VPWR VPWR _55915_/X sky130_fd_sc_hd__or2_2
+XFILLER_584_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_249_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59683_ _59846_/A _59679_/Y _59683_/C VGND VGND VPWR VPWR _59695_/B sky130_fd_sc_hd__nor3_2
+XFILLER_369_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71961_ _71950_/X _71954_/Y _71961_/C VGND VGND VPWR VPWR _71961_/X sky130_fd_sc_hd__or3_2
+XFILLER_451_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_486_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56895_ _43460_/C _56721_/B VGND VGND VPWR VPWR _56895_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_683_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_644_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_5_16_0_u_core.clock clkbuf_4_8_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_5_16_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_293_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73700_ _73700_/A _73699_/Y VGND VGND VPWR VPWR _73700_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_647_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58634_ _43259_/C _58634_/B VGND VGND VPWR VPWR _58634_/Y sky130_fd_sc_hd__nor2_2
+X_70912_ _70999_/A _71464_/A _71457_/A _70912_/D VGND VGND VPWR VPWR _71310_/A sky130_fd_sc_hd__or4_2
+XFILLER_549_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43860_ _43905_/A VGND VGND VPWR VPWR _43860_/X sky130_fd_sc_hd__buf_1
+XFILLER_627_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55846_ _49249_/A _55843_/B VGND VGND VPWR VPWR _55847_/B sky130_fd_sc_hd__or2_2
+XFILLER_213_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74680_ _75192_/A _74680_/B VGND VGND VPWR VPWR _74680_/X sky130_fd_sc_hd__or2_2
+XPHY_10669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71892_ _71892_/A _71832_/B VGND VGND VPWR VPWR _71892_/X sky130_fd_sc_hd__and2_2
+XFILLER_213_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_562_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_58_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42811_ _42811_/A _42811_/B VGND VGND VPWR VPWR _42811_/Y sky130_fd_sc_hd__nor2_2
+X_73631_ _73627_/A VGND VGND VPWR VPWR _73744_/B sky130_fd_sc_hd__buf_1
+XFILLER_682_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_406_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_292_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70843_ _70499_/Y _70501_/Y VGND VGND VPWR VPWR _70844_/A sky130_fd_sc_hd__or2_2
+XFILLER_562_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58565_ _58699_/A _58563_/Y _58564_/Y VGND VGND VPWR VPWR _58565_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_642_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_330_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43791_ _43791_/A VGND VGND VPWR VPWR _43855_/A sky130_fd_sc_hd__buf_1
+XPHY_5210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55777_ _55793_/B VGND VGND VPWR VPWR _55790_/B sky130_fd_sc_hd__buf_1
+XFILLER_92_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52989_ _52987_/Y _52988_/X VGND VGND VPWR VPWR _77399_/D sky130_fd_sc_hd__nand2_2
+XFILLER_185_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_150_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_210_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45530_ _45514_/A _45528_/Y _45529_/Y VGND VGND VPWR VPWR _45530_/Y sky130_fd_sc_hd__nor3_2
+X_57516_ _57516_/A _57516_/B VGND VGND VPWR VPWR _57516_/Y sky130_fd_sc_hd__nor2_2
+X_76350_ _76075_/A _76353_/B VGND VGND VPWR VPWR _76350_/X sky130_fd_sc_hd__or2_2
+XPHY_19623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42742_ _65553_/A _42741_/X VGND VGND VPWR VPWR _42744_/B sky130_fd_sc_hd__nor2_2
+XFILLER_699_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54728_ _54813_/A _54703_/A VGND VGND VPWR VPWR _54728_/X sky130_fd_sc_hd__or2_2
+XFILLER_265_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_57_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_679_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73562_ _73560_/X _73562_/B VGND VGND VPWR VPWR _81153_/D sky130_fd_sc_hd__nand2_2
+XFILLER_422_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58496_ _58496_/A _58705_/B VGND VGND VPWR VPWR _58497_/C sky130_fd_sc_hd__nor2_2
+X_70774_ _70042_/Y _70773_/X VGND VGND VPWR VPWR _70778_/A sky130_fd_sc_hd__or2_2
+XFILLER_527_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_400 _53883_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_265_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37002 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_411 _55967_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_37013 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75301_ _75301_/A _75300_/Y VGND VGND VPWR VPWR _80719_/D sky130_fd_sc_hd__nand2_2
+XFILLER_595_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_422 _56259_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_61_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37024 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72513_ _72754_/A _72500_/X VGND VGND VPWR VPWR _72515_/A sky130_fd_sc_hd__or2_2
+XFILLER_363_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_433 _59184_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_226_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45461_ _45476_/A _45153_/B _45449_/C VGND VGND VPWR VPWR _45461_/Y sky130_fd_sc_hd__nor3_2
+X_57447_ _56247_/X VGND VGND VPWR VPWR _57447_/X sky130_fd_sc_hd__buf_1
+XPHY_37035 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76281_ _76291_/A _76281_/B _80480_/Q VGND VGND VPWR VPWR _76281_/Y sky130_fd_sc_hd__nand3_2
+XPHY_4553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54659_ _54659_/A _54659_/B VGND VGND VPWR VPWR _54659_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42673_ _42673_/A VGND VGND VPWR VPWR _66532_/A sky130_fd_sc_hd__inv_8
+XANTENNA_444 _60836_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_73493_ _73493_/A _73493_/B VGND VGND VPWR VPWR _73493_/Y sky130_fd_sc_hd__nand2_2
+XPHY_36301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37046 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_92_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_455 _59765_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37057 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47200_ _47195_/B _47198_/Y _47200_/C VGND VGND VPWR VPWR _47200_/Y sky130_fd_sc_hd__nor3_2
+XANTENNA_466 _61776_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_441_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78020_ _78530_/CLK _50643_/Y VGND VGND VPWR VPWR _62789_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_701_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37068 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44412_ _44569_/A VGND VGND VPWR VPWR _44437_/B sky130_fd_sc_hd__buf_1
+XPHY_4586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75232_ _75239_/A _75225_/X _80738_/Q VGND VGND VPWR VPWR _75233_/B sky130_fd_sc_hd__nand3_2
+XFILLER_360_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_477 _68521_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_41624_ _42886_/A _41624_/B _56557_/A VGND VGND VPWR VPWR _41624_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_70_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37079 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48180_ _48180_/A _48172_/X VGND VGND VPWR VPWR _48180_/Y sky130_fd_sc_hd__nand2_2
+XPHY_3852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72444_ _72433_/A _72457_/B _65454_/A VGND VGND VPWR VPWR _72444_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_363_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_207_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45392_ _42857_/A _45205_/B _43231_/A _45304_/X VGND VGND VPWR VPWR _55672_/B sky130_fd_sc_hd__o22a_4
+XFILLER_57_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_488 _64226_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_148_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57378_ _57217_/X _57378_/B _57377_/Y VGND VGND VPWR VPWR _57379_/C sky130_fd_sc_hd__nor3_2
+XPHY_36345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_499 _64418_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_718_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_302_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_714_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_359_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47131_ _46320_/B _47130_/Y VGND VGND VPWR VPWR _47134_/B sky130_fd_sc_hd__nor2_2
+XFILLER_213_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59117_ _77197_/Q _64004_/B VGND VGND VPWR VPWR _59118_/C sky130_fd_sc_hd__nor2_2
+XFILLER_243_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44343_ _44476_/A VGND VGND VPWR VPWR _44343_/Y sky130_fd_sc_hd__inv_8
+XPHY_3896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56329_ _58049_/A VGND VGND VPWR VPWR _57413_/A sky130_fd_sc_hd__buf_1
+XPHY_25110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75163_ _75149_/A _75159_/X _75163_/C VGND VGND VPWR VPWR _75164_/B sky130_fd_sc_hd__nand3_2
+XFILLER_536_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_281_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41555_ _41536_/X VGND VGND VPWR VPWR _41559_/B sky130_fd_sc_hd__buf_1
+XPHY_35644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_122_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_556_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72375_ _72373_/X _72375_/B VGND VGND VPWR VPWR _81448_/D sky130_fd_sc_hd__nand2_2
+XFILLER_278_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_548_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_474_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_25143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74114_ _74114_/A _74114_/B VGND VGND VPWR VPWR _74114_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_363_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40506_ _40505_/X _40514_/B VGND VGND VPWR VPWR _40508_/A sky130_fd_sc_hd__or2_2
+XFILLER_493_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59048_ _58921_/X VGND VGND VPWR VPWR _59049_/A sky130_fd_sc_hd__buf_1
+X_71326_ _70449_/X _71325_/Y VGND VGND VPWR VPWR _71327_/A sky130_fd_sc_hd__or2_2
+X_47062_ _47046_/X _71957_/B _71897_/B _46835_/X VGND VGND VPWR VPWR _47063_/B sky130_fd_sc_hd__o22a_4
+XFILLER_157_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44274_ _44274_/A _44273_/X VGND VGND VPWR VPWR _44274_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_139_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75094_ _75115_/A VGND VGND VPWR VPWR _75101_/B sky130_fd_sc_hd__buf_1
+XFILLER_493_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79971_ _79969_/CLK _79971_/D VGND VGND VPWR VPWR _57945_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_328_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41486_ _41486_/A _41486_/B _56967_/A VGND VGND VPWR VPWR _41486_/Y sky130_fd_sc_hd__nand3_2
+XPHY_34954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_239_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_474_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_714_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46013_ _55870_/A _46013_/B VGND VGND VPWR VPWR _46013_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_316_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43225_ _43219_/A _43225_/B _43224_/Y VGND VGND VPWR VPWR _79406_/D sky130_fd_sc_hd__nor3_2
+XFILLER_220_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78922_ _78940_/CLK _78922_/D VGND VGND VPWR VPWR _71679_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_34987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74045_ _74045_/A _74045_/B VGND VGND VPWR VPWR _74048_/A sky130_fd_sc_hd__or2_2
+X_40437_ _40437_/A _40437_/B VGND VGND VPWR VPWR _40437_/X sky130_fd_sc_hd__or2_2
+XPHY_24464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71257_ _71245_/Y _71252_/X _71254_/X _71256_/Y VGND VGND VPWR VPWR _42547_/B sky130_fd_sc_hd__o22a_4
+XFILLER_154_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_671_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_294_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_515_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_439_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_120_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61010_ _61010_/A _60542_/B VGND VGND VPWR VPWR _61012_/B sky130_fd_sc_hd__nor2_2
+XFILLER_532_3071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70208_ _70208_/A VGND VGND VPWR VPWR _70208_/X sky130_fd_sc_hd__buf_1
+XFILLER_534_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_505_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43156_ _43169_/A _43170_/C VGND VGND VPWR VPWR _43156_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_157_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78853_ _76729_/CLK _47386_/Y VGND VGND VPWR VPWR _78853_/Q sky130_fd_sc_hd__dfxtp_4
+X_40368_ _40368_/A VGND VGND VPWR VPWR _40369_/B sky130_fd_sc_hd__buf_1
+XFILLER_181_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_413_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71188_ _71188_/A _71188_/B _68946_/A VGND VGND VPWR VPWR _71188_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_632_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_371_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_677_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42107_ _56495_/A _42099_/B VGND VGND VPWR VPWR _42109_/B sky130_fd_sc_hd__nor2_2
+XFILLER_708_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_534_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77804_ _77790_/CLK _51473_/Y VGND VGND VPWR VPWR _77804_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_504_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70139_ _70419_/A _70138_/X VGND VGND VPWR VPWR _70139_/Y sky130_fd_sc_hd__nand2_2
+XPHY_13284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_190_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_155_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_712_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47964_ _47964_/A _47963_/X VGND VGND VPWR VPWR _47964_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_142_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43087_ _45412_/A _46113_/B VGND VGND VPWR VPWR _43087_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78784_ _78251_/CLK _78784_/D VGND VGND VPWR VPWR _47681_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_665_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40299_ _39799_/X _40313_/B VGND VGND VPWR VPWR _40302_/A sky130_fd_sc_hd__or2_2
+XFILLER_720_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75996_ _75993_/A _76002_/B _80546_/Q VGND VGND VPWR VPWR _75997_/B sky130_fd_sc_hd__nand3_2
+XFILLER_520_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49703_ _49701_/Y _49702_/X VGND VGND VPWR VPWR _49703_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_190_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42038_ _42030_/A _42038_/B _42037_/Y VGND VGND VPWR VPWR _42038_/Y sky130_fd_sc_hd__nor3_2
+X_46915_ _71356_/A _46887_/B VGND VGND VPWR VPWR _46917_/B sky130_fd_sc_hd__nor2_2
+XFILLER_618_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77735_ _77734_/CLK _51730_/Y VGND VGND VPWR VPWR _77735_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_64_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62961_ _59818_/X VGND VGND VPWR VPWR _63576_/A sky130_fd_sc_hd__buf_1
+XPHY_12594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74947_ _74947_/A _74946_/X VGND VGND VPWR VPWR _74947_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_313_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47895_ _47893_/Y _47895_/B VGND VGND VPWR VPWR _78731_/D sky130_fd_sc_hd__nand2_2
+XFILLER_500_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_589_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_433_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_269_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_42_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_11871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64700_ _44036_/B _65027_/B VGND VGND VPWR VPWR _64700_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_133_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_429_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61912_ _62070_/A _61908_/Y _61912_/C VGND VGND VPWR VPWR _61912_/Y sky130_fd_sc_hd__nor3_2
+X_49634_ _62111_/A _49634_/B VGND VGND VPWR VPWR _49634_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_233_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_7_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46846_ _46846_/A VGND VGND VPWR VPWR _46846_/X sky130_fd_sc_hd__buf_1
+XPHY_11893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65680_ _56922_/A _65839_/B VGND VGND VPWR VPWR _65680_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_1_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_643_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77666_ _77628_/CLK _77666_/D VGND VGND VPWR VPWR _61311_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74878_ _74878_/A _74878_/B _80832_/Q VGND VGND VPWR VPWR _74878_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_567_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62892_ _62892_/A _62579_/B VGND VGND VPWR VPWR _62892_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_484_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_284_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_23_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_548_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_231_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_528_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79405_ _79557_/CLK _43230_/Y VGND VGND VPWR VPWR _79405_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_249_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_661_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64631_ _64631_/A _64631_/B _64631_/C VGND VGND VPWR VPWR _64631_/Y sky130_fd_sc_hd__nor3_2
+X_76617_ VGND VGND VPWR VPWR _76617_/HI la_data_out[113] sky130_fd_sc_hd__conb_1
+X_73829_ _73821_/X _73828_/X _66257_/A VGND VGND VPWR VPWR _73830_/B sky130_fd_sc_hd__nand3_2
+X_61843_ _59470_/A VGND VGND VPWR VPWR _61843_/X sky130_fd_sc_hd__buf_1
+X_49565_ _49563_/Y _49565_/B VGND VGND VPWR VPWR _49565_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_49_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46777_ _78959_/Q _46777_/B VGND VGND VPWR VPWR _46778_/B sky130_fd_sc_hd__nor2_2
+XFILLER_725_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77597_ _77093_/CLK _52249_/Y VGND VGND VPWR VPWR _77597_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_329_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43989_ _43985_/X _43989_/B VGND VGND VPWR VPWR _43990_/B sky130_fd_sc_hd__and2_2
+XPHY_7190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48516_ _48486_/X _48516_/B VGND VGND VPWR VPWR _48517_/B sky130_fd_sc_hd__or2_2
+X_67350_ _67026_/A _67316_/Y _67349_/Y VGND VGND VPWR VPWR _67351_/C sky130_fd_sc_hd__nor3_2
+XFILLER_248_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79336_ _76798_/CLK _79336_/D VGND VGND VPWR VPWR _42430_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45728_ _45728_/A _45728_/B _45727_/Y VGND VGND VPWR VPWR _45728_/Y sky130_fd_sc_hd__nor3_2
+X_64562_ _64562_/A _64552_/Y _64562_/C VGND VGND VPWR VPWR _64563_/C sky130_fd_sc_hd__nor3_2
+XFILLER_348_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_346_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76548_ VGND VGND VPWR VPWR _76548_/HI la_data_out[44] sky130_fd_sc_hd__conb_1
+XFILLER_97_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61774_ _61612_/A _61772_/Y _61774_/C VGND VGND VPWR VPWR _61775_/C sky130_fd_sc_hd__nor3_2
+X_49496_ _49622_/A _49519_/B VGND VGND VPWR VPWR _49496_/X sky130_fd_sc_hd__or2_2
+XFILLER_252_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_368_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_365_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_660_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_424_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_283_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_364_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66301_ _64275_/A VGND VGND VPWR VPWR _66461_/B sky130_fd_sc_hd__buf_1
+XFILLER_598_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63513_ _63513_/A _63911_/B VGND VGND VPWR VPWR _63513_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_596_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60725_ _60725_/A _60724_/Y VGND VGND VPWR VPWR _60725_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_558_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67281_ _67777_/A _67281_/B _67281_/C VGND VGND VPWR VPWR _67281_/Y sky130_fd_sc_hd__nor3_2
+X_48447_ _48445_/Y _48447_/B VGND VGND VPWR VPWR _78590_/D sky130_fd_sc_hd__nand2_2
+XFILLER_500_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79267_ _79298_/CLK _79267_/D VGND VGND VPWR VPWR _44007_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_53_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45659_ _42430_/A _45658_/X VGND VGND VPWR VPWR _45659_/X sky130_fd_sc_hd__or2_2
+XPHY_38270 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64493_ _64171_/A VGND VGND VPWR VPWR _65740_/A sky130_fd_sc_hd__buf_1
+X_76479_ VGND VGND VPWR VPWR _76479_/HI io_out[1] sky130_fd_sc_hd__conb_1
+XFILLER_24_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_381_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38281 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_36_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38292 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69020_ _69160_/A _69020_/B _69019_/Y VGND VGND VPWR VPWR _69020_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_676_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66232_ _66232_/A _66555_/B VGND VGND VPWR VPWR _66232_/Y sky130_fd_sc_hd__nor2_2
+X_78218_ _78209_/CLK _78218_/D VGND VGND VPWR VPWR _78218_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_389_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39180_ _39179_/X _39166_/X VGND VGND VPWR VPWR _39180_/X sky130_fd_sc_hd__or2_2
+XFILLER_222_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63444_ _63850_/A _63444_/B _63443_/Y VGND VGND VPWR VPWR _63444_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_539_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60656_ _60656_/A _60012_/B VGND VGND VPWR VPWR _60656_/Y sky130_fd_sc_hd__nor2_2
+X_48378_ _48378_/A _48367_/B VGND VGND VPWR VPWR _48380_/A sky130_fd_sc_hd__nand2_2
+XFILLER_394_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79198_ _79201_/CLK _79198_/D VGND VGND VPWR VPWR _44746_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_123_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37580 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_209_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37591 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47329_ _63657_/A _47329_/B VGND VGND VPWR VPWR _47330_/B sky130_fd_sc_hd__nand2_2
+XFILLER_539_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66163_ _66163_/A VGND VGND VPWR VPWR _66857_/A sky130_fd_sc_hd__buf_1
+X_78149_ _78149_/CLK _50153_/Y VGND VGND VPWR VPWR _78149_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_554_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63375_ _63659_/A _63373_/Y _63375_/C VGND VGND VPWR VPWR _63375_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_676_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60587_ _60587_/A VGND VGND VPWR VPWR _60739_/B sky130_fd_sc_hd__buf_1
+XFILLER_339_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_5_0_u_core.clock clkbuf_8_4_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_5_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_691_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_162_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36890 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_140_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65114_ _65114_/A _64944_/B VGND VGND VPWR VPWR _65114_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_717_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50340_ _50340_/A VGND VGND VPWR VPWR _50341_/B sky130_fd_sc_hd__buf_1
+X_62326_ _62326_/A _61711_/X VGND VGND VPWR VPWR _62326_/Y sky130_fd_sc_hd__nor2_2
+X_81160_ _81133_/CLK _81160_/D VGND VGND VPWR VPWR _68563_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_179_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66094_ _81145_/Q _65424_/X VGND VGND VPWR VPWR _66095_/C sky130_fd_sc_hd__nor2_2
+XFILLER_492_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_176_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_105_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_121_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80111_ _80144_/CLK _80111_/D VGND VGND VPWR VPWR _56277_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_353_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65045_ _65045_/A _65045_/B _65044_/Y VGND VGND VPWR VPWR _65046_/C sky130_fd_sc_hd__nor3_2
+XFILLER_570_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_121_0_u_core.clock clkbuf_8_60_0_u_core.clock/X VGND VGND VPWR VPWR _76893_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_69922_ _69921_/X VGND VGND VPWR VPWR _69923_/A sky130_fd_sc_hd__buf_1
+XFILLER_516_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50271_ _50271_/A _50261_/B VGND VGND VPWR VPWR _50271_/X sky130_fd_sc_hd__or2_2
+XFILLER_408_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_140_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62257_ _78272_/Q _61941_/X VGND VGND VPWR VPWR _62257_/Y sky130_fd_sc_hd__nor2_2
+X_81091_ _81156_/CLK _73795_/Y VGND VGND VPWR VPWR _81091_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_492_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_359_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_157_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_357_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52010_ _52044_/A _52025_/B VGND VGND VPWR VPWR _52010_/X sky130_fd_sc_hd__or2_2
+XFILLER_11_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_507_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61208_ _77482_/Q _61208_/B VGND VGND VPWR VPWR _61208_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_375_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80042_ _79944_/CLK _80042_/D VGND VGND VPWR VPWR _39759_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_333_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_118_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69853_ _69853_/A _69853_/B VGND VGND VPWR VPWR _73384_/A sky130_fd_sc_hd__or2_2
+XFILLER_86_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_711_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62188_ _59012_/A VGND VGND VPWR VPWR _62188_/X sky130_fd_sc_hd__buf_1
+XFILLER_219_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_435_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_431_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_230_0_u_core.clock clkbuf_8_230_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_461_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_333_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_331_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_290_0_u_core.clock clkbuf_9_291_0_u_core.clock/A VGND VGND VPWR VPWR _81212_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_173_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68804_ _39765_/C _68297_/X VGND VGND VPWR VPWR _68805_/C sky130_fd_sc_hd__nor2_2
+XFILLER_314_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61139_ _60516_/A _61139_/B _61139_/C VGND VGND VPWR VPWR _61140_/C sky130_fd_sc_hd__nor3_2
+XFILLER_322_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38964_ _38964_/A _38963_/Y VGND VGND VPWR VPWR _80244_/D sky130_fd_sc_hd__nand2_2
+XFILLER_468_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69784_ _76678_/Q VGND VGND VPWR VPWR _69841_/A sky130_fd_sc_hd__inv_8
+XFILLER_495_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_684_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66996_ _57560_/A _66996_/B VGND VGND VPWR VPWR _66996_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_687_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_271_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68735_ _81033_/Q _68574_/B VGND VGND VPWR VPWR _68736_/C sky130_fd_sc_hd__nor2_2
+XFILLER_413_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53961_ _53961_/A _53964_/B VGND VGND VPWR VPWR _53963_/A sky130_fd_sc_hd__nand2_2
+XFILLER_255_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_510_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65947_ _66118_/A _65944_/Y _65946_/Y VGND VGND VPWR VPWR _65947_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_21_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_237_0_u_core.clock clkbuf_9_237_0_u_core.clock/A VGND VGND VPWR VPWR _79798_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_138_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38895_ _38913_/A VGND VGND VPWR VPWR _38907_/B sky130_fd_sc_hd__buf_1
+XFILLER_141_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_286_240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_101_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55700_ _55682_/A _55700_/B VGND VGND VPWR VPWR _55700_/X sky130_fd_sc_hd__or2_2
+XFILLER_232_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_141_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52912_ _51405_/A VGND VGND VPWR VPWR _53031_/A sky130_fd_sc_hd__buf_1
+XFILLER_143_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80944_ _80259_/CLK _74393_/Y VGND VGND VPWR VPWR _74392_/C sky130_fd_sc_hd__dfxtp_4
+X_68666_ _66197_/A VGND VGND VPWR VPWR _68666_/X sky130_fd_sc_hd__buf_1
+X_56680_ _65182_/A _56518_/X VGND VGND VPWR VPWR _56680_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_284_3017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_509_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53892_ _53890_/Y _53891_/X VGND VGND VPWR VPWR _53892_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_366_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65878_ _80632_/Q _66537_/B VGND VGND VPWR VPWR _65881_/B sky130_fd_sc_hd__nor2_2
+XFILLER_463_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55631_ _55682_/A _55630_/Y VGND VGND VPWR VPWR _55631_/X sky130_fd_sc_hd__or2_2
+XFILLER_683_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67617_ _67617_/A _67787_/B VGND VGND VPWR VPWR _67617_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_691_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52843_ _52843_/A _52842_/X VGND VGND VPWR VPWR _52843_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_624_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64829_ _64366_/X _64829_/B _64828_/Y VGND VGND VPWR VPWR _64829_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_702_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_651_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80875_ _80714_/CLK _80875_/D VGND VGND VPWR VPWR _69016_/A sky130_fd_sc_hd__dfxtp_4
+X_68597_ _65294_/A VGND VGND VPWR VPWR _68801_/A sky130_fd_sc_hd__buf_1
+XFILLER_528_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_661_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_562_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_76_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39516_ _39535_/A VGND VGND VPWR VPWR _39529_/A sky130_fd_sc_hd__buf_1
+XFILLER_290_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_386_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58350_ _57951_/A VGND VGND VPWR VPWR _58585_/B sky130_fd_sc_hd__buf_1
+XFILLER_626_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55562_ _55562_/A _55561_/Y VGND VGND VPWR VPWR _55562_/X sky130_fd_sc_hd__or2_2
+X_67548_ _76133_/C _67383_/B VGND VGND VPWR VPWR _67548_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_642_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52774_ _52774_/A _52774_/B VGND VGND VPWR VPWR _52774_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_544_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_3104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_288_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_432_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_265_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57301_ _40961_/C _57218_/X VGND VGND VPWR VPWR _57301_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_505_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54513_ _54513_/A _54512_/X VGND VGND VPWR VPWR _54513_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_389_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51725_ _51812_/A _51733_/B VGND VGND VPWR VPWR _51725_/X sky130_fd_sc_hd__or2_2
+X_39447_ _39445_/X _39446_/Y VGND VGND VPWR VPWR _80124_/D sky130_fd_sc_hd__nand2_2
+XPHY_18229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58281_ _58281_/A VGND VGND VPWR VPWR _58288_/A sky130_fd_sc_hd__buf_1
+XFILLER_403_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55493_ _55646_/A _55318_/B VGND VGND VPWR VPWR _55493_/X sky130_fd_sc_hd__and2_2
+XFILLER_184_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67479_ _79937_/Q _67648_/B VGND VGND VPWR VPWR _67482_/B sky130_fd_sc_hd__nor2_2
+XFILLER_325_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_265_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_424_2982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_388_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57232_ _79930_/Q _57310_/B VGND VGND VPWR VPWR _57232_/Y sky130_fd_sc_hd__nor2_2
+X_69218_ _69218_/A _69216_/Y _69218_/C VGND VGND VPWR VPWR _69219_/C sky130_fd_sc_hd__nor3_2
+XFILLER_299_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_424_2993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54444_ _54444_/A _54444_/B VGND VGND VPWR VPWR _77018_/D sky130_fd_sc_hd__nand2_2
+XFILLER_199_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39378_ _39378_/A VGND VGND VPWR VPWR _39391_/B sky130_fd_sc_hd__buf_1
+XFILLER_401_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51656_ _51656_/A VGND VGND VPWR VPWR _51656_/X sky130_fd_sc_hd__buf_1
+XFILLER_36_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70490_ _70489_/Y VGND VGND VPWR VPWR _70490_/Y sky130_fd_sc_hd__inv_8
+XFILLER_342_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_260_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38329_ _38323_/A _38322_/X _80403_/Q VGND VGND VPWR VPWR _38329_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_360_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50607_ _61721_/A _50607_/B VGND VGND VPWR VPWR _50609_/A sky130_fd_sc_hd__nand2_2
+XFILLER_546_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_200 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57163_ _57163_/A _57159_/Y _57162_/Y VGND VGND VPWR VPWR _57163_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_204_1307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_211_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_659_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69149_ _75437_/C _69149_/B VGND VGND VPWR VPWR _69150_/C sky130_fd_sc_hd__nor2_2
+X_81427_ _81397_/CLK _81427_/D VGND VGND VPWR VPWR _65103_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_1713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54375_ _60154_/A _54388_/B VGND VGND VPWR VPWR _54378_/A sky130_fd_sc_hd__nand2_2
+XFILLER_323_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51587_ _51736_/A VGND VGND VPWR VPWR _51588_/A sky130_fd_sc_hd__buf_1
+XFILLER_23_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_655_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_538_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56114_ _56088_/A _56114_/B VGND VGND VPWR VPWR _56115_/B sky130_fd_sc_hd__nor2_2
+XFILLER_195_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41340_ _41619_/A VGND VGND VPWR VPWR _41395_/A sky130_fd_sc_hd__buf_1
+XFILLER_546_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53326_ _77313_/Q _53331_/B VGND VGND VPWR VPWR _53326_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_225_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72160_ _72319_/B VGND VGND VPWR VPWR _72160_/X sky130_fd_sc_hd__buf_1
+XPHY_1757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50538_ _50422_/X _50541_/B VGND VGND VPWR VPWR _50538_/X sky130_fd_sc_hd__or2_2
+X_57094_ _57094_/A _57094_/B _57094_/C VGND VGND VPWR VPWR _57094_/Y sky130_fd_sc_hd__nor3_2
+XPHY_33505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81358_ _81393_/CLK _81358_/D VGND VGND VPWR VPWR _81358_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_397_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_168_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_616_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71111_ _71111_/A _71305_/B VGND VGND VPWR VPWR _71114_/C sky130_fd_sc_hd__nor2_2
+XFILLER_711_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56045_ _55992_/A _45190_/B VGND VGND VPWR VPWR _56049_/A sky130_fd_sc_hd__or2_2
+X_80309_ _80279_/CLK _80309_/D VGND VGND VPWR VPWR _80309_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_23015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41271_ _41269_/X _41270_/Y VGND VGND VPWR VPWR _79663_/D sky130_fd_sc_hd__nand2_2
+XFILLER_318_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53257_ _53255_/Y _53257_/B VGND VGND VPWR VPWR _77331_/D sky130_fd_sc_hd__nand2_2
+XFILLER_136_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72091_ _70081_/X _72111_/A VGND VGND VPWR VPWR _72091_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_573_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50469_ _50469_/A _50469_/B VGND VGND VPWR VPWR _78067_/D sky130_fd_sc_hd__nand2_2
+XPHY_32815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81289_ _79368_/CLK _73012_/Y VGND VGND VPWR VPWR _68746_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_551_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_371_3056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43010_ _43010_/A _43009_/X VGND VGND VPWR VPWR _43010_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_65_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40222_ _39984_/A _40216_/B VGND VGND VPWR VPWR _40226_/A sky130_fd_sc_hd__or2_2
+XFILLER_703_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52208_ _52172_/A _52214_/B VGND VGND VPWR VPWR _52209_/B sky130_fd_sc_hd__or2_2
+XFILLER_13_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71042_ _71042_/A VGND VGND VPWR VPWR _71042_/Y sky130_fd_sc_hd__inv_8
+XFILLER_324_130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_633_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_352_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_152_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53188_ _53347_/A VGND VGND VPWR VPWR _54989_/A sky130_fd_sc_hd__buf_1
+XFILLER_371_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_151_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_451_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59804_ _77249_/Q _59646_/B VGND VGND VPWR VPWR _59806_/B sky130_fd_sc_hd__nor2_2
+XFILLER_340_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_117_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_614_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40153_ _40150_/X _40152_/X _40153_/C VGND VGND VPWR VPWR _40153_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_336_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52139_ _52054_/A _52142_/B VGND VGND VPWR VPWR _52140_/B sky130_fd_sc_hd__or2_2
+XPHY_21624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75850_ _75887_/A VGND VGND VPWR VPWR _75866_/A sky130_fd_sc_hd__buf_1
+XPHY_22369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57996_ _58085_/A _57994_/Y _57996_/C VGND VGND VPWR VPWR _57996_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_191_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74801_ _74801_/A _74800_/Y VGND VGND VPWR VPWR _80851_/D sky130_fd_sc_hd__nand2_2
+XFILLER_47_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_3105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59735_ _63151_/A VGND VGND VPWR VPWR _59900_/A sky130_fd_sc_hd__buf_1
+XPHY_10400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44961_ _44978_/C _44961_/B VGND VGND VPWR VPWR _44962_/C sky130_fd_sc_hd__nor2_2
+X_56947_ _56774_/A _56947_/B _56947_/C VGND VGND VPWR VPWR _56948_/B sky130_fd_sc_hd__nor3_2
+XFILLER_501_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40084_ _40084_/A _40083_/Y VGND VGND VPWR VPWR _40084_/Y sky130_fd_sc_hd__nand2_2
+X_75781_ _75785_/A _75774_/B _80603_/Q VGND VGND VPWR VPWR _75781_/Y sky130_fd_sc_hd__nand3_2
+XPHY_21679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_43_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72993_ _72989_/A _72988_/X _69337_/A VGND VGND VPWR VPWR _72993_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_709_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_681_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_467_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_8_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46700_ _46176_/B _46699_/X VGND VGND VPWR VPWR _46701_/B sky130_fd_sc_hd__nand2_2
+XFILLER_2_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_482_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77520_ _78006_/CLK _77520_/D VGND VGND VPWR VPWR _60939_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_510_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_189_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43912_ _43941_/A _43904_/Y _43912_/C VGND VGND VPWR VPWR _79282_/D sky130_fd_sc_hd__nor3_2
+XFILLER_349_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74732_ _74732_/A VGND VGND VPWR VPWR _75355_/A sky130_fd_sc_hd__buf_1
+XFILLER_293_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_4_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71944_ _71944_/A VGND VGND VPWR VPWR _71945_/A sky130_fd_sc_hd__inv_8
+X_47680_ _47680_/A _47680_/B VGND VGND VPWR VPWR _47680_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_81_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59666_ _77024_/Q _59345_/B VGND VGND VPWR VPWR _59667_/C sky130_fd_sc_hd__nor2_2
+XFILLER_24_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_439_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44892_ _44892_/A _44894_/C VGND VGND VPWR VPWR _44893_/B sky130_fd_sc_hd__and2_2
+X_56878_ _56878_/A VGND VGND VPWR VPWR _56956_/A sky130_fd_sc_hd__buf_1
+XPHY_20989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_115_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_77_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_466_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_382_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46631_ _41846_/A _46631_/B VGND VGND VPWR VPWR _46631_/Y sky130_fd_sc_hd__nor2_2
+XPHY_10488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58617_ _69089_/A _56285_/B VGND VGND VPWR VPWR _58619_/B sky130_fd_sc_hd__nor2_2
+XFILLER_486_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77451_ _77454_/CLK _77451_/D VGND VGND VPWR VPWR _77451_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_369_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43843_ _43690_/A _43842_/X VGND VGND VPWR VPWR _43844_/C sky130_fd_sc_hd__nor2_2
+XFILLER_647_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55829_ _76764_/Q _55829_/B VGND VGND VPWR VPWR _55829_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_111_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74663_ _74663_/A _74663_/B VGND VGND VPWR VPWR _74664_/A sky130_fd_sc_hd__or2_2
+XFILLER_267_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_581_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59597_ _59597_/A VGND VGND VPWR VPWR _59761_/B sky130_fd_sc_hd__buf_1
+X_71875_ _71844_/A _71874_/Y VGND VGND VPWR VPWR _71875_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_562_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_671_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_605_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_481_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_454_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76402_ _76283_/A _76396_/B VGND VGND VPWR VPWR _76402_/X sky130_fd_sc_hd__or2_2
+XFILLER_92_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49350_ _49348_/X _49350_/B VGND VGND VPWR VPWR _49350_/Y sky130_fd_sc_hd__nand2_2
+XPHY_29932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73614_ _73623_/A _73614_/B _81138_/Q VGND VGND VPWR VPWR _73614_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_292_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_189_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_707_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58548_ _80970_/Q _58472_/B VGND VGND VPWR VPWR _58549_/C sky130_fd_sc_hd__nor2_2
+XFILLER_287_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46562_ _46562_/A VGND VGND VPWR VPWR _46563_/A sky130_fd_sc_hd__buf_1
+XFILLER_18_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70826_ _69770_/A _70826_/B VGND VGND VPWR VPWR _70828_/A sky130_fd_sc_hd__nor2_2
+XFILLER_542_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77382_ _77749_/CLK _53048_/Y VGND VGND VPWR VPWR _60698_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43774_ _43668_/A _43772_/X _43780_/A VGND VGND VPWR VPWR _43774_/X sky130_fd_sc_hd__and3_2
+XPHY_5040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74594_ _74594_/A _74594_/B VGND VGND VPWR VPWR _80898_/D sky130_fd_sc_hd__nand2_2
+XPHY_19420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40986_ _40984_/X _40986_/B VGND VGND VPWR VPWR _40986_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_185_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_441_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_428_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48301_ _48301_/A _48301_/B VGND VGND VPWR VPWR _78627_/D sky130_fd_sc_hd__nand2_2
+XPHY_19442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79121_ _79183_/CLK _45618_/Y VGND VGND VPWR VPWR _45615_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_527_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_523_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45513_ _45492_/A _45378_/B _45513_/C VGND VGND VPWR VPWR _45513_/Y sky130_fd_sc_hd__nor3_2
+X_76333_ _76333_/A _76333_/B VGND VGND VPWR VPWR _76333_/X sky130_fd_sc_hd__or2_2
+XPHY_29976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42725_ _69996_/A _42704_/B VGND VGND VPWR VPWR _42734_/B sky130_fd_sc_hd__nor2_2
+X_49281_ _49252_/A _49255_/X VGND VGND VPWR VPWR _49282_/B sky130_fd_sc_hd__or2_2
+X_73545_ _73545_/A _73545_/B VGND VGND VPWR VPWR _73545_/X sky130_fd_sc_hd__or2_2
+XPHY_29987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46493_ _46561_/B _46493_/B VGND VGND VPWR VPWR _46494_/B sky130_fd_sc_hd__nor2_2
+XFILLER_93_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58479_ _75731_/C _58389_/B VGND VGND VPWR VPWR _58481_/B sky130_fd_sc_hd__nor2_2
+XFILLER_280_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70757_ _70757_/A _70680_/B VGND VGND VPWR VPWR _70757_/X sky130_fd_sc_hd__or2_2
+XANTENNA_230 _38344_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_505_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_241 _76150_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_2_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_430_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_252 _38562_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_460_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60510_ _77693_/Q _59866_/B VGND VGND VPWR VPWR _60512_/B sky130_fd_sc_hd__nor2_2
+X_48232_ _48229_/X VGND VGND VPWR VPWR _48254_/B sky130_fd_sc_hd__buf_1
+XFILLER_379_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79052_ _76848_/CLK _79052_/D VGND VGND VPWR VPWR _79052_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_673_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_263 _40317_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_26_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45444_ _45444_/A _45065_/B _45449_/C VGND VGND VPWR VPWR _45444_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_204_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76264_ _76261_/X _76263_/Y VGND VGND VPWR VPWR _80485_/D sky130_fd_sc_hd__nand2_2
+XFILLER_621_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42656_ _42654_/X _42655_/X _70470_/X _42589_/X VGND VGND VPWR VPWR _42656_/X sky130_fd_sc_hd__o22a_4
+XFILLER_501_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61490_ _77843_/Q _61177_/B VGND VGND VPWR VPWR _61490_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_226_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73476_ _73476_/A _73476_/B VGND VGND VPWR VPWR _73476_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_76_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_274 _41905_/Y VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70688_ _69969_/X _70686_/Y _70477_/A _70687_/X VGND VGND VPWR VPWR _70688_/X sky130_fd_sc_hd__o22a_4
+XFILLER_221_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_285 _45002_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78003_ _78002_/CLK _78003_/D VGND VGND VPWR VPWR _50702_/A sky130_fd_sc_hd__dfxtp_4
+XANTENNA_296 _49249_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_1488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_3671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75215_ _75213_/X _75215_/B VGND VGND VPWR VPWR _75215_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_394_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41607_ _41607_/A _41607_/B VGND VGND VPWR VPWR _41607_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_70_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60441_ _60276_/A _60429_/Y _60440_/Y VGND VGND VPWR VPWR _60459_/A sky130_fd_sc_hd__nor3_2
+X_48163_ _47850_/A VGND VGND VPWR VPWR _48163_/X sky130_fd_sc_hd__buf_1
+X_72427_ _72424_/X _72426_/Y VGND VGND VPWR VPWR _81434_/D sky130_fd_sc_hd__nand2_2
+XPHY_3682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_67 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45375_ _45388_/A _45374_/Y VGND VGND VPWR VPWR _45375_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_320_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76195_ _76192_/X _76194_/Y VGND VGND VPWR VPWR _76195_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_575_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_42_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42587_ _42587_/A VGND VGND VPWR VPWR _42587_/X sky130_fd_sc_hd__buf_1
+XFILLER_222_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_302_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_677_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_324_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_196_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47114_ _46393_/B _47114_/B VGND VGND VPWR VPWR _47115_/B sky130_fd_sc_hd__or2_2
+XPHY_35463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_35_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44326_ _79237_/Q VGND VGND VPWR VPWR _44389_/A sky130_fd_sc_hd__inv_8
+XFILLER_147_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63160_ _61598_/A VGND VGND VPWR VPWR _63161_/B sky130_fd_sc_hd__buf_1
+XFILLER_673_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75146_ _75144_/X _75146_/B VGND VGND VPWR VPWR _80761_/D sky130_fd_sc_hd__nand2_2
+XFILLER_376_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41538_ _41530_/A _41538_/B _68769_/A VGND VGND VPWR VPWR _41538_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_296_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_556_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60372_ _60051_/A _60364_/Y _60371_/Y VGND VGND VPWR VPWR _60372_/Y sky130_fd_sc_hd__nor3_2
+X_48094_ _48092_/Y _48093_/X VGND VGND VPWR VPWR _78679_/D sky130_fd_sc_hd__nand2_2
+XPHY_2992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72358_ _72358_/A _72350_/X _69203_/A VGND VGND VPWR VPWR _72358_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_106_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_524_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_478_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62111_ _62111_/A _62111_/B VGND VGND VPWR VPWR _62111_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_186_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47045_ _47045_/A _47045_/B VGND VGND VPWR VPWR _47045_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_654_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71309_ _71309_/A VGND VGND VPWR VPWR _71309_/X sky130_fd_sc_hd__buf_1
+XFILLER_228_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44257_ _44029_/Y _44265_/B VGND VGND VPWR VPWR _44257_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_712_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63091_ _62787_/A _63089_/Y _63091_/C VGND VGND VPWR VPWR _63095_/B sky130_fd_sc_hd__nor3_2
+X_75077_ _75088_/A _75080_/B _80779_/Q VGND VGND VPWR VPWR _75077_/Y sky130_fd_sc_hd__nand3_2
+X_79954_ _79925_/CLK _79954_/D VGND VGND VPWR VPWR _64988_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_612_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41469_ _41467_/X _41468_/Y VGND VGND VPWR VPWR _79611_/D sky130_fd_sc_hd__nand2_2
+XPHY_34784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72289_ _72289_/A VGND VGND VPWR VPWR _72295_/B sky130_fd_sc_hd__buf_1
+XFILLER_259_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_651_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_610_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62042_ _78087_/Q _61562_/B VGND VGND VPWR VPWR _62044_/B sky130_fd_sc_hd__nor2_2
+X_43208_ _43109_/B _43092_/X _43208_/C _43207_/Y VGND VGND VPWR VPWR _43209_/D sky130_fd_sc_hd__nor4_2
+XFILLER_350_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74028_ _74028_/A VGND VGND VPWR VPWR _74705_/A sky130_fd_sc_hd__buf_1
+XFILLER_294_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78905_ _78903_/CLK _78905_/D VGND VGND VPWR VPWR _46326_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_534_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_337_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44188_ _43823_/A VGND VGND VPWR VPWR _44258_/B sky130_fd_sc_hd__buf_1
+XPHY_23560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79885_ _80013_/CLK _79885_/D VGND VGND VPWR VPWR _69394_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_154_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_294_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_259_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_127_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_48_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_504_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_721_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_294_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43139_ _43139_/A VGND VGND VPWR VPWR _46147_/B sky130_fd_sc_hd__buf_1
+X_66850_ _66027_/A VGND VGND VPWR VPWR _66853_/A sky130_fd_sc_hd__buf_1
+XFILLER_489_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78836_ _78836_/CLK _47463_/Y VGND VGND VPWR VPWR _64054_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_252_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_370_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48996_ _49054_/A _48999_/B VGND VGND VPWR VPWR _48996_/X sky130_fd_sc_hd__or2_2
+XFILLER_308_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_237_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_586_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_512_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_331_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_680_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65801_ _65644_/A _65801_/B _65801_/C VGND VGND VPWR VPWR _65805_/B sky130_fd_sc_hd__nor3_2
+XFILLER_649_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47947_ _47972_/B VGND VGND VPWR VPWR _47962_/B sky130_fd_sc_hd__buf_1
+XFILLER_142_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66781_ _66295_/X _66779_/Y _66780_/Y VGND VGND VPWR VPWR _66781_/Y sky130_fd_sc_hd__nor3_2
+X_78767_ _78766_/CLK _47751_/Y VGND VGND VPWR VPWR _47749_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_215_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75979_ _75979_/A _75979_/B VGND VGND VPWR VPWR _75979_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_330_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63993_ _63993_/A _59221_/B VGND VGND VPWR VPWR _63993_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_647_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_681_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_68_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68520_ _66873_/A VGND VGND VPWR VPWR _68540_/A sky130_fd_sc_hd__buf_1
+XFILLER_61_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65732_ _65732_/A _65732_/B VGND VGND VPWR VPWR _65734_/B sky130_fd_sc_hd__nor2_2
+X_77718_ _77714_/CLK _77718_/D VGND VGND VPWR VPWR _60656_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_645_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_367_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38680_ _38676_/X _38679_/Y VGND VGND VPWR VPWR _80320_/D sky130_fd_sc_hd__nand2_2
+XFILLER_528_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_547_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62944_ _62944_/A _62944_/B VGND VGND VPWR VPWR _62944_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_3_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47878_ _47847_/A _47869_/B VGND VGND VPWR VPWR _47879_/B sky130_fd_sc_hd__or2_2
+XPHY_11690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78698_ _78209_/CLK _78698_/D VGND VGND VPWR VPWR _78698_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_311_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_725_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_646_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49617_ _62892_/A _49628_/B VGND VGND VPWR VPWR _49620_/A sky130_fd_sc_hd__nand2_2
+XFILLER_673_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68451_ _80967_/Q _68787_/B VGND VGND VPWR VPWR _68451_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_266_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46829_ _46828_/Y VGND VGND VPWR VPWR _47094_/C sky130_fd_sc_hd__buf_1
+XFILLER_606_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65663_ _65322_/A _65660_/Y _65663_/C VGND VGND VPWR VPWR _65663_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_633_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77649_ _77705_/CLK _52052_/Y VGND VGND VPWR VPWR _77649_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_473_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62875_ _62875_/A _62875_/B _62874_/Y VGND VGND VPWR VPWR _62875_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_285_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_528_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67402_ _67744_/A _67400_/Y _67401_/Y VGND VGND VPWR VPWR _67402_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_168_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_661_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64614_ _56483_/A _64175_/B VGND VGND VPWR VPWR _64614_/Y sky130_fd_sc_hd__nor2_2
+X_61826_ _61747_/Y _61826_/B VGND VGND VPWR VPWR _76742_/D sky130_fd_sc_hd__nor2_2
+X_80660_ _80630_/CLK _75561_/Y VGND VGND VPWR VPWR _65216_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_326_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49548_ _62130_/A _49551_/B VGND VGND VPWR VPWR _49550_/A sky130_fd_sc_hd__nand2_2
+XFILLER_661_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68382_ _68216_/A _68378_/Y _68382_/C VGND VGND VPWR VPWR _68382_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_506_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_266_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65594_ _67239_/A VGND VGND VPWR VPWR _65598_/A sky130_fd_sc_hd__buf_1
+XFILLER_621_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_608_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_506_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39301_ _39162_/A _39301_/B VGND VGND VPWR VPWR _39301_/X sky130_fd_sc_hd__or2_2
+XFILLER_481_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_25_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_264_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67333_ _67333_/A _67333_/B VGND VGND VPWR VPWR _67334_/C sky130_fd_sc_hd__nor2_2
+X_79319_ _79307_/CLK _79319_/D VGND VGND VPWR VPWR _71540_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_129_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_642_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64545_ _67570_/A VGND VGND VPWR VPWR _64752_/A sky130_fd_sc_hd__buf_1
+XFILLER_20_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61757_ _61911_/A _61757_/B _61757_/C VGND VGND VPWR VPWR _61758_/C sky130_fd_sc_hd__nor3_2
+X_49479_ _61968_/A _49482_/B VGND VGND VPWR VPWR _49481_/A sky130_fd_sc_hd__nand2_2
+X_80591_ _80525_/CLK _80591_/D VGND VGND VPWR VPWR _80591_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_326_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_587_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_225_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39232_ _39227_/X _39222_/X _56687_/A VGND VGND VPWR VPWR _39233_/B sky130_fd_sc_hd__nand3_2
+X_51510_ _51482_/A _51519_/B VGND VGND VPWR VPWR _51511_/B sky130_fd_sc_hd__or2_2
+XFILLER_205_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60708_ _60075_/A _60700_/Y _60708_/C VGND VGND VPWR VPWR _60725_/A sky130_fd_sc_hd__nor3_2
+XFILLER_326_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67264_ _73180_/C _67096_/X VGND VGND VPWR VPWR _67264_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_145_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_149_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_197_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64476_ _65225_/A VGND VGND VPWR VPWR _66719_/A sky130_fd_sc_hd__buf_1
+XFILLER_578_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52490_ _52788_/A VGND VGND VPWR VPWR _52604_/A sky130_fd_sc_hd__buf_1
+XFILLER_307_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_24_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61688_ _62469_/A VGND VGND VPWR VPWR _62310_/A sky130_fd_sc_hd__buf_1
+XFILLER_385_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_621_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_307_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69003_ _69003_/A _68677_/B VGND VGND VPWR VPWR _69003_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_205_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66215_ _66215_/A _66538_/B VGND VGND VPWR VPWR _66216_/C sky130_fd_sc_hd__nor2_2
+XFILLER_578_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_424_1588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_586_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39163_ _39159_/A _39159_/B _67674_/A VGND VGND VPWR VPWR _39163_/Y sky130_fd_sc_hd__nand3_2
+X_51441_ _51466_/B VGND VGND VPWR VPWR _51456_/B sky130_fd_sc_hd__buf_1
+X_63427_ _62761_/X _63427_/B VGND VGND VPWR VPWR _63466_/B sky130_fd_sc_hd__nor2_2
+XFILLER_196_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60639_ _60482_/A _60637_/Y _60638_/Y VGND VGND VPWR VPWR _60643_/B sky130_fd_sc_hd__nor3_2
+X_67195_ _66872_/X _67195_/B _67195_/C VGND VGND VPWR VPWR _67195_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_557_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_304_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_178_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_583_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_593_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81212_ _81212_/CLK _73337_/Y VGND VGND VPWR VPWR _66594_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_637_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54160_ _54158_/Y _54160_/B VGND VGND VPWR VPWR _77093_/D sky130_fd_sc_hd__nand2_2
+XFILLER_123_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66146_ _66146_/A _66316_/B VGND VGND VPWR VPWR _66146_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_101_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39094_ _38609_/A _38984_/X VGND VGND VPWR VPWR _39096_/A sky130_fd_sc_hd__or2_2
+X_51372_ _51372_/A _51393_/B VGND VGND VPWR VPWR _51372_/X sky130_fd_sc_hd__or2_2
+XFILLER_617_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63358_ _47685_/A _63908_/B VGND VGND VPWR VPWR _63361_/B sky130_fd_sc_hd__nor2_2
+XFILLER_137_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_554_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_20_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_8_136_0_u_core.clock clkbuf_7_68_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_273_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_381_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_515_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_435_3031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53111_ _53134_/B VGND VGND VPWR VPWR _53133_/B sky130_fd_sc_hd__buf_1
+XFILLER_88_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_196_0_u_core.clock clkbuf_8_98_0_u_core.clock/X VGND VGND VPWR VPWR _79472_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_704_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50323_ _50323_/A _50323_/B VGND VGND VPWR VPWR _50323_/Y sky130_fd_sc_hd__nand2_2
+X_62309_ _78177_/Q _62309_/B VGND VGND VPWR VPWR _62310_/C sky130_fd_sc_hd__nor2_2
+XFILLER_393_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81143_ _81081_/CLK _81143_/D VGND VGND VPWR VPWR _81143_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_437_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_326_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_179_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66077_ _80505_/Q _66403_/B VGND VGND VPWR VPWR _66077_/Y sky130_fd_sc_hd__nor2_2
+X_54091_ _53337_/A VGND VGND VPWR VPWR _54542_/A sky130_fd_sc_hd__buf_1
+XFILLER_292_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63289_ _63850_/A _63281_/Y _63288_/Y VGND VGND VPWR VPWR _63289_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_14_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_84_0_u_core.clock clkbuf_8_85_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_84_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_140_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_474_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_450_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53042_ _53042_/A _53042_/B VGND VGND VPWR VPWR _53042_/Y sky130_fd_sc_hd__nand2_2
+X_69905_ _76724_/Q _69960_/A _69898_/X VGND VGND VPWR VPWR _69905_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_179_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65028_ _65028_/A _65028_/B _65027_/Y VGND VGND VPWR VPWR _65200_/C sky130_fd_sc_hd__or3_2
+X_50254_ _50856_/A VGND VGND VPWR VPWR _50256_/A sky130_fd_sc_hd__buf_1
+XFILLER_689_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81074_ _81025_/CLK _81074_/D VGND VGND VPWR VPWR _81074_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_334_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_470_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80025_ _80027_/CLK _39840_/Y VGND VGND VPWR VPWR _80025_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_523_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_513_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57850_ _67439_/A _57525_/X VGND VGND VPWR VPWR _57852_/B sky130_fd_sc_hd__nor2_2
+XPHY_30709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69836_ _69836_/A _69766_/Y _69836_/C VGND VGND VPWR VPWR _69837_/D sky130_fd_sc_hd__nor3_2
+XPHY_9328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50185_ _50208_/B VGND VGND VPWR VPWR _50201_/B sky130_fd_sc_hd__buf_1
+XFILLER_333_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_66_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39996_ _39994_/X _39996_/B VGND VGND VPWR VPWR _39996_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_306_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_431_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56801_ _56798_/X _56801_/B _56801_/C VGND VGND VPWR VPWR _56805_/B sky130_fd_sc_hd__nor3_2
+XFILLER_64_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_60_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38947_ _38947_/A _38947_/B _80248_/Q VGND VGND VPWR VPWR _38947_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_290_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57781_ _57541_/A _57781_/B _57780_/Y VGND VGND VPWR VPWR _57781_/X sky130_fd_sc_hd__or3_2
+XFILLER_310_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69767_ _69578_/A VGND VGND VPWR VPWR _55897_/B sky130_fd_sc_hd__buf_1
+XFILLER_585_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54993_ _54993_/A _54992_/X VGND VGND VPWR VPWR _54996_/A sky130_fd_sc_hd__nand2_2
+XFILLER_9_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66979_ _75770_/C _66815_/B VGND VGND VPWR VPWR _66980_/C sky130_fd_sc_hd__nor2_2
+XPHY_7904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_467_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_259_240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_5_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_684_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_1357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59520_ _59846_/A _59520_/B _59520_/C VGND VGND VPWR VPWR _59531_/B sky130_fd_sc_hd__nor3_2
+XFILLER_233_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56732_ _56407_/A _56730_/Y _56732_/C VGND VGND VPWR VPWR _56732_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_25_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68718_ _68718_/A _68718_/B VGND VGND VPWR VPWR _68719_/B sky130_fd_sc_hd__nor2_2
+XFILLER_487_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53944_ _77149_/Q _53940_/B VGND VGND VPWR VPWR _53947_/A sky130_fd_sc_hd__nand2_2
+XFILLER_628_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38878_ _38864_/X _38881_/B _80267_/Q VGND VGND VPWR VPWR _38878_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_314_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_609_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69698_ _72124_/A _69698_/B VGND VGND VPWR VPWR _38621_/A sky130_fd_sc_hd__or2_2
+XFILLER_248_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_59_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_349_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39707 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59451_ _59273_/A _59451_/B VGND VGND VPWR VPWR _59451_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_210_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39718 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_626_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56663_ _40231_/C _56223_/X VGND VGND VPWR VPWR _56663_/Y sky130_fd_sc_hd__nor2_2
+X_80927_ _80891_/CLK _74473_/Y VGND VGND VPWR VPWR _67085_/A sky130_fd_sc_hd__dfxtp_4
+X_68649_ _68646_/X _68647_/Y _68648_/Y VGND VGND VPWR VPWR _68649_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_247_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53875_ _53819_/A _53866_/B VGND VGND VPWR VPWR _53876_/B sky130_fd_sc_hd__or2_2
+XFILLER_60_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_235_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_680_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_68_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58402_ _58402_/A _58564_/B VGND VGND VPWR VPWR _58403_/C sky130_fd_sc_hd__nor2_2
+XFILLER_60_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_228_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55614_ _55644_/B _55291_/C VGND VGND VPWR VPWR _55615_/C sky130_fd_sc_hd__nor2_2
+XFILLER_186_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_347_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_344_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_309_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40840_ _40843_/A _40843_/B _57246_/A VGND VGND VPWR VPWR _40840_/Y sky130_fd_sc_hd__nand3_2
+X_52826_ _52883_/A _52841_/B VGND VGND VPWR VPWR _52826_/X sky130_fd_sc_hd__or2_2
+XFILLER_268_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59382_ _59382_/A _59541_/B VGND VGND VPWR VPWR _59382_/Y sky130_fd_sc_hd__nor2_2
+XPHY_29239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71660_ _71653_/A _71660_/B VGND VGND VPWR VPWR _71661_/C sky130_fd_sc_hd__and2_2
+XFILLER_446_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_641_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80858_ _80862_/CLK _74768_/Y VGND VGND VPWR VPWR _80858_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_546_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56594_ _56594_/A _56518_/X VGND VGND VPWR VPWR _56595_/C sky130_fd_sc_hd__nor2_2
+XFILLER_288_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_38_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_621_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58333_ _68437_/A _58016_/X VGND VGND VPWR VPWR _58335_/B sky130_fd_sc_hd__nor2_2
+X_70611_ _70611_/A VGND VGND VPWR VPWR _70611_/X sky130_fd_sc_hd__buf_1
+XFILLER_696_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55545_ _55543_/Y _55544_/Y _55561_/C VGND VGND VPWR VPWR _55545_/Y sky130_fd_sc_hd__nor3_2
+XPHY_18015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40771_ _40769_/X _40770_/Y VGND VGND VPWR VPWR _79788_/D sky130_fd_sc_hd__nand2_2
+XFILLER_524_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52757_ _61512_/A _52756_/X VGND VGND VPWR VPWR _52757_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71591_ _71461_/A _71591_/B VGND VGND VPWR VPWR _71592_/B sky130_fd_sc_hd__nor2_2
+XFILLER_147_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_64_0_u_core.clock clkbuf_9_65_0_u_core.clock/A VGND VGND VPWR VPWR _77987_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_103_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80789_ _80844_/CLK _75040_/Y VGND VGND VPWR VPWR _65398_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_502_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_540_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_505_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_147_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_407_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_407_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42510_ _42628_/A VGND VGND VPWR VPWR _42510_/X sky130_fd_sc_hd__buf_1
+XFILLER_325_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73330_ _73330_/A _73329_/X VGND VGND VPWR VPWR _73330_/Y sky130_fd_sc_hd__nand2_2
+XPHY_27837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51708_ _51708_/A _51712_/B VGND VGND VPWR VPWR _51709_/B sky130_fd_sc_hd__or2_2
+XPHY_17314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_19_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58264_ _57466_/A VGND VGND VPWR VPWR _58264_/X sky130_fd_sc_hd__buf_1
+XPHY_18059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70542_ _71686_/A _70541_/X VGND VGND VPWR VPWR _70542_/Y sky130_fd_sc_hd__nor2_2
+XPHY_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43490_ _55325_/A _55312_/A VGND VGND VPWR VPWR _43490_/X sky130_fd_sc_hd__or2_2
+XPHY_17325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55476_ _44953_/A _55465_/B VGND VGND VPWR VPWR _55477_/B sky130_fd_sc_hd__nor2_2
+XFILLER_151_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_389_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52688_ _52688_/A _52687_/X VGND VGND VPWR VPWR _77480_/D sky130_fd_sc_hd__nand2_2
+XFILLER_93_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_230_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_600_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_518_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_340_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_376_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57215_ _66140_/A _57215_/B VGND VGND VPWR VPWR _57215_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_639_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_565_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42441_ _42440_/X VGND VGND VPWR VPWR _69561_/B sky130_fd_sc_hd__buf_1
+XPHY_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54427_ _54483_/A _54424_/B VGND VGND VPWR VPWR _54427_/X sky130_fd_sc_hd__or2_2
+XFILLER_303_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_717_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73261_ _69853_/B _72345_/B VGND VGND VPWR VPWR _73262_/A sky130_fd_sc_hd__or2_2
+XFILLER_282_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51639_ _51637_/Y _51639_/B VGND VGND VPWR VPWR _77759_/D sky130_fd_sc_hd__nand2_2
+XPHY_16624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_519_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58195_ _58045_/A _58193_/Y _58195_/C VGND VGND VPWR VPWR _58195_/Y sky130_fd_sc_hd__nor3_2
+XPHY_17369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70473_ _79519_/Q VGND VGND VPWR VPWR _70473_/Y sky130_fd_sc_hd__inv_8
+XPHY_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_2776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_694_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_674_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75000_ _75000_/A _74999_/X VGND VGND VPWR VPWR _75000_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_546_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72212_ _72268_/A VGND VGND VPWR VPWR _72235_/A sky130_fd_sc_hd__buf_1
+XFILLER_401_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_297_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45160_ _45236_/A VGND VGND VPWR VPWR _45171_/A sky130_fd_sc_hd__buf_1
+XPHY_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57146_ _57545_/A VGND VGND VPWR VPWR _57309_/B sky130_fd_sc_hd__buf_1
+XPHY_34025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42372_ _42372_/A VGND VGND VPWR VPWR _42372_/Y sky130_fd_sc_hd__inv_8
+XFILLER_19_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54358_ _54356_/Y _54357_/X VGND VGND VPWR VPWR _77040_/D sky130_fd_sc_hd__nand2_2
+XFILLER_600_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73192_ _73192_/A _73191_/X VGND VGND VPWR VPWR _73192_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_303_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_399_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_519_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_416_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_329_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_275_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44111_ _44009_/A _44110_/Y VGND VGND VPWR VPWR _44111_/X sky130_fd_sc_hd__or2_2
+XFILLER_401_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_278_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_492_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53309_ _53309_/A _53331_/B VGND VGND VPWR VPWR _53314_/A sky130_fd_sc_hd__nand2_2
+XFILLER_373_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41323_ _41323_/A _41322_/Y VGND VGND VPWR VPWR _79650_/D sky130_fd_sc_hd__nand2_2
+XPHY_34069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72143_ _72135_/A _72143_/B VGND VGND VPWR VPWR _72145_/B sky130_fd_sc_hd__and2_2
+XPHY_1587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45091_ _45090_/Y _45091_/B VGND VGND VPWR VPWR _45091_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57077_ _57243_/A _57075_/Y _57077_/C VGND VGND VPWR VPWR _57077_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_633_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54289_ _77059_/Q _54289_/B VGND VGND VPWR VPWR _54289_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_679_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_545_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_453_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44042_ _65712_/A _44041_/X VGND VGND VPWR VPWR _44042_/X sky130_fd_sc_hd__or2_4
+XFILLER_32_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56028_ _56007_/X _45280_/B VGND VGND VPWR VPWR _56032_/B sky130_fd_sc_hd__nor2_2
+XFILLER_516_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_453_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41254_ _41260_/A _41264_/B _65307_/A VGND VGND VPWR VPWR _41255_/B sky130_fd_sc_hd__nand3_2
+XFILLER_158_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76951_ _76963_/CLK _76951_/D VGND VGND VPWR VPWR _54690_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_316_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72074_ _70900_/X _72081_/B VGND VGND VPWR VPWR _72075_/B sky130_fd_sc_hd__or2_2
+XFILLER_30_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_109_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_326_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_516_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_49_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40205_ _40205_/A VGND VGND VPWR VPWR _40207_/A sky130_fd_sc_hd__buf_1
+XFILLER_703_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_498_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_313_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_75902_ _75889_/A _75889_/B _66399_/A VGND VGND VPWR VPWR _75902_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_298_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71025_ _71025_/A _71024_/X VGND VGND VPWR VPWR _71130_/B sky130_fd_sc_hd__and2_2
+XFILLER_418_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48850_ _63239_/A _48838_/B VGND VGND VPWR VPWR _48852_/A sky130_fd_sc_hd__nand2_2
+XFILLER_156_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79670_ _79670_/CLK _41248_/Y VGND VGND VPWR VPWR _56974_/A sky130_fd_sc_hd__dfxtp_4
+X_41185_ _41183_/X _41184_/Y VGND VGND VPWR VPWR _79687_/D sky130_fd_sc_hd__nand2_2
+XFILLER_152_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76882_ _76882_/CLK _76882_/D VGND VGND VPWR VPWR _60063_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_633_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_22188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47801_ _47801_/A _47800_/X VGND VGND VPWR VPWR _47801_/Y sky130_fd_sc_hd__nand2_2
+X_78621_ _78675_/CLK _48332_/Y VGND VGND VPWR VPWR _63020_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_84_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40136_ _40136_/A _40136_/B VGND VGND VPWR VPWR _40136_/Y sky130_fd_sc_hd__nand2_2
+X_75833_ _75844_/A _75844_/B _75833_/C VGND VGND VPWR VPWR _75833_/Y sky130_fd_sc_hd__nand3_2
+XPHY_22199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48781_ _48845_/A _48777_/B VGND VGND VPWR VPWR _48782_/B sky130_fd_sc_hd__or2_2
+XFILLER_152_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45993_ _42776_/B _45986_/B VGND VGND VPWR VPWR _45994_/C sky130_fd_sc_hd__nor2_2
+XFILLER_156_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57979_ _57979_/A _57979_/B VGND VGND VPWR VPWR _57980_/B sky130_fd_sc_hd__nor2_2
+XFILLER_629_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47732_ _64032_/A _47731_/X VGND VGND VPWR VPWR _47735_/A sky130_fd_sc_hd__nand2_2
+X_59718_ _59718_/A _59718_/B VGND VGND VPWR VPWR _59718_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_2_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78552_ _78108_/CLK _48597_/Y VGND VGND VPWR VPWR _48595_/A sky130_fd_sc_hd__dfxtp_4
+X_40067_ _39947_/A _40060_/X VGND VGND VPWR VPWR _40067_/X sky130_fd_sc_hd__or2_2
+X_44944_ _44944_/A _44913_/X VGND VGND VPWR VPWR _44945_/B sky130_fd_sc_hd__nor2_2
+XPHY_20764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75764_ _75764_/A _75763_/Y VGND VGND VPWR VPWR _80608_/D sky130_fd_sc_hd__nand2_2
+XFILLER_349_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60990_ _61302_/A _60990_/B _60990_/C VGND VGND VPWR VPWR _60994_/B sky130_fd_sc_hd__nor3_2
+XFILLER_78_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72976_ _72976_/A _72975_/Y VGND VGND VPWR VPWR _81296_/D sky130_fd_sc_hd__nand2_2
+XFILLER_644_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_605_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_134_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_66_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_130_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77503_ _77434_/CLK _52599_/Y VGND VGND VPWR VPWR _60763_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_628_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_10274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74715_ _75341_/A _74729_/B VGND VGND VPWR VPWR _74715_/X sky130_fd_sc_hd__or2_2
+XFILLER_455_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_286_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59649_ _59487_/A _59649_/B _59648_/Y VGND VGND VPWR VPWR _59653_/B sky130_fd_sc_hd__nor3_2
+X_47663_ _64039_/A _47663_/B VGND VGND VPWR VPWR _47663_/Y sky130_fd_sc_hd__nand2_2
+X_71927_ _71927_/A VGND VGND VPWR VPWR _71928_/B sky130_fd_sc_hd__inv_8
+XFILLER_615_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78483_ _77937_/CLK _48868_/Y VGND VGND VPWR VPWR _63807_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_10285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_265_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_703_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44875_ _44875_/A _44400_/A _44666_/X VGND VGND VPWR VPWR _44875_/X sky130_fd_sc_hd__and3_2
+XFILLER_662_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75695_ _76057_/A _75695_/B VGND VGND VPWR VPWR _75695_/X sky130_fd_sc_hd__or2_2
+XFILLER_384_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_454_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_293_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_130_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49402_ _49399_/X VGND VGND VPWR VPWR _49424_/B sky130_fd_sc_hd__buf_1
+XFILLER_345_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46614_ _46685_/A VGND VGND VPWR VPWR _46626_/A sky130_fd_sc_hd__buf_1
+XFILLER_670_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_511_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_470_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77434_ _77434_/CLK _77434_/D VGND VGND VPWR VPWR _77434_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_280_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43826_ _43876_/A VGND VGND VPWR VPWR _43826_/X sky130_fd_sc_hd__buf_1
+XFILLER_643_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62660_ _62660_/A _62337_/X VGND VGND VPWR VPWR _62661_/C sky130_fd_sc_hd__nor2_2
+XFILLER_564_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74646_ _74260_/A _74646_/B VGND VGND VPWR VPWR _74646_/X sky130_fd_sc_hd__or2_2
+XFILLER_527_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_482_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47594_ _63205_/A _47597_/B VGND VGND VPWR VPWR _47596_/A sky130_fd_sc_hd__nand2_2
+XFILLER_603_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71858_ _71398_/A _71851_/X _71857_/Y VGND VGND VPWR VPWR _71858_/X sky130_fd_sc_hd__or3_2
+XFILLER_627_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_248_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61611_ _52124_/A _61460_/B VGND VGND VPWR VPWR _61612_/C sky130_fd_sc_hd__nor2_2
+X_49333_ _41998_/Y _49331_/X _42000_/Y _49332_/X VGND VGND VPWR VPWR _49499_/A sky130_fd_sc_hd__o22a_4
+XFILLER_207_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46545_ _46609_/A VGND VGND VPWR VPWR _46545_/X sky130_fd_sc_hd__buf_1
+XFILLER_92_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70809_ _70796_/X _70810_/B VGND VGND VPWR VPWR _70811_/A sky130_fd_sc_hd__and2_2
+X_77365_ _77365_/CLK _53107_/Y VGND VGND VPWR VPWR _60481_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_76_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43757_ _43674_/X _43757_/B _43757_/C VGND VGND VPWR VPWR _43758_/C sky130_fd_sc_hd__nor3_2
+XPHY_19250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62591_ _59437_/A VGND VGND VPWR VPWR _62591_/X sky130_fd_sc_hd__buf_1
+XFILLER_596_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74577_ _74192_/A _74582_/B VGND VGND VPWR VPWR _74577_/X sky130_fd_sc_hd__or2_2
+XFILLER_499_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40969_ _40895_/A VGND VGND VPWR VPWR _40984_/B sky130_fd_sc_hd__buf_1
+XFILLER_56_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71789_ _70613_/X _71724_/B VGND VGND VPWR VPWR _71789_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_495_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_280_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79104_ _79074_/CLK _45721_/Y VGND VGND VPWR VPWR _42198_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_163_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64330_ _65048_/A VGND VGND VPWR VPWR _64553_/A sky130_fd_sc_hd__buf_1
+XFILLER_222_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76316_ _76316_/A VGND VGND VPWR VPWR _76392_/A sky130_fd_sc_hd__buf_1
+XFILLER_577_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_179_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61542_ _61542_/A _61542_/B VGND VGND VPWR VPWR _61543_/B sky130_fd_sc_hd__nor2_2
+XFILLER_245_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42708_ _45619_/A VGND VGND VPWR VPWR _66042_/A sky130_fd_sc_hd__inv_8
+X_73528_ _73649_/A _73522_/B VGND VGND VPWR VPWR _73528_/X sky130_fd_sc_hd__or2_2
+XFILLER_422_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49264_ _78378_/Q _49257_/B VGND VGND VPWR VPWR _49264_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_597_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_143_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46476_ _46695_/A VGND VGND VPWR VPWR _47234_/A sky130_fd_sc_hd__buf_1
+XFILLER_679_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77296_ _77294_/CLK _53397_/Y VGND VGND VPWR VPWR _77296_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_33_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43688_ _43850_/A VGND VGND VPWR VPWR _43688_/Y sky130_fd_sc_hd__inv_8
+XPHY_4180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_657_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48215_ _48215_/A _48215_/B VGND VGND VPWR VPWR _48215_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_343_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_673_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79035_ _79497_/CLK _79035_/D VGND VGND VPWR VPWR _79035_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_178_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45427_ _45427_/A _45397_/B VGND VGND VPWR VPWR _45427_/X sky130_fd_sc_hd__or2_2
+X_64261_ _64464_/A VGND VGND VPWR VPWR _68297_/A sky130_fd_sc_hd__buf_1
+XFILLER_124_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76247_ _76247_/A VGND VGND VPWR VPWR _76284_/A sky130_fd_sc_hd__buf_1
+XFILLER_403_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42639_ _55178_/A _42607_/B VGND VGND VPWR VPWR _42648_/B sky130_fd_sc_hd__nor2_2
+X_61473_ _61473_/A _61472_/Y VGND VGND VPWR VPWR _61474_/B sky130_fd_sc_hd__nor2_2
+X_49195_ _49195_/A _49194_/X VGND VGND VPWR VPWR _78397_/D sky130_fd_sc_hd__nand2_2
+XFILLER_410_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73459_ _73466_/A _73444_/X _73459_/C VGND VGND VPWR VPWR _73461_/A sky130_fd_sc_hd__nand3_2
+XFILLER_536_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_395_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66000_ _39843_/C _65828_/X VGND VGND VPWR VPWR _66001_/C sky130_fd_sc_hd__nor2_2
+XFILLER_72_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_163_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_495_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63212_ _63054_/A _63212_/B _63211_/Y VGND VGND VPWR VPWR _63212_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_634_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48146_ _47988_/A VGND VGND VPWR VPWR _48182_/A sky130_fd_sc_hd__buf_1
+XFILLER_386_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60424_ _58903_/A VGND VGND VPWR VPWR _60734_/B sky130_fd_sc_hd__buf_1
+XFILLER_493_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45358_ _45358_/A VGND VGND VPWR VPWR _45358_/Y sky130_fd_sc_hd__inv_8
+XFILLER_692_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64192_ _64172_/X _64192_/B _64191_/Y VGND VGND VPWR VPWR _64192_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_50_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76178_ _76178_/A VGND VGND VPWR VPWR _38310_/A sky130_fd_sc_hd__buf_1
+XFILLER_119_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_536_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_35271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_198_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_673_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_714_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44309_ _44278_/A _44308_/X VGND VGND VPWR VPWR _44309_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_176_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75129_ _75249_/A _75119_/B VGND VGND VPWR VPWR _75132_/A sky130_fd_sc_hd__or2_2
+XFILLER_564_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63143_ _63606_/A _63143_/B _63142_/Y VGND VGND VPWR VPWR _63233_/A sky130_fd_sc_hd__nor3_2
+XFILLER_673_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60355_ _60027_/A _60355_/B _60355_/C VGND VGND VPWR VPWR _60356_/C sky130_fd_sc_hd__nor3_2
+X_48077_ _48018_/A _48092_/B VGND VGND VPWR VPWR _48078_/B sky130_fd_sc_hd__or2_2
+XFILLER_293_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45289_ _45174_/A _45490_/B VGND VGND VPWR VPWR _45289_/Y sky130_fd_sc_hd__nor2_2
+XPHY_34570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_454_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_102_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47028_ _47028_/A _47028_/B VGND VGND VPWR VPWR _78919_/D sky130_fd_sc_hd__nor2_2
+XFILLER_679_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_335_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_512_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63074_ _78462_/Q _63389_/B VGND VGND VPWR VPWR _63076_/B sky130_fd_sc_hd__nor2_2
+X_67951_ _58100_/A _68268_/B VGND VGND VPWR VPWR _67953_/B sky130_fd_sc_hd__nor2_2
+XFILLER_137_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79937_ _79969_/CLK _79937_/D VGND VGND VPWR VPWR _79937_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_337_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_162_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_505_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60286_ _54760_/A _60286_/B VGND VGND VPWR VPWR _60286_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_259_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_115_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_354_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_183_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66902_ _66902_/A _66902_/B VGND VGND VPWR VPWR _66902_/Y sky130_fd_sc_hd__nor2_2
+X_62025_ _77863_/Q _61711_/X VGND VGND VPWR VPWR _62025_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_694_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39850_ _76187_/A VGND VGND VPWR VPWR _39850_/X sky130_fd_sc_hd__buf_1
+XFILLER_332_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_337_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_710_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67882_ _67882_/A _67383_/B VGND VGND VPWR VPWR _67883_/C sky130_fd_sc_hd__nor2_2
+X_79868_ _79805_/CLK _79868_/D VGND VGND VPWR VPWR _66667_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_170_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_413_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38801_ _38783_/A VGND VGND VPWR VPWR _38805_/B sky130_fd_sc_hd__buf_1
+XFILLER_303_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69621_ _69638_/A _69638_/B _69621_/C _69621_/D VGND VGND VPWR VPWR _69622_/D sky130_fd_sc_hd__or4_2
+XFILLER_48_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66833_ _57475_/A _67327_/B VGND VGND VPWR VPWR _66833_/Y sky130_fd_sc_hd__nor2_2
+X_78819_ _78794_/CLK _47546_/Y VGND VGND VPWR VPWR _78819_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_530_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_291_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39781_ _39146_/A VGND VGND VPWR VPWR _40285_/A sky130_fd_sc_hd__buf_1
+XFILLER_668_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_324_0_u_core.clock clkbuf_9_325_0_u_core.clock/A VGND VGND VPWR VPWR _76963_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_48979_ _49066_/A _48967_/B VGND VGND VPWR VPWR _48979_/X sky130_fd_sc_hd__or2_2
+XFILLER_684_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79799_ _79775_/CLK _79799_/D VGND VGND VPWR VPWR _56996_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_487_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_449_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_619_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_3024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_151_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38732_ _38731_/X _38718_/X _80305_/Q VGND VGND VPWR VPWR _38733_/B sky130_fd_sc_hd__nand3_2
+XFILLER_287_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69552_ _69552_/A _69544_/Y _69552_/C VGND VGND VPWR VPWR _69553_/B sky130_fd_sc_hd__nor3_2
+XFILLER_213_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66764_ _66757_/X _66764_/B _66763_/Y VGND VGND VPWR VPWR _66764_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_229_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51990_ _51933_/A _51993_/B VGND VGND VPWR VPWR _51990_/X sky130_fd_sc_hd__or2_2
+XFILLER_233_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63976_ _63435_/X _63976_/B _63975_/Y VGND VGND VPWR VPWR _63980_/B sky130_fd_sc_hd__nor3_2
+XFILLER_64_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_464_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_702_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_170_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_426_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68503_ _68484_/Y _68503_/B VGND VGND VPWR VPWR _68504_/B sky130_fd_sc_hd__nor2_2
+XFILLER_61_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65715_ _75544_/C _65560_/B VGND VGND VPWR VPWR _65715_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_484_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_284_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_493_0_u_core.clock clkbuf_9_493_0_u_core.clock/A VGND VGND VPWR VPWR _80393_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_38663_ _38682_/A VGND VGND VPWR VPWR _38670_/B sky130_fd_sc_hd__buf_1
+XFILLER_547_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50941_ _50938_/Y _50940_/X VGND VGND VPWR VPWR _77940_/D sky130_fd_sc_hd__nand2_2
+X_62927_ _62453_/X _62927_/B _62926_/Y VGND VGND VPWR VPWR _62927_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_2_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69483_ _81358_/Q _64235_/B VGND VGND VPWR VPWR _69485_/B sky130_fd_sc_hd__nor2_2
+XFILLER_256_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_111_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_1620 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_525_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66695_ _66695_/A _66209_/B VGND VGND VPWR VPWR _66695_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_257_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_272_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_6_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_257_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_187_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_721_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_3144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80712_ _80742_/CLK _75332_/Y VGND VGND VPWR VPWR _68526_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_421_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68434_ _68434_/A VGND VGND VPWR VPWR _69080_/B sky130_fd_sc_hd__buf_1
+X_53660_ _53660_/A VGND VGND VPWR VPWR _53673_/B sky130_fd_sc_hd__buf_1
+XFILLER_443_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65646_ _56974_/A _65485_/B VGND VGND VPWR VPWR _65647_/C sky130_fd_sc_hd__nor2_2
+XFILLER_309_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38594_ _38591_/X _38594_/B VGND VGND VPWR VPWR _38594_/Y sky130_fd_sc_hd__nand2_2
+X_50872_ _50872_/A _50876_/B VGND VGND VPWR VPWR _50875_/A sky130_fd_sc_hd__nand2_2
+XFILLER_20_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62858_ _62378_/X _62858_/B _62857_/Y VGND VGND VPWR VPWR _62859_/C sky130_fd_sc_hd__nor3_2
+XFILLER_547_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_380_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_650_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_164_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52611_ _52609_/X _52638_/B VGND VGND VPWR VPWR _52612_/B sky130_fd_sc_hd__or2_2
+XFILLER_603_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61809_ _61180_/A _61807_/Y _61808_/Y VGND VGND VPWR VPWR _61814_/B sky130_fd_sc_hd__nor3_2
+XFILLER_37_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80643_ _80641_/CLK _80643_/D VGND VGND VPWR VPWR _80643_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_623_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68365_ _66718_/A VGND VGND VPWR VPWR _68365_/X sky130_fd_sc_hd__buf_1
+XFILLER_506_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_560_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65577_ _65734_/A _65575_/Y _65577_/C VGND VGND VPWR VPWR _65577_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_576_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53591_ _53591_/A _53591_/B VGND VGND VPWR VPWR _77244_/D sky130_fd_sc_hd__nand2_2
+XFILLER_361_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62789_ _62789_/A _62944_/B VGND VGND VPWR VPWR _62789_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_168_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_580_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67316_ _66984_/A _67316_/B VGND VGND VPWR VPWR _67316_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_285_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55330_ _55432_/A _55330_/B VGND VGND VPWR VPWR _55330_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_164_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_107_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64528_ _65228_/A VGND VGND VPWR VPWR _67208_/A sky130_fd_sc_hd__buf_1
+XFILLER_604_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_262_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52542_ _52540_/Y _52541_/X VGND VGND VPWR VPWR _77519_/D sky130_fd_sc_hd__nand2_2
+XFILLER_639_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80574_ _80543_/CLK _75893_/Y VGND VGND VPWR VPWR _80574_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_399_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68296_ _80006_/Q _68803_/B VGND VGND VPWR VPWR _68296_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_322_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_602_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_600_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_52_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_587_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_697_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39215_ _39213_/X _39215_/B VGND VGND VPWR VPWR _80183_/D sky130_fd_sc_hd__nand2_2
+XFILLER_55_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_33_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_197_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55261_ _43484_/A _55255_/Y _55261_/C VGND VGND VPWR VPWR _55262_/B sky130_fd_sc_hd__or3_2
+XFILLER_695_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67247_ _68896_/A VGND VGND VPWR VPWR _67903_/B sky130_fd_sc_hd__buf_1
+XFILLER_424_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_322_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52473_ _61097_/A _52458_/X VGND VGND VPWR VPWR _52473_/Y sky130_fd_sc_hd__nand2_2
+X_64459_ _64459_/A VGND VGND VPWR VPWR _66547_/A sky130_fd_sc_hd__buf_1
+XPHY_25709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_675_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_279_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57000_ _57000_/A _56999_/Y VGND VGND VPWR VPWR _57000_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_678_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_528_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54212_ _54210_/Y _54212_/B VGND VGND VPWR VPWR _54212_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_33_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_577_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51424_ _51420_/Y _51424_/B VGND VGND VPWR VPWR _77816_/D sky130_fd_sc_hd__nand2_2
+XFILLER_296_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39146_ _39146_/A VGND VGND VPWR VPWR _39147_/A sky130_fd_sc_hd__buf_1
+XFILLER_339_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_385_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67178_ _80127_/Q _67335_/B VGND VGND VPWR VPWR _67178_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_437_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55192_ _55192_/A _55191_/Y VGND VGND VPWR VPWR _55193_/C sky130_fd_sc_hd__nor2_2
+XFILLER_14_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_222_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_557_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_652_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_528_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_396_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_105_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_303_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54143_ _54143_/A VGND VGND VPWR VPWR _54147_/B sky130_fd_sc_hd__buf_1
+XFILLER_296_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66129_ _57208_/A _65791_/B VGND VGND VPWR VPWR _66129_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_198_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39077_ _38586_/A _39074_/B VGND VGND VPWR VPWR _39077_/X sky130_fd_sc_hd__or2_2
+X_51355_ _51385_/A _51361_/B VGND VGND VPWR VPWR _51356_/B sky130_fd_sc_hd__or2_2
+XFILLER_634_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_123_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_571_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_527_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_382_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50306_ _50276_/A _50293_/X VGND VGND VPWR VPWR _50306_/X sky130_fd_sc_hd__or2_2
+XFILLER_453_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81126_ _80790_/CLK _73664_/Y VGND VGND VPWR VPWR _68220_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_457_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_570_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54074_ _60037_/A _54080_/B VGND VGND VPWR VPWR _54079_/A sky130_fd_sc_hd__nand2_2
+XFILLER_333_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58951_ _58950_/X VGND VGND VPWR VPWR _59481_/A sky130_fd_sc_hd__buf_1
+XFILLER_84_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51286_ _51372_/A _51307_/B VGND VGND VPWR VPWR _51287_/B sky130_fd_sc_hd__or2_2
+XFILLER_419_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_355_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_341_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_333_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_62_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_238_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57902_ _56698_/A VGND VGND VPWR VPWR _58223_/B sky130_fd_sc_hd__buf_1
+X_53025_ _53050_/B VGND VGND VPWR VPWR _53040_/B sky130_fd_sc_hd__buf_1
+XFILLER_49_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_587_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_630_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50237_ _50152_/A _50221_/A VGND VGND VPWR VPWR _50237_/X sky130_fd_sc_hd__or2_2
+XFILLER_101_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81057_ _81060_/CLK _73925_/Y VGND VGND VPWR VPWR _81057_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_9114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58882_ _59091_/A VGND VGND VPWR VPWR _62529_/A sky130_fd_sc_hd__buf_1
+XFILLER_273_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_657_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_523_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80008_ _79944_/CLK _80008_/D VGND VGND VPWR VPWR _80008_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_9147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_216_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57833_ _80257_/Q _57514_/B VGND VGND VPWR VPWR _57834_/C sky130_fd_sc_hd__nor2_2
+XFILLER_175_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_685_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69819_ _70356_/B VGND VGND VPWR VPWR _70383_/B sky130_fd_sc_hd__buf_1
+XFILLER_611_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50168_ _50108_/A _50168_/B VGND VGND VPWR VPWR _50169_/B sky130_fd_sc_hd__or2_2
+XFILLER_489_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_450_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39979_ _39977_/X _39978_/Y VGND VGND VPWR VPWR _79991_/D sky130_fd_sc_hd__nand2_2
+XPHY_8424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_88_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_368_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_628_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72830_ _38859_/A _72830_/B VGND VGND VPWR VPWR _72846_/A sky130_fd_sc_hd__or2_2
+XPHY_8457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57764_ _57689_/A _57764_/B VGND VGND VPWR VPWR _57781_/B sky130_fd_sc_hd__nor2_2
+XFILLER_682_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_409_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42990_ _42988_/X _42990_/B VGND VGND VPWR VPWR _42990_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_99_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50099_ _50189_/A _50114_/B VGND VGND VPWR VPWR _50099_/X sky130_fd_sc_hd__or2_2
+X_54976_ _59892_/A _54976_/B VGND VGND VPWR VPWR _54978_/A sky130_fd_sc_hd__nand2_2
+XFILLER_311_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_708_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_5_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59503_ _59502_/X VGND VGND VPWR VPWR _59506_/A sky130_fd_sc_hd__buf_1
+XFILLER_75_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56715_ _56715_/A _56715_/B VGND VGND VPWR VPWR _56716_/B sky130_fd_sc_hd__nor2_2
+XFILLER_437_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41941_ _41919_/X VGND VGND VPWR VPWR _44909_/A sky130_fd_sc_hd__buf_1
+XPHY_39504 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53927_ _53923_/Y _53926_/X VGND VGND VPWR VPWR _53927_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_546_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72761_ _72799_/A VGND VGND VPWR VPWR _72772_/B sky130_fd_sc_hd__buf_1
+XPHY_7767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57695_ _57450_/X _57695_/B _57695_/C VGND VGND VPWR VPWR _57695_/Y sky130_fd_sc_hd__nor3_2
+XPHY_39515 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_444_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_436_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_236_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39526 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39537 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_628_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74500_ _74500_/A _74504_/B VGND VGND VPWR VPWR _74500_/X sky130_fd_sc_hd__or2_2
+XFILLER_606_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59434_ _77342_/Q _63757_/B VGND VGND VPWR VPWR _59434_/Y sky130_fd_sc_hd__nor2_2
+X_71712_ _70926_/A _71712_/B VGND VGND VPWR VPWR _71756_/B sky130_fd_sc_hd__or2_2
+XFILLER_210_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39548 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38803 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44660_ _44660_/A VGND VGND VPWR VPWR _44901_/A sky130_fd_sc_hd__inv_8
+XFILLER_625_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56646_ _56646_/A _58808_/B VGND VGND VPWR VPWR _56648_/B sky130_fd_sc_hd__nor2_2
+X_75480_ _74723_/A VGND VGND VPWR VPWR _75992_/A sky130_fd_sc_hd__buf_1
+XPHY_29025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41872_ _42384_/A _45311_/A _41871_/X io_in[18] VGND VGND VPWR VPWR _41872_/X sky130_fd_sc_hd__o22a_4
+XFILLER_147_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38814 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53858_ _53855_/X VGND VGND VPWR VPWR _53862_/B sky130_fd_sc_hd__buf_1
+XFILLER_60_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39559 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72692_ _72682_/A _72686_/B _72692_/C VGND VGND VPWR VPWR _72692_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_507_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38825 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_366_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38836 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43611_ _71616_/A _43599_/B VGND VGND VPWR VPWR _43613_/B sky130_fd_sc_hd__nor2_2
+XFILLER_227_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_448_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_606_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74431_ _68571_/A VGND VGND VPWR VPWR _74432_/A sky130_fd_sc_hd__inv_8
+XFILLER_340_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38847 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_74_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52809_ _52807_/Y _52808_/X VGND VGND VPWR VPWR _52809_/Y sky130_fd_sc_hd__nand2_2
+X_40823_ _40823_/A _40823_/B _57560_/A VGND VGND VPWR VPWR _40824_/B sky130_fd_sc_hd__nand3_2
+XFILLER_640_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_6_42_0_u_core.clock clkbuf_6_42_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_85_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_28324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59365_ _77054_/Q _59080_/B VGND VGND VPWR VPWR _59366_/C sky130_fd_sc_hd__nor2_2
+X_71643_ _71643_/A _71512_/B VGND VGND VPWR VPWR _71643_/X sky130_fd_sc_hd__and2_2
+XFILLER_262_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38858 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44591_ _79213_/Q _44591_/B VGND VGND VPWR VPWR _44591_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_578_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56577_ _39872_/C _56577_/B VGND VGND VPWR VPWR _56579_/B sky130_fd_sc_hd__nor2_2
+XFILLER_182_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53789_ _53819_/A _53793_/B VGND VGND VPWR VPWR _53789_/X sky130_fd_sc_hd__or2_2
+XPHY_38869 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_362_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_216_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_425_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46330_ _43034_/Y _46330_/B VGND VGND VPWR VPWR _46330_/X sky130_fd_sc_hd__or2_2
+XFILLER_244_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58316_ _58085_/A _58314_/Y _58315_/Y VGND VGND VPWR VPWR _58316_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_696_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77150_ _77732_/CLK _77150_/D VGND VGND VPWR VPWR _77150_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_271_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43542_ _42806_/C _55878_/D VGND VGND VPWR VPWR _43543_/A sky130_fd_sc_hd__or2_2
+XFILLER_246_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55528_ _55518_/Y _55527_/Y VGND VGND VPWR VPWR _55529_/C sky130_fd_sc_hd__or2_2
+X_74362_ _74360_/X _74361_/Y VGND VGND VPWR VPWR _80953_/D sky130_fd_sc_hd__nand2_2
+XFILLER_344_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40754_ _40752_/X _40753_/Y VGND VGND VPWR VPWR _79792_/D sky130_fd_sc_hd__nand2_2
+XPHY_27634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59296_ _59878_/A VGND VGND VPWR VPWR _61614_/A sky130_fd_sc_hd__buf_1
+XFILLER_43_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71574_ _71574_/A VGND VGND VPWR VPWR _71575_/B sky130_fd_sc_hd__inv_8
+XFILLER_559_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_3005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76101_ _75110_/A VGND VGND VPWR VPWR _76316_/A sky130_fd_sc_hd__buf_1
+XFILLER_360_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_505_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73313_ _73305_/X _73306_/X _67582_/A VGND VGND VPWR VPWR _73315_/A sky130_fd_sc_hd__nand3_2
+XPHY_27667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_19_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46261_ _41741_/Y _41976_/A VGND VGND VPWR VPWR _46261_/Y sky130_fd_sc_hd__nor2_2
+XPHY_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58247_ _58322_/A _58247_/B _58246_/Y VGND VGND VPWR VPWR _58247_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_70_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70525_ _70525_/A _70524_/Y VGND VGND VPWR VPWR _70544_/A sky130_fd_sc_hd__nor2_2
+X_77081_ _77596_/CLK _77081_/D VGND VGND VPWR VPWR _77081_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_657_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43473_ _43476_/A _43473_/B _56559_/A VGND VGND VPWR VPWR _43473_/Y sky130_fd_sc_hd__nand3_2
+XPHY_16410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55459_ _55470_/A _55458_/X VGND VGND VPWR VPWR _55459_/Y sky130_fd_sc_hd__nor2_2
+X_74293_ _74285_/A _74285_/B _80971_/Q VGND VGND VPWR VPWR _74293_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_377_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_540_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40685_ _40670_/X _40685_/B _67821_/A VGND VGND VPWR VPWR _40685_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_231_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_3040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_227_1682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_442_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48000_ _78703_/Q _48000_/B VGND VGND VPWR VPWR _48000_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_377_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45212_ _44913_/X VGND VGND VPWR VPWR _45321_/B sky130_fd_sc_hd__buf_1
+XPHY_26966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76032_ _75913_/A _76018_/B VGND VGND VPWR VPWR _76032_/X sky130_fd_sc_hd__or2_2
+XFILLER_51_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42424_ _69716_/A VGND VGND VPWR VPWR _42424_/X sky130_fd_sc_hd__buf_1
+XPHY_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73244_ _73244_/A _73243_/X VGND VGND VPWR VPWR _73244_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_305_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_700_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46192_ _46094_/Y _46192_/B VGND VGND VPWR VPWR _46193_/D sky130_fd_sc_hd__nor2_2
+X_70456_ _70455_/X VGND VGND VPWR VPWR _70456_/X sky130_fd_sc_hd__buf_1
+XFILLER_519_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_494_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58178_ _58170_/Y _58177_/Y VGND VGND VPWR VPWR _58178_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_518_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_1568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_377_3095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45143_ _45176_/A _45142_/Y VGND VGND VPWR VPWR _45143_/X sky130_fd_sc_hd__or2_2
+XFILLER_670_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57129_ _65956_/A _57128_/X VGND VGND VPWR VPWR _57129_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_652_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42355_ _79489_/Q VGND VGND VPWR VPWR _42355_/Y sky130_fd_sc_hd__inv_8
+XFILLER_123_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73175_ _73189_/A _73161_/X _81249_/Q VGND VGND VPWR VPWR _73179_/A sky130_fd_sc_hd__nand3_2
+XPHY_33121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_295_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70387_ _56266_/A _70429_/B VGND VGND VPWR VPWR _70388_/B sky130_fd_sc_hd__nor2_2
+XFILLER_494_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_158_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_652_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_392_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41306_ _41286_/X VGND VGND VPWR VPWR _41307_/B sky130_fd_sc_hd__buf_1
+XPHY_33154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60140_ _59333_/A VGND VGND VPWR VPWR _60141_/B sky130_fd_sc_hd__buf_1
+XFILLER_8_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72126_ _72189_/A VGND VGND VPWR VPWR _72331_/B sky130_fd_sc_hd__buf_1
+XPHY_15797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49951_ _49948_/Y _49950_/X VGND VGND VPWR VPWR _78203_/D sky130_fd_sc_hd__nand2_2
+XFILLER_360_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_494_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45074_ _45074_/A _45087_/B VGND VGND VPWR VPWR _45075_/B sky130_fd_sc_hd__nor2_2
+XPHY_33165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42286_ _42278_/A _42286_/B VGND VGND VPWR VPWR _42287_/B sky130_fd_sc_hd__or2_2
+XFILLER_125_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77983_ _77987_/CLK _50780_/Y VGND VGND VPWR VPWR _61989_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_234_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44025_ _79249_/Q VGND VGND VPWR VPWR _44233_/A sky130_fd_sc_hd__inv_8
+X_48902_ _63543_/A _48891_/B VGND VGND VPWR VPWR _48904_/A sky130_fd_sc_hd__nand2_2
+XFILLER_99_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79722_ _79694_/CLK _41025_/Y VGND VGND VPWR VPWR _68936_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_275_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41237_ _41107_/X _41230_/B VGND VGND VPWR VPWR _41237_/X sky130_fd_sc_hd__or2_2
+XPHY_32464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76934_ _76917_/CLK _54754_/Y VGND VGND VPWR VPWR _76934_/Q sky130_fd_sc_hd__dfxtp_4
+X_60071_ _77290_/Q _59751_/B VGND VGND VPWR VPWR _60071_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_351_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72057_ _72057_/A _70356_/B _70196_/X VGND VGND VPWR VPWR _72057_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_275_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49882_ _49939_/A _49857_/A VGND VGND VPWR VPWR _49882_/X sky130_fd_sc_hd__or2_2
+XPHY_31730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_256_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_139_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_49_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_531_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_273_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_22_0_u_core.clock clkbuf_7_23_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_22_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_65_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71008_ _71007_/Y VGND VGND VPWR VPWR _71244_/A sky130_fd_sc_hd__buf_1
+XFILLER_45_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48833_ _63939_/A _48844_/B VGND VGND VPWR VPWR _48836_/A sky130_fd_sc_hd__nand2_2
+XFILLER_611_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79653_ _79653_/CLK _41312_/Y VGND VGND VPWR VPWR _41311_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_253_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41168_ _41019_/A _41168_/B VGND VGND VPWR VPWR _41168_/X sky130_fd_sc_hd__or2_2
+XPHY_31774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76865_ _78386_/CLK _76865_/D VGND VGND VPWR VPWR _55004_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_132_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_681_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_26_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78604_ _78599_/CLK _78604_/D VGND VGND VPWR VPWR _78604_/Q sky130_fd_sc_hd__dfxtp_4
+X_40119_ _40119_/A _40119_/B VGND VGND VPWR VPWR _40119_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63830_ _63830_/A _59362_/B VGND VGND VPWR VPWR _63831_/C sky130_fd_sc_hd__nor2_2
+X_75816_ _76057_/A _75819_/B VGND VGND VPWR VPWR _75818_/A sky130_fd_sc_hd__or2_2
+XFILLER_486_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48764_ _48767_/A VGND VGND VPWR VPWR _48765_/B sky130_fd_sc_hd__buf_1
+XPHY_9692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79584_ _79584_/CLK _79584_/D VGND VGND VPWR VPWR _67282_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_212_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45976_ _45969_/A _45976_/B _45976_/C VGND VGND VPWR VPWR _45976_/Y sky130_fd_sc_hd__nor3_2
+X_41099_ _41028_/X VGND VGND VPWR VPWR _41118_/B sky130_fd_sc_hd__buf_1
+XFILLER_529_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76796_ _76800_/CLK _55632_/Y VGND VGND VPWR VPWR _76796_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_428_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_89 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_522_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_251_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47715_ _47715_/A _47715_/B VGND VGND VPWR VPWR _47715_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_238_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_171_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_439_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78535_ _78535_/CLK _78535_/D VGND VGND VPWR VPWR _63283_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_449_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44927_ _44921_/B VGND VGND VPWR VPWR _44928_/B sky130_fd_sc_hd__inv_8
+X_63761_ _63761_/A _63180_/B VGND VGND VPWR VPWR _63761_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_367_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75747_ _75988_/A _75754_/B VGND VGND VPWR VPWR _75750_/A sky130_fd_sc_hd__or2_2
+XFILLER_455_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60973_ _60973_/A _60972_/X VGND VGND VPWR VPWR _60973_/Y sky130_fd_sc_hd__nor2_2
+X_48695_ _48724_/A _48686_/B VGND VGND VPWR VPWR _48695_/X sky130_fd_sc_hd__or2_2
+X_72959_ _72959_/A VGND VGND VPWR VPWR _73973_/A sky130_fd_sc_hd__buf_1
+XFILLER_722_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_349_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_78_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65500_ _65322_/A _65500_/B _65499_/Y VGND VGND VPWR VPWR _65500_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_65_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_226_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_241_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62712_ _62400_/A _62710_/Y _62712_/C VGND VGND VPWR VPWR _62716_/B sky130_fd_sc_hd__nor3_2
+XFILLER_281_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_4_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47646_ _63511_/A _47632_/B VGND VGND VPWR VPWR _47648_/A sky130_fd_sc_hd__nand2_2
+X_66480_ _66480_/A _66480_/B _66479_/Y VGND VGND VPWR VPWR _66481_/C sky130_fd_sc_hd__nor3_2
+X_78466_ _78464_/CLK _78466_/D VGND VGND VPWR VPWR _48930_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_282_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44858_ _75546_/A _44816_/X VGND VGND VPWR VPWR _44858_/X sky130_fd_sc_hd__or2_2
+XFILLER_470_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63692_ _63692_/A _63414_/X VGND VGND VPWR VPWR _63693_/C sky130_fd_sc_hd__nor2_2
+X_75678_ _75548_/A _75678_/B VGND VGND VPWR VPWR _75678_/X sky130_fd_sc_hd__or2_2
+XFILLER_646_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65431_ _68894_/A VGND VGND VPWR VPWR _65431_/X sky130_fd_sc_hd__buf_1
+XFILLER_507_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77417_ _77408_/CLK _52923_/Y VGND VGND VPWR VPWR _61079_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_53_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43809_ _43676_/A _43806_/X VGND VGND VPWR VPWR _43816_/A sky130_fd_sc_hd__or2_2
+X_74629_ _74615_/X _74622_/X _65925_/A VGND VGND VPWR VPWR _74630_/B sky130_fd_sc_hd__nand3_2
+X_62643_ _62477_/X _62643_/B _62642_/Y VGND VGND VPWR VPWR _62643_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_253_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47577_ _47577_/A _47577_/B VGND VGND VPWR VPWR _78812_/D sky130_fd_sc_hd__nand2_2
+XFILLER_281_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78397_ _78840_/CLK _78397_/D VGND VGND VPWR VPWR _49193_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_659_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_0_63 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44789_ _75495_/A _44748_/B VGND VGND VPWR VPWR _44790_/B sky130_fd_sc_hd__or2_2
+XFILLER_722_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49316_ _49316_/A VGND VGND VPWR VPWR _49355_/B sky130_fd_sc_hd__buf_1
+XFILLER_700_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68150_ _67822_/A _68148_/Y _68149_/Y VGND VGND VPWR VPWR _68150_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_262_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_368_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46528_ _46528_/A VGND VGND VPWR VPWR _46528_/X sky130_fd_sc_hd__buf_1
+X_65362_ _80308_/Q _65540_/B VGND VGND VPWR VPWR _65363_/C sky130_fd_sc_hd__nor2_2
+XFILLER_245_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77348_ _77318_/CLK _77348_/D VGND VGND VPWR VPWR _53195_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_411_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62574_ _62574_/A _62574_/B _62573_/Y VGND VGND VPWR VPWR _62575_/C sky130_fd_sc_hd__nor3_2
+XFILLER_499_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_542_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_76_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_577_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_55_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_185_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_569_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_179_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67101_ _66757_/X _67095_/Y _67100_/Y VGND VGND VPWR VPWR _67101_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_675_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_1479 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_597_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64313_ _64312_/X VGND VGND VPWR VPWR _68821_/A sky130_fd_sc_hd__buf_1
+XFILLER_367_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_114_0_u_core.clock clkbuf_8_57_0_u_core.clock/X VGND VGND VPWR VPWR _77060_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_22_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_378_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_206_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49247_ _49247_/A _49246_/X VGND VGND VPWR VPWR _49247_/Y sky130_fd_sc_hd__nand2_2
+X_61525_ _61221_/A _61521_/Y _61525_/C VGND VGND VPWR VPWR _61526_/C sky130_fd_sc_hd__nor3_2
+XFILLER_50_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68081_ _68401_/A _68081_/B _68081_/C VGND VGND VPWR VPWR _68082_/C sky130_fd_sc_hd__nor3_2
+XFILLER_143_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65293_ _64427_/A VGND VGND VPWR VPWR _65294_/A sky130_fd_sc_hd__buf_1
+X_46459_ _46437_/Y _46439_/Y _46444_/X _46458_/X VGND VGND VPWR VPWR _46459_/Y sky130_fd_sc_hd__nor4_2
+X_77279_ _77278_/CLK _53458_/Y VGND VGND VPWR VPWR _77279_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_716_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39000_ _38488_/A _38995_/X VGND VGND VPWR VPWR _39000_/X sky130_fd_sc_hd__or2_2
+XFILLER_340_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67032_ _43691_/Y _66868_/B VGND VGND VPWR VPWR _67032_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_108_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79018_ _79018_/CLK _79018_/D VGND VGND VPWR VPWR _46310_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_397_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64244_ _69489_/A _64244_/B VGND VGND VPWR VPWR _64245_/C sky130_fd_sc_hd__nor2_2
+XFILLER_15_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61456_ _62237_/A VGND VGND VPWR VPWR _61612_/A sky130_fd_sc_hd__buf_1
+XFILLER_395_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49178_ _49168_/X VGND VGND VPWR VPWR _49179_/B sky130_fd_sc_hd__buf_1
+X_80290_ _80321_/CLK _38792_/Y VGND VGND VPWR VPWR _80290_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_477_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_223_0_u_core.clock clkbuf_8_222_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_447_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_11_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_283_0_u_core.clock clkbuf_9_283_0_u_core.clock/A VGND VGND VPWR VPWR _77729_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_438_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_72_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48129_ _63029_/A _48107_/X VGND VGND VPWR VPWR _48129_/Y sky130_fd_sc_hd__nand2_2
+X_60407_ _60407_/A _59766_/B VGND VGND VPWR VPWR _60407_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_473_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_339_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64175_ _38615_/C _64175_/B VGND VGND VPWR VPWR _64179_/B sky130_fd_sc_hd__nor2_2
+XFILLER_198_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61387_ _60919_/A _61387_/B _61386_/Y VGND VGND VPWR VPWR _61394_/B sky130_fd_sc_hd__nor3_2
+XFILLER_356_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_102_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_163_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51140_ _51140_/A _51139_/X VGND VGND VPWR VPWR _51140_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_85_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_454_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_176_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63126_ _49277_/A _63125_/X VGND VGND VPWR VPWR _63127_/C sky130_fd_sc_hd__nor2_2
+XFILLER_698_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60338_ _59856_/A _60337_/Y VGND VGND VPWR VPWR _60338_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_254_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_15_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_395_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_556_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_68983_ _68488_/A _68983_/B _68982_/Y VGND VGND VPWR VPWR _68983_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_391_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_471_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_176_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39902_ _39957_/A VGND VGND VPWR VPWR _39910_/B sky130_fd_sc_hd__buf_1
+XFILLER_28_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_478_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_274_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51071_ _51011_/A _51080_/B VGND VGND VPWR VPWR _51072_/B sky130_fd_sc_hd__or2_2
+XFILLER_451_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67934_ _67429_/A _67934_/B _67934_/C VGND VGND VPWR VPWR _67935_/C sky130_fd_sc_hd__nor3_2
+XFILLER_162_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63057_ _62591_/X _63055_/Y _63057_/C VGND VGND VPWR VPWR _63057_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_616_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60269_ _76940_/Q _60269_/B VGND VGND VPWR VPWR _60271_/B sky130_fd_sc_hd__nor2_2
+XFILLER_252_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_686_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_137_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_337_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_3108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_131_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50022_ _49994_/A _50028_/B VGND VGND VPWR VPWR _50022_/X sky130_fd_sc_hd__or2_2
+XFILLER_372_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62008_ _62008_/A _61852_/X VGND VGND VPWR VPWR _62008_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_171_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39833_ _39833_/A VGND VGND VPWR VPWR _39834_/B sky130_fd_sc_hd__buf_1
+XFILLER_118_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_399_0_u_core.clock clkbuf_9_399_0_u_core.clock/A VGND VGND VPWR VPWR _79907_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_67865_ _67865_/A _67364_/B VGND VGND VPWR VPWR _67866_/C sky130_fd_sc_hd__nor2_2
+XFILLER_350_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_88_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_710_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_157_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69604_ _69604_/A _69725_/B VGND VGND VPWR VPWR _69652_/A sky130_fd_sc_hd__nand2_2
+XFILLER_48_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66816_ _66816_/A _66813_/Y _66816_/C VGND VGND VPWR VPWR _66817_/C sky130_fd_sc_hd__nor3_2
+X_54830_ _54830_/A _54829_/X VGND VGND VPWR VPWR _54830_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_682_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39764_ _39833_/A VGND VGND VPWR VPWR _39764_/X sky130_fd_sc_hd__buf_1
+XPHY_7019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_6_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67796_ _67630_/A _67796_/B _67796_/C VGND VGND VPWR VPWR _67797_/C sky130_fd_sc_hd__nor3_2
+XFILLER_44_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_230_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38715_ _38714_/X _38701_/B _80310_/Q VGND VGND VPWR VPWR _38715_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_448_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69535_ _64389_/X _69535_/B _69534_/Y VGND VGND VPWR VPWR _69536_/C sky130_fd_sc_hd__nor3_2
+XPHY_6318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54761_ _54768_/A VGND VGND VPWR VPWR _54777_/B sky130_fd_sc_hd__buf_1
+XFILLER_287_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66747_ _67570_/A VGND VGND VPWR VPWR _67406_/A sky130_fd_sc_hd__buf_1
+XFILLER_467_1739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51973_ _48103_/X _52037_/B VGND VGND VPWR VPWR _51976_/A sky130_fd_sc_hd__or2_2
+X_39695_ _39203_/A _39688_/B VGND VGND VPWR VPWR _39695_/X sky130_fd_sc_hd__or2_2
+XPHY_6329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63959_ _59099_/A _63959_/B _63958_/Y VGND VGND VPWR VPWR _63963_/B sky130_fd_sc_hd__nor3_2
+XFILLER_84_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_625_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56500_ _56228_/A VGND VGND VPWR VPWR _56833_/A sky130_fd_sc_hd__buf_1
+XFILLER_367_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_681_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_269_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53712_ _60183_/A _53719_/B VGND VGND VPWR VPWR _53714_/A sky130_fd_sc_hd__nand2_2
+XFILLER_487_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_61_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_607_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50924_ _50924_/A _50909_/X VGND VGND VPWR VPWR _50926_/A sky130_fd_sc_hd__nand2_2
+XFILLER_2_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38646_ _38656_/A _38660_/B _38646_/C VGND VGND VPWR VPWR _38646_/Y sky130_fd_sc_hd__nand3_2
+X_57480_ _57238_/X _57476_/Y _57479_/Y VGND VGND VPWR VPWR _57480_/Y sky130_fd_sc_hd__nor3_2
+X_69466_ _80942_/Q _69466_/B VGND VGND VPWR VPWR _69466_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_406_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54692_ _54807_/A _54684_/B VGND VGND VPWR VPWR _54693_/B sky130_fd_sc_hd__or2_2
+X_66678_ _67181_/A _66676_/Y _66677_/Y VGND VGND VPWR VPWR _66678_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_6_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_272_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_45_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68417_ _68094_/A _68417_/B _68416_/Y VGND VGND VPWR VPWR _68417_/Y sky130_fd_sc_hd__nor3_2
+X_56431_ _64662_/A _58834_/B VGND VGND VPWR VPWR _56433_/B sky130_fd_sc_hd__nor2_2
+XFILLER_22_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_183_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53643_ _53643_/A VGND VGND VPWR VPWR _53701_/A sky130_fd_sc_hd__buf_1
+XFILLER_272_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37409 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65629_ _65629_/A _65625_/Y _65629_/C VGND VGND VPWR VPWR _65630_/C sky130_fd_sc_hd__nor3_2
+XFILLER_443_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_72_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_246_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38577_ _38507_/A VGND VGND VPWR VPWR _38578_/B sky130_fd_sc_hd__buf_1
+X_50855_ _77962_/Q _50855_/B VGND VGND VPWR VPWR _50859_/A sky130_fd_sc_hd__nand2_2
+XFILLER_285_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69397_ _64814_/A _69397_/B _69396_/Y VGND VGND VPWR VPWR _69397_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_421_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_207_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_281_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_697_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_506_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_187_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59150_ _59163_/A VGND VGND VPWR VPWR _59882_/A sky130_fd_sc_hd__buf_1
+XFILLER_521_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56362_ _56478_/A _56362_/B VGND VGND VPWR VPWR _56411_/B sky130_fd_sc_hd__nor2_2
+X_68348_ _68348_/A _68348_/B VGND VGND VPWR VPWR _68351_/B sky130_fd_sc_hd__nor2_2
+X_80626_ _80659_/CLK _80626_/D VGND VGND VPWR VPWR _75693_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_693_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_588_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53574_ _53546_/A _53571_/B VGND VGND VPWR VPWR _53575_/B sky130_fd_sc_hd__or2_2
+XFILLER_0_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_309_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50786_ _50784_/Y _50786_/B VGND VGND VPWR VPWR _77981_/D sky130_fd_sc_hd__nand2_2
+XFILLER_506_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58101_ _58015_/X _58099_/Y _58101_/C VGND VGND VPWR VPWR _58102_/C sky130_fd_sc_hd__nor3_2
+XFILLER_109_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_601_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55313_ _45090_/Y _55312_/X VGND VGND VPWR VPWR _55314_/B sky130_fd_sc_hd__nor2_2
+XFILLER_560_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52525_ _52553_/A _52546_/B VGND VGND VPWR VPWR _52526_/B sky130_fd_sc_hd__or2_2
+XPHY_26229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59081_ _59081_/A _59076_/Y _59080_/Y VGND VGND VPWR VPWR _59082_/C sky130_fd_sc_hd__nor3_2
+XFILLER_90_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80557_ _80525_/CLK _80557_/D VGND VGND VPWR VPWR _75955_/C sky130_fd_sc_hd__dfxtp_4
+X_56293_ _57466_/A VGND VGND VPWR VPWR _56294_/A sky130_fd_sc_hd__buf_1
+XFILLER_326_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_12_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68279_ _68279_/A _68279_/B VGND VGND VPWR VPWR _68279_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_224_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_279_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_220_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_197_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70310_ _70299_/A _70266_/C _70287_/Y VGND VGND VPWR VPWR _70310_/X sky130_fd_sc_hd__a21o_4
+XFILLER_404_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58032_ _67981_/A _58111_/B VGND VGND VPWR VPWR _58032_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_298_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55244_ _71053_/A _55244_/B VGND VGND VPWR VPWR _55245_/A sky130_fd_sc_hd__or2_2
+XPHY_15005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40470_ _40601_/A _40456_/X VGND VGND VPWR VPWR _40473_/A sky130_fd_sc_hd__or2_2
+X_52456_ _52454_/Y _52456_/B VGND VGND VPWR VPWR _77541_/D sky130_fd_sc_hd__nand2_2
+XPHY_25539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71290_ _71276_/A _71005_/X VGND VGND VPWR VPWR _71291_/C sky130_fd_sc_hd__nor2_2
+XFILLER_357_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80488_ _80436_/CLK _76253_/Y VGND VGND VPWR VPWR _80488_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_24805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_178_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_55_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_491_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_715_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39129_ _39176_/A VGND VGND VPWR VPWR _39148_/B sky130_fd_sc_hd__buf_1
+X_51407_ _51475_/A _51435_/B VGND VGND VPWR VPWR _51408_/B sky130_fd_sc_hd__or2_2
+XFILLER_138_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70241_ _70240_/X VGND VGND VPWR VPWR _71284_/A sky130_fd_sc_hd__inv_8
+XFILLER_554_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55175_ _76836_/Q _55158_/B VGND VGND VPWR VPWR _55175_/X sky130_fd_sc_hd__and2_2
+XFILLER_656_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_327_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_276_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52387_ _52385_/Y _52386_/X VGND VGND VPWR VPWR _77561_/D sky130_fd_sc_hd__nand2_2
+XPHY_24849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_60_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54126_ _54126_/A _54126_/B VGND VGND VPWR VPWR _54126_/Y sky130_fd_sc_hd__nand2_2
+X_42140_ _42056_/A VGND VGND VPWR VPWR _42141_/B sky130_fd_sc_hd__buf_1
+XPHY_14348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_276_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_476_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51338_ _53249_/A _51310_/B VGND VGND VPWR VPWR _51338_/X sky130_fd_sc_hd__or2_2
+XFILLER_126_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_120_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70172_ _70166_/Y _70168_/X _70156_/A _70171_/X VGND VGND VPWR VPWR _70172_/X sky130_fd_sc_hd__o22a_4
+XPHY_14359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_257_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59983_ _59512_/A _59983_/B _59982_/Y VGND VGND VPWR VPWR _59983_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_299_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_176_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_194_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_339_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_454_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81109_ _81106_/CLK _73727_/Y VGND VGND VPWR VPWR _65423_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_68_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42071_ _42067_/Y _42068_/Y _42082_/C VGND VGND VPWR VPWR _42071_/Y sky130_fd_sc_hd__nor3_2
+XPHY_13658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54057_ _54057_/A _54057_/B VGND VGND VPWR VPWR _77118_/D sky130_fd_sc_hd__nand2_2
+X_58934_ _59387_/A _58934_/B _58934_/C VGND VGND VPWR VPWR _58934_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_84_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_197_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_469_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51269_ _51267_/X _51269_/B VGND VGND VPWR VPWR _51269_/Y sky130_fd_sc_hd__nand2_2
+XPHY_12924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74980_ _74984_/A _74973_/B _68040_/A VGND VGND VPWR VPWR _74982_/A sky130_fd_sc_hd__nand3_2
+XPHY_13669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41022_ _39757_/A VGND VGND VPWR VPWR _41022_/X sky130_fd_sc_hd__buf_1
+XPHY_30314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53008_ _53005_/Y _53008_/B VGND VGND VPWR VPWR _77394_/D sky130_fd_sc_hd__nand2_2
+XFILLER_194_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_587_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_567_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73931_ _73917_/A _73930_/X _73931_/C VGND VGND VPWR VPWR _73932_/B sky130_fd_sc_hd__nand3_2
+XPHY_30325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_153_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58865_ _78980_/Q _58869_/B VGND VGND VPWR VPWR _58867_/A sky130_fd_sc_hd__nor2_2
+XPHY_12968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_235_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45830_ _70384_/X VGND VGND VPWR VPWR _45831_/A sky130_fd_sc_hd__inv_8
+XFILLER_700_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57816_ _57808_/X _57816_/B _57816_/C VGND VGND VPWR VPWR _57817_/B sky130_fd_sc_hd__nor3_2
+XFILLER_114_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76650_ _76657_/CLK _76650_/D VGND VGND VPWR VPWR _76650_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_489_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73862_ _73740_/A _73865_/B VGND VGND VPWR VPWR _73862_/X sky130_fd_sc_hd__or2_2
+XFILLER_231_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_526_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58796_ _58788_/Y _58796_/B VGND VGND VPWR VPWR _58796_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_333_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_483_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_342_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75601_ _75581_/X _75605_/B _75601_/C VGND VGND VPWR VPWR _75601_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_431_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72813_ _72810_/X _72813_/B VGND VGND VPWR VPWR _72813_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_44_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45761_ _45785_/A _45761_/B VGND VGND VPWR VPWR _79100_/D sky130_fd_sc_hd__nor2_2
+X_57747_ _57419_/A _57747_/B VGND VGND VPWR VPWR _57748_/C sky130_fd_sc_hd__nor2_2
+XFILLER_682_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76581_ VGND VGND VPWR VPWR _76581_/HI la_data_out[77] sky130_fd_sc_hd__conb_1
+XPHY_7553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42973_ _42971_/X _42972_/Y VGND VGND VPWR VPWR _42973_/Y sky130_fd_sc_hd__nand2_2
+XPHY_8298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54959_ _54957_/Y _54958_/X VGND VGND VPWR VPWR _54959_/Y sky130_fd_sc_hd__nand2_2
+X_73793_ _73793_/A _73782_/B VGND VGND VPWR VPWR _73793_/X sky130_fd_sc_hd__or2_2
+XFILLER_236_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39301 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_229_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_706_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39312 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_1589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47500_ _63927_/A _47494_/B VGND VGND VPWR VPWR _47500_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_463_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78320_ _78809_/CLK _49513_/Y VGND VGND VPWR VPWR _62283_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39323 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44712_ _44449_/A VGND VGND VPWR VPWR _44720_/A sky130_fd_sc_hd__buf_1
+XPHY_6841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75532_ _76029_/A _75518_/B VGND VGND VPWR VPWR _75535_/A sky130_fd_sc_hd__or2_2
+XFILLER_565_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_5_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_1659 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_366_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41924_ _41924_/A VGND VGND VPWR VPWR _41924_/X sky130_fd_sc_hd__buf_1
+XPHY_39334 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72744_ _72206_/A _72744_/B VGND VGND VPWR VPWR _72744_/X sky130_fd_sc_hd__or2_2
+XPHY_6852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48480_ _48480_/A _48479_/X VGND VGND VPWR VPWR _48480_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_1_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45692_ _43527_/X VGND VGND VPWR VPWR _45694_/A sky130_fd_sc_hd__buf_1
+XPHY_39345 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38600 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57678_ _67137_/A _57430_/B VGND VGND VPWR VPWR _57679_/C sky130_fd_sc_hd__nor2_2
+XFILLER_385_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_614_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39356 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38611 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38622 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39367 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47431_ _47428_/Y _47430_/X VGND VGND VPWR VPWR _47431_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_38_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59417_ _77238_/Q _63879_/B VGND VGND VPWR VPWR _59419_/B sky130_fd_sc_hd__nor2_2
+XPHY_38633 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78251_ _78251_/CLK _78251_/D VGND VGND VPWR VPWR _62725_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39378 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44643_ _44716_/A VGND VGND VPWR VPWR _44643_/Y sky130_fd_sc_hd__inv_8
+XPHY_6896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56629_ _56350_/A _56629_/B _56629_/C VGND VGND VPWR VPWR _56635_/B sky130_fd_sc_hd__nor3_2
+XFILLER_268_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75463_ _75615_/A _75442_/X VGND VGND VPWR VPWR _75465_/A sky130_fd_sc_hd__or2_2
+XFILLER_483_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41855_ _46640_/A _41795_/A VGND VGND VPWR VPWR _41860_/A sky130_fd_sc_hd__or2_2
+XPHY_38644 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39389 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72675_ _72673_/X _72674_/Y VGND VGND VPWR VPWR _72675_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_263_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37910 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38655 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_362_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37921 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38666 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77202_ _77193_/CLK _77202_/D VGND VGND VPWR VPWR _77202_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_28143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37932 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74414_ _74413_/Y _74414_/B VGND VGND VPWR VPWR _74414_/X sky130_fd_sc_hd__or2_2
+XFILLER_426_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38677 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40806_ _40804_/X _40806_/B VGND VGND VPWR VPWR _40806_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47362_ _47359_/X VGND VGND VPWR VPWR _47384_/B sky130_fd_sc_hd__buf_1
+XFILLER_207_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59348_ _77038_/Q _59348_/B VGND VGND VPWR VPWR _59349_/C sky130_fd_sc_hd__nor2_2
+XFILLER_290_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71626_ _71757_/A _71584_/X _71626_/C VGND VGND VPWR VPWR _71626_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_95_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78182_ _78191_/CLK _50029_/Y VGND VGND VPWR VPWR _50027_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_539_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37943 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38688 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44574_ _44574_/A VGND VGND VPWR VPWR _44575_/B sky130_fd_sc_hd__inv_8
+X_75394_ _75394_/A _75382_/B _65717_/A VGND VGND VPWR VPWR _75395_/B sky130_fd_sc_hd__nand3_2
+XPHY_28165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_182_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_700_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_204_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41786_ _41786_/A _41785_/X VGND VGND VPWR VPWR _41786_/Y sky130_fd_sc_hd__nand2_2
+XPHY_38699 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37954 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_600_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_53_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_73_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37965 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49101_ _49101_/A _49082_/B VGND VGND VPWR VPWR _49104_/A sky130_fd_sc_hd__nand2_2
+XPHY_27442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_420_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37976 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46313_ _43504_/X _46313_/B VGND VGND VPWR VPWR _46313_/X sky130_fd_sc_hd__or2_2
+X_77133_ _77128_/CLK _77133_/D VGND VGND VPWR VPWR _77133_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_524_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43525_ _43525_/A _42471_/X _43525_/C VGND VGND VPWR VPWR _79335_/D sky130_fd_sc_hd__nor3_2
+XFILLER_160_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74345_ _74610_/A _74328_/X VGND VGND VPWR VPWR _74347_/A sky130_fd_sc_hd__or2_2
+XPHY_37987 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40737_ _40737_/A _40737_/B VGND VGND VPWR VPWR _79797_/D sky130_fd_sc_hd__nand2_2
+XFILLER_676_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47293_ _41683_/A _47293_/B VGND VGND VPWR VPWR _47294_/B sky130_fd_sc_hd__nor2_2
+XFILLER_658_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59279_ _61749_/A VGND VGND VPWR VPWR _64002_/A sky130_fd_sc_hd__buf_1
+XFILLER_407_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71557_ _70457_/X _71556_/Y VGND VGND VPWR VPWR _71561_/B sky130_fd_sc_hd__nor2_2
+XFILLER_377_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37998 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_34_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_400_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_393_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_566_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_176_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61310_ _62091_/A VGND VGND VPWR VPWR _61782_/A sky130_fd_sc_hd__buf_1
+X_49032_ _49030_/Y _49031_/X VGND VGND VPWR VPWR _49032_/Y sky130_fd_sc_hd__nand2_2
+XPHY_27497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46244_ _45074_/A _46236_/B VGND VGND VPWR VPWR _46244_/Y sky130_fd_sc_hd__nor2_2
+XPHY_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70508_ _69960_/X _70508_/B _70364_/Y VGND VGND VPWR VPWR _70509_/B sky130_fd_sc_hd__or3_2
+XPHY_26763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77064_ _77606_/CLK _77064_/D VGND VGND VPWR VPWR _77064_/Q sky130_fd_sc_hd__dfxtp_4
+X_43456_ _43463_/A _43456_/B _56970_/A VGND VGND VPWR VPWR _43456_/Y sky130_fd_sc_hd__nand3_2
+X_62290_ _62216_/Y _62290_/B VGND VGND VPWR VPWR _76745_/D sky130_fd_sc_hd__nor2_2
+XPHY_16240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74276_ _38859_/A _74276_/B VGND VGND VPWR VPWR _74277_/A sky130_fd_sc_hd__or2_2
+XFILLER_400_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40668_ _40666_/X _40668_/B VGND VGND VPWR VPWR _79816_/D sky130_fd_sc_hd__nand2_2
+XPHY_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_657_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71488_ _71480_/X _71488_/B _71488_/C _71487_/Y VGND VGND VPWR VPWR _71488_/X sky130_fd_sc_hd__or4_2
+XPHY_16251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_619_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76015_ _76012_/A _76012_/B _66720_/A VGND VGND VPWR VPWR _76015_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_615_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42407_ _41914_/A _42406_/X _41913_/X VGND VGND VPWR VPWR _42408_/B sky130_fd_sc_hd__or3_2
+X_61241_ _61397_/A _61241_/B VGND VGND VPWR VPWR _61241_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_395_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73227_ _73227_/A VGND VGND VPWR VPWR _75035_/A sky130_fd_sc_hd__buf_1
+XFILLER_201_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46175_ _46447_/A _46175_/B VGND VGND VPWR VPWR _46175_/Y sky130_fd_sc_hd__nor2_2
+X_70439_ _70439_/A VGND VGND VPWR VPWR _70439_/Y sky130_fd_sc_hd__inv_8
+XFILLER_477_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43387_ _43373_/X _43387_/B _68766_/A VGND VGND VPWR VPWR _43387_/Y sky130_fd_sc_hd__nand3_2
+XPHY_16295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40599_ _40594_/X _40598_/X _66345_/A VGND VGND VPWR VPWR _40600_/B sky130_fd_sc_hd__nand3_2
+XFILLER_318_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_517_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_177_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_8_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_361_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45126_ _45112_/Y _45125_/Y _45407_/A VGND VGND VPWR VPWR _45126_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_664_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_617_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42338_ _79006_/Q VGND VGND VPWR VPWR _42339_/B sky130_fd_sc_hd__inv_8
+X_61172_ _60860_/X _61170_/Y _61172_/C VGND VGND VPWR VPWR _61173_/C sky130_fd_sc_hd__nor3_2
+XFILLER_129_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73158_ _74038_/A VGND VGND VPWR VPWR _74981_/A sky130_fd_sc_hd__buf_1
+XFILLER_613_2532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_506_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_390_3070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_176_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_47_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_723_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_45_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60123_ _76931_/Q _59800_/B VGND VGND VPWR VPWR _60123_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_333_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72109_ _69913_/X _72108_/Y VGND VGND VPWR VPWR _72109_/X sky130_fd_sc_hd__or2_2
+XFILLER_572_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_518_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_236_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49934_ _49932_/Y _49933_/X VGND VGND VPWR VPWR _49934_/Y sky130_fd_sc_hd__nand2_2
+X_45057_ _45057_/A VGND VGND VPWR VPWR _45060_/A sky130_fd_sc_hd__inv_8
+XPHY_32250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_144_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_171_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42269_ _41642_/C _43489_/A _46012_/A VGND VGND VPWR VPWR _42269_/X sky130_fd_sc_hd__or3_2
+XFILLER_683_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65980_ _65142_/A VGND VGND VPWR VPWR _65981_/B sky130_fd_sc_hd__buf_1
+X_73089_ _73089_/A _73089_/B _65094_/A VGND VGND VPWR VPWR _73089_/Y sky130_fd_sc_hd__nand3_2
+X_77966_ _77987_/CLK _77966_/D VGND VGND VPWR VPWR _61828_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_218_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_668_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_7_97_0_u_core.clock clkbuf_7_97_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_97_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_119_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44008_ _79265_/Q VGND VGND VPWR VPWR _44008_/Y sky130_fd_sc_hd__inv_8
+XFILLER_291_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_214_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79705_ _79703_/CLK _79705_/D VGND VGND VPWR VPWR _66137_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_711_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64931_ _81330_/Q _64770_/B VGND VGND VPWR VPWR _64933_/B sky130_fd_sc_hd__nor2_2
+X_60054_ _60054_/A VGND VGND VPWR VPWR _60055_/A sky130_fd_sc_hd__buf_1
+X_76917_ _76917_/CLK _54814_/Y VGND VGND VPWR VPWR _76917_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_629_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49865_ _62532_/A _49858_/B VGND VGND VPWR VPWR _49865_/Y sky130_fd_sc_hd__nand2_2
+XPHY_31560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_411_0_u_core.clock clkbuf_9_411_0_u_core.clock/A VGND VGND VPWR VPWR _79892_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_10_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77897_ _78800_/CLK _77897_/D VGND VGND VPWR VPWR _77897_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_468_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_447_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48816_ _48816_/A _48802_/B VGND VGND VPWR VPWR _48818_/A sky130_fd_sc_hd__nand2_2
+XFILLER_724_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_664_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67650_ _67650_/A _67650_/B _67650_/C VGND VGND VPWR VPWR _67651_/C sky130_fd_sc_hd__nor3_2
+XFILLER_80_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_230_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79636_ _79751_/CLK _41375_/Y VGND VGND VPWR VPWR _65306_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64862_ _75693_/C _64862_/B VGND VGND VPWR VPWR _64864_/B sky130_fd_sc_hd__nor2_2
+XFILLER_136_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76848_ _76848_/CLK _76848_/D VGND VGND VPWR VPWR _55088_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_683_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49796_ _49805_/A VGND VGND VPWR VPWR _49820_/B sky130_fd_sc_hd__buf_1
+XFILLER_41_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_411_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_252_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_100_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66601_ _66601_/A _66117_/B VGND VGND VPWR VPWR _66601_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_132_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_484_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63813_ _59287_/A _63811_/Y _63812_/Y VGND VGND VPWR VPWR _63817_/B sky130_fd_sc_hd__nor3_2
+XFILLER_100_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48747_ _48718_/A _48744_/B VGND VGND VPWR VPWR _48747_/X sky130_fd_sc_hd__or2_2
+XPHY_20380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67581_ _81186_/Q _68083_/B VGND VGND VPWR VPWR _67581_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_664_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79567_ _79568_/CLK _41631_/Y VGND VGND VPWR VPWR _64260_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_66_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45959_ _42678_/B _45959_/B VGND VGND VPWR VPWR _45960_/C sky130_fd_sc_hd__nor2_2
+XFILLER_171_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64793_ _64633_/A _64784_/Y _64792_/Y VGND VGND VPWR VPWR _64793_/Y sky130_fd_sc_hd__nor3_2
+X_76779_ _76779_/CLK _76779_/D VGND VGND VPWR VPWR _76779_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_724_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_227_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_367_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_456_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_663_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38500_ _38518_/A _38509_/B _68549_/A VGND VGND VPWR VPWR _38501_/B sky130_fd_sc_hd__nand3_2
+XFILLER_525_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69320_ _68888_/X _69320_/B _69320_/C VGND VGND VPWR VPWR _69324_/B sky130_fd_sc_hd__nor3_2
+XFILLER_226_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_620_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66532_ _66532_/A _66041_/X VGND VGND VPWR VPWR _66532_/Y sky130_fd_sc_hd__nor2_2
+X_78518_ _78523_/CLK _48728_/Y VGND VGND VPWR VPWR _63093_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_583_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39480_ _39480_/A _39480_/B VGND VGND VPWR VPWR _39480_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_97_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63744_ _63613_/A _63740_/Y _63744_/C VGND VGND VPWR VPWR _63744_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_416_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_113_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_503_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60956_ _60956_/A _60790_/B VGND VGND VPWR VPWR _60956_/Y sky130_fd_sc_hd__nor2_2
+X_48678_ _48675_/X VGND VGND VPWR VPWR _48682_/B sky130_fd_sc_hd__buf_1
+XFILLER_722_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79498_ _79497_/CLK _42253_/Y VGND VGND VPWR VPWR _79498_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_607_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_329_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_427_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_599_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_122_0_u_core.clock clkbuf_6_61_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_245_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_367_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_254_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38431_ _38421_/A _38425_/B _80376_/Q VGND VGND VPWR VPWR _38431_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_325_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_182_0_u_core.clock clkbuf_7_91_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_365_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_443_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69251_ _68972_/A _69247_/Y _69251_/C VGND VGND VPWR VPWR _69259_/B sky130_fd_sc_hd__nor3_2
+XFILLER_286_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47629_ _47886_/A _47600_/B VGND VGND VPWR VPWR _53437_/A sky130_fd_sc_hd__or2_2
+XFILLER_689_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66463_ _65798_/A _66459_/Y _66462_/Y VGND VGND VPWR VPWR _66463_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_471_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78449_ _77584_/CLK _49000_/Y VGND VGND VPWR VPWR _78449_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_74_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_705_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63675_ _63675_/A _63675_/B _63674_/Y VGND VGND VPWR VPWR _63675_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_169_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_431_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_471_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60887_ _60887_/A _60887_/B VGND VGND VPWR VPWR _60888_/B sky130_fd_sc_hd__nor2_2
+XFILLER_462_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68202_ _68202_/A _67545_/B VGND VGND VPWR VPWR _68203_/C sky130_fd_sc_hd__nor2_2
+XFILLER_632_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65414_ _65743_/A _65411_/Y _65413_/Y VGND VGND VPWR VPWR _65414_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_78_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50640_ _62789_/A _50651_/B VGND VGND VPWR VPWR _50643_/A sky130_fd_sc_hd__nand2_2
+X_38362_ _38399_/A VGND VGND VPWR VPWR _38374_/A sky130_fd_sc_hd__buf_1
+X_62626_ _62626_/A _63251_/B VGND VGND VPWR VPWR _62626_/Y sky130_fd_sc_hd__nor2_2
+X_69182_ _68888_/X _69182_/B _69181_/Y VGND VGND VPWR VPWR _69186_/B sky130_fd_sc_hd__nor3_2
+XFILLER_525_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81460_ _81326_/CLK _72311_/Y VGND VGND VPWR VPWR _56782_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_53_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66394_ _66394_/A _66394_/B VGND VGND VPWR VPWR _66394_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_503_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_129_0_u_core.clock clkbuf_7_64_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_259_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_17_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_341_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_108_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_62_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_189_0_u_core.clock clkbuf_8_94_0_u_core.clock/X VGND VGND VPWR VPWR _79511_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_679_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_503_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80411_ _80477_/CLK _38301_/Y VGND VGND VPWR VPWR _80411_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_440_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68133_ _67309_/A VGND VGND VPWR VPWR _68625_/B sky130_fd_sc_hd__buf_1
+XFILLER_345_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65345_ _64141_/A VGND VGND VPWR VPWR _67823_/A sky130_fd_sc_hd__buf_1
+XFILLER_165_1566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_104_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_182_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38293_ _38293_/A _38293_/B _80413_/Q VGND VGND VPWR VPWR _38293_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_601_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50571_ _50869_/A VGND VGND VPWR VPWR _50658_/A sky130_fd_sc_hd__buf_1
+XFILLER_479_2856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62557_ _61776_/A VGND VGND VPWR VPWR _62564_/A sky130_fd_sc_hd__buf_1
+XFILLER_327_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_241_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81391_ _81454_/CLK _72585_/Y VGND VGND VPWR VPWR _81391_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_538_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_201_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_206_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_8_77_0_u_core.clock clkbuf_8_76_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_77_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_411_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_384_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52310_ _52310_/A _52328_/B VGND VGND VPWR VPWR _52314_/A sky130_fd_sc_hd__nand2_2
+XFILLER_250_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_555_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61508_ _60889_/A _61508_/B _61508_/C VGND VGND VPWR VPWR _61509_/B sky130_fd_sc_hd__nor3_2
+XFILLER_52_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80342_ _80346_/CLK _38584_/Y VGND VGND VPWR VPWR _65583_/A sky130_fd_sc_hd__dfxtp_4
+X_68064_ _65388_/A VGND VGND VPWR VPWR _68562_/B sky130_fd_sc_hd__buf_1
+XFILLER_529_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53290_ _53204_/A _53293_/B VGND VGND VPWR VPWR _53290_/X sky130_fd_sc_hd__or2_2
+XFILLER_301_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65276_ _64569_/X _65274_/Y _65275_/Y VGND VGND VPWR VPWR _65280_/B sky130_fd_sc_hd__nor3_2
+XFILLER_397_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_143_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62488_ _61980_/X _62488_/B VGND VGND VPWR VPWR _62528_/B sky130_fd_sc_hd__nor2_2
+XFILLER_340_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_241_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_210_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_555_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67015_ _80318_/Q _67185_/B VGND VGND VPWR VPWR _67015_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_473_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_33_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52241_ _52241_/A _52247_/B VGND VGND VPWR VPWR _52243_/A sky130_fd_sc_hd__nand2_2
+XFILLER_13_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_558_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64227_ _64226_/X VGND VGND VPWR VPWR _64241_/A sky130_fd_sc_hd__buf_1
+XFILLER_379_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61439_ _61439_/A _60972_/X VGND VGND VPWR VPWR _61439_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_378_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80273_ _80332_/CLK _38852_/Y VGND VGND VPWR VPWR _80273_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_714_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_11_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_102_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_574_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_198_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_541_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52172_ _52172_/A _52187_/B VGND VGND VPWR VPWR _52172_/X sky130_fd_sc_hd__or2_2
+X_64158_ _69300_/A _64158_/B _64157_/Y VGND VGND VPWR VPWR _64194_/A sky130_fd_sc_hd__nor3_2
+XFILLER_532_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_702_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_352_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_375_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_198_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_716_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_571_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_102_1561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51123_ _51113_/X VGND VGND VPWR VPWR _51123_/X sky130_fd_sc_hd__buf_1
+XFILLER_490_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63109_ _59676_/A VGND VGND VPWR VPWR _63710_/A sky130_fd_sc_hd__buf_1
+XPHY_12209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_146_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_698_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56980_ _56806_/X _56976_/Y _56980_/C VGND VGND VPWR VPWR _56980_/Y sky130_fd_sc_hd__nor3_2
+X_64089_ _64134_/A VGND VGND VPWR VPWR _66702_/A sky130_fd_sc_hd__buf_1
+XFILLER_541_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68966_ _68966_/A _68803_/B VGND VGND VPWR VPWR _68966_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_293_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_454_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_11_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_432_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_631_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_514_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_724_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67917_ _81188_/Q _68083_/B VGND VGND VPWR VPWR _67917_/Y sky130_fd_sc_hd__nor2_2
+X_51054_ _61701_/A _51054_/B VGND VGND VPWR VPWR _51056_/A sky130_fd_sc_hd__nand2_2
+XFILLER_28_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55931_ _69608_/B _55901_/C _69807_/Y _55896_/X VGND VGND VPWR VPWR _55932_/C sky130_fd_sc_hd__o22a_4
+XFILLER_236_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68897_ _81098_/Q _68897_/B VGND VGND VPWR VPWR _68898_/C sky130_fd_sc_hd__nor2_2
+XFILLER_521_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_232_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_639_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50005_ _50005_/A _49977_/B VGND VGND VPWR VPWR _50015_/A sky130_fd_sc_hd__or2_2
+XFILLER_104_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39816_ _39816_/A _39816_/B _39816_/C VGND VGND VPWR VPWR _39816_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_157_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_172_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58650_ _58430_/A _58650_/B _58649_/Y VGND VGND VPWR VPWR _58654_/B sky130_fd_sc_hd__nor3_2
+XFILLER_330_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55862_ _46619_/A _55862_/B VGND VGND VPWR VPWR _78875_/D sky130_fd_sc_hd__or2_2
+X_67848_ _67828_/Y _67848_/B VGND VGND VPWR VPWR _67849_/B sky130_fd_sc_hd__nor2_2
+XPHY_10829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_252_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_162_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_63_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57601_ _58399_/A VGND VGND VPWR VPWR _57705_/A sky130_fd_sc_hd__buf_1
+XFILLER_664_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54813_ _54813_/A _54797_/A VGND VGND VPWR VPWR _54813_/X sky130_fd_sc_hd__or2_2
+XFILLER_410_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_629_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39747_ _39747_/A VGND VGND VPWR VPWR _40257_/A sky130_fd_sc_hd__buf_1
+XFILLER_63_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58581_ _40024_/C _58648_/B VGND VGND VPWR VPWR _58581_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_311_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_264_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_96_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55793_ _53078_/A _55793_/B VGND VGND VPWR VPWR _55793_/X sky130_fd_sc_hd__or2_2
+X_67779_ _79587_/Q _67779_/B VGND VGND VPWR VPWR _67781_/B sky130_fd_sc_hd__nor2_2
+XFILLER_44_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_57_0_u_core.clock clkbuf_9_57_0_u_core.clock/A VGND VGND VPWR VPWR _78580_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_723_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_24_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_187_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_382_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69518_ _64561_/A _69518_/B _69518_/C VGND VGND VPWR VPWR _69519_/C sky130_fd_sc_hd__nor3_2
+X_57532_ _57532_/A _57213_/B VGND VGND VPWR VPWR _57534_/B sky130_fd_sc_hd__nor2_2
+XPHY_5403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54744_ _54801_/A _54753_/B VGND VGND VPWR VPWR _54745_/B sky130_fd_sc_hd__or2_2
+XFILLER_113_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39678_ _39678_/A _39678_/B _67006_/A VGND VGND VPWR VPWR _39679_/B sky130_fd_sc_hd__nand3_2
+X_51956_ _51953_/Y _51956_/B VGND VGND VPWR VPWR _77674_/D sky130_fd_sc_hd__nand2_2
+XFILLER_382_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70790_ _64066_/A _70790_/B VGND VGND VPWR VPWR _70794_/A sky130_fd_sc_hd__nor2_2
+XFILLER_699_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38629_ _38637_/A _38641_/B _38629_/C VGND VGND VPWR VPWR _38629_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_508_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50907_ _50907_/A _50906_/X VGND VGND VPWR VPWR _50907_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_287_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_217_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57463_ _57540_/A _57462_/Y VGND VGND VPWR VPWR _57463_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_144_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69449_ _38349_/C _64167_/B VGND VGND VPWR VPWR _69450_/C sky130_fd_sc_hd__nor2_2
+XFILLER_462_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54675_ _54794_/A _54694_/B VGND VGND VPWR VPWR _54676_/B sky130_fd_sc_hd__or2_2
+XPHY_19838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37206 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51887_ _51884_/Y _51886_/X VGND VGND VPWR VPWR _51887_/Y sky130_fd_sc_hd__nand2_2
+XPHY_4724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_96_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37217 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59202_ _59202_/A _59202_/B _59202_/C VGND VGND VPWR VPWR _59202_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_92_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37228 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56414_ _56200_/X _56317_/X _56411_/X _74539_/Y _56413_/X VGND VGND VPWR VPWR _56414_/Y
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_341_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53626_ _53626_/A VGND VGND VPWR VPWR _53661_/A sky130_fd_sc_hd__buf_1
+XFILLER_441_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41640_ _41640_/A VGND VGND VPWR VPWR _46012_/A sky130_fd_sc_hd__inv_8
+XFILLER_232_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37239 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72460_ _72457_/A _72463_/B _64596_/A VGND VGND VPWR VPWR _72461_/B sky130_fd_sc_hd__nand3_2
+XFILLER_272_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50838_ _61828_/A _50823_/B VGND VGND VPWR VPWR _50840_/A sky130_fd_sc_hd__nand2_2
+XFILLER_70_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57394_ _57718_/A _57392_/Y _57393_/Y VGND VGND VPWR VPWR _57398_/B sky130_fd_sc_hd__nor3_2
+XFILLER_183_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_129_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_1527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_461_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_96_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_36527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59133_ _59110_/A VGND VGND VPWR VPWR _62852_/A sky130_fd_sc_hd__buf_1
+XFILLER_263_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_71411_ _76810_/Q VGND VGND VPWR VPWR _71412_/A sky130_fd_sc_hd__inv_8
+XFILLER_697_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80609_ _80259_/CLK _80609_/D VGND VGND VPWR VPWR _75760_/C sky130_fd_sc_hd__dfxtp_4
+X_56345_ _56345_/A VGND VGND VPWR VPWR _56346_/B sky130_fd_sc_hd__buf_1
+XPHY_26015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41571_ _41569_/X _41571_/B VGND VGND VPWR VPWR _79584_/D sky130_fd_sc_hd__nand2_2
+XFILLER_243_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53557_ _53557_/A _53437_/B VGND VGND VPWR VPWR _53567_/A sky130_fd_sc_hd__or2_2
+XPHY_36549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72391_ _72754_/A _72394_/B VGND VGND VPWR VPWR _72393_/A sky130_fd_sc_hd__or2_2
+XFILLER_359_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50769_ _50785_/B VGND VGND VPWR VPWR _50779_/B sky130_fd_sc_hd__buf_1
+XFILLER_213_463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_548_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_386_242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_387_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43310_ _42948_/A _43294_/X VGND VGND VPWR VPWR _43312_/A sky130_fd_sc_hd__or2_2
+XFILLER_658_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74130_ _71945_/A VGND VGND VPWR VPWR _76201_/A sky130_fd_sc_hd__buf_1
+XFILLER_16_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40522_ _40519_/X VGND VGND VPWR VPWR _40522_/X sky130_fd_sc_hd__buf_1
+XPHY_25314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52508_ _52508_/A _52508_/B VGND VGND VPWR VPWR _52508_/Y sky130_fd_sc_hd__nand2_2
+XPHY_26059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59064_ _59063_/X VGND VGND VPWR VPWR _59064_/X sky130_fd_sc_hd__buf_1
+X_71342_ _71301_/X _71308_/X _71309_/X _42569_/B VGND VGND VPWR VPWR _71343_/A sky130_fd_sc_hd__o22a_4
+XFILLER_521_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_725_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56276_ _58301_/A VGND VGND VPWR VPWR _58816_/B sky130_fd_sc_hd__buf_1
+XFILLER_347_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44290_ _64860_/A _44273_/B _44290_/C VGND VGND VPWR VPWR _44290_/X sky130_fd_sc_hd__and3_2
+XFILLER_322_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_328_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53488_ _53488_/A _53487_/X VGND VGND VPWR VPWR _53488_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_52_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_595_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_478_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_591_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58015_ _57014_/A VGND VGND VPWR VPWR _58015_/X sky130_fd_sc_hd__buf_1
+XFILLER_122_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_554_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_529_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43241_ _43241_/A _43217_/A VGND VGND VPWR VPWR _43241_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_205_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74061_ _74596_/A _74061_/B VGND VGND VPWR VPWR _74061_/X sky130_fd_sc_hd__or2_2
+X_55227_ _69991_/A _55227_/B VGND VGND VPWR VPWR _55227_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_517_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40453_ _40449_/A _40443_/B _57474_/A VGND VGND VPWR VPWR _40453_/Y sky130_fd_sc_hd__nand3_2
+XPHY_24624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52439_ _52429_/X VGND VGND VPWR VPWR _52439_/X sky130_fd_sc_hd__buf_1
+XPHY_25369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71273_ _71357_/A _71273_/B VGND VGND VPWR VPWR _71273_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2088 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_2576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_35_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_322_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_671_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73012_ _73010_/Y _73011_/X VGND VGND VPWR VPWR _73012_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_68_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70224_ _70183_/A _70224_/B _70224_/C VGND VGND VPWR VPWR _70225_/A sky130_fd_sc_hd__or3_2
+XFILLER_554_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43172_ _46168_/A _46166_/B VGND VGND VPWR VPWR _43172_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_689_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_55158_ _76839_/Q _55158_/B VGND VGND VPWR VPWR _55158_/X sky130_fd_sc_hd__and2_2
+XPHY_13400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40384_ _40368_/A VGND VGND VPWR VPWR _40409_/A sky130_fd_sc_hd__buf_1
+XFILLER_100_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_652_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_491_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42123_ _70428_/A _42126_/B VGND VGND VPWR VPWR _42123_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54109_ _54067_/A _54124_/B VGND VGND VPWR VPWR _54110_/B sky130_fd_sc_hd__or2_2
+XFILLER_275_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_5_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77820_ _77811_/CLK _77820_/D VGND VGND VPWR VPWR _51398_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_68_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_335_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70155_ _70155_/A _70180_/B VGND VGND VPWR VPWR _70157_/B sky130_fd_sc_hd__nor2_2
+XPHY_14189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47980_ _47976_/X VGND VGND VPWR VPWR _48000_/B sky130_fd_sc_hd__buf_1
+XPHY_23978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59966_ _59613_/X _59966_/B VGND VGND VPWR VPWR _60005_/B sky130_fd_sc_hd__nor2_2
+X_55089_ _55085_/Y _55089_/B VGND VGND VPWR VPWR _76848_/D sky130_fd_sc_hd__or2_2
+XPHY_12710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_720_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_231_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46931_ _46972_/A VGND VGND VPWR VPWR _46932_/B sky130_fd_sc_hd__buf_1
+X_42054_ _72064_/A _42053_/X VGND VGND VPWR VPWR _42054_/Y sky130_fd_sc_hd__nor2_2
+XPHY_13488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58917_ _60033_/A VGND VGND VPWR VPWR _59787_/A sky130_fd_sc_hd__buf_1
+XFILLER_272_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77751_ _76890_/CLK _51667_/Y VGND VGND VPWR VPWR _51665_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_194_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74963_ _74963_/A VGND VGND VPWR VPWR _75019_/A sky130_fd_sc_hd__buf_1
+XFILLER_313_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70086_ _70079_/A VGND VGND VPWR VPWR _70086_/Y sky130_fd_sc_hd__inv_8
+XPHY_13499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_669_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59897_ _59897_/A _59897_/B VGND VGND VPWR VPWR _59931_/B sky130_fd_sc_hd__nor2_2
+XFILLER_4_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_20_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_333_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41005_ _41005_/A _41010_/B _58842_/A VGND VGND VPWR VPWR _41005_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_62_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76702_ _76714_/CLK _76702_/D VGND VGND VPWR VPWR _70401_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_333_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_1_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49650_ _78283_/Q _49644_/X VGND VGND VPWR VPWR _49653_/A sky130_fd_sc_hd__nand2_2
+XFILLER_532_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73914_ _73793_/A _73904_/B VGND VGND VPWR VPWR _73914_/X sky130_fd_sc_hd__or2_2
+XFILLER_510_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_673_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46862_ _46028_/A VGND VGND VPWR VPWR _46863_/A sky130_fd_sc_hd__buf_1
+XPHY_12798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58848_ _56200_/A _58814_/X _58847_/X _74406_/A _56413_/A VGND VGND VPWR VPWR _58848_/Y
++ sky130_fd_sc_hd__a32oi_4
+X_77682_ _77190_/CLK _77682_/D VGND VGND VPWR VPWR _61294_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_110_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74894_ _75256_/A _74894_/B VGND VGND VPWR VPWR _74894_/X sky130_fd_sc_hd__or2_2
+XFILLER_565_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_81_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_657_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48601_ _78550_/Q _48583_/B VGND VGND VPWR VPWR _48601_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_509_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_122_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79421_ _79426_/CLK _42969_/Y VGND VGND VPWR VPWR _65986_/A sky130_fd_sc_hd__dfxtp_4
+X_45813_ _45813_/A _45810_/Y _45813_/C VGND VGND VPWR VPWR _45813_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_23_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76633_ io_out[24] VGND VGND VPWR VPWR la_data_out[37] sky130_fd_sc_hd__buf_2
+XPHY_8073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_548_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_267_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_313_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_73845_ _73965_/A _73845_/B VGND VGND VPWR VPWR _73845_/X sky130_fd_sc_hd__or2_2
+XFILLER_484_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49581_ _49581_/A _49580_/X VGND VGND VPWR VPWR _49581_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_661_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_526_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_48_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46793_ _78954_/Q _46793_/B VGND VGND VPWR VPWR _46793_/Y sky130_fd_sc_hd__nor2_2
+X_58779_ _56538_/A _58778_/Y VGND VGND VPWR VPWR _58779_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_311_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_628_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_310_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_208_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_286_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_62_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60810_ _77735_/Q _60189_/B VGND VGND VPWR VPWR _60811_/C sky130_fd_sc_hd__nor2_2
+XFILLER_425_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48532_ _63600_/A _48521_/B VGND VGND VPWR VPWR _48534_/A sky130_fd_sc_hd__nand2_2
+X_79352_ _79353_/CLK _79352_/D VGND VGND VPWR VPWR _43427_/C sky130_fd_sc_hd__dfxtp_4
+X_45744_ _45744_/A _45754_/B VGND VGND VPWR VPWR _45749_/A sky130_fd_sc_hd__nor2_2
+XPHY_39120 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76564_ VGND VGND VPWR VPWR _76564_/HI la_data_out[60] sky130_fd_sc_hd__conb_1
+XPHY_7383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42956_ _42949_/A _42949_/B _57361_/A VGND VGND VPWR VPWR _42957_/B sky130_fd_sc_hd__nand3_2
+XFILLER_409_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61790_ _61009_/A VGND VGND VPWR VPWR _61793_/A sky130_fd_sc_hd__buf_1
+XFILLER_212_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73776_ _73776_/A _73776_/B _81096_/Q VGND VGND VPWR VPWR _73776_/Y sky130_fd_sc_hd__nand3_2
+XPHY_39131 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70988_ _70901_/Y _70987_/X VGND VGND VPWR VPWR _70989_/B sky130_fd_sc_hd__nor2_2
+XFILLER_626_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39142 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_236_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39153 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78303_ _78287_/CLK _78303_/D VGND VGND VPWR VPWR _78303_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_561_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75515_ _75515_/A VGND VGND VPWR VPWR _76159_/A sky130_fd_sc_hd__buf_1
+X_41907_ _46012_/A _41907_/B _41643_/X _41649_/D VGND VGND VPWR VPWR _41908_/D sky130_fd_sc_hd__or4_2
+XPHY_39164 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60741_ _77423_/Q _60436_/B VGND VGND VPWR VPWR _60743_/B sky130_fd_sc_hd__nor2_2
+XPHY_6682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48463_ _48460_/Y _48462_/X VGND VGND VPWR VPWR _78587_/D sky130_fd_sc_hd__nand2_2
+XFILLER_598_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72727_ _72725_/X _72727_/B VGND VGND VPWR VPWR _81355_/D sky130_fd_sc_hd__nand2_2
+XFILLER_64_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79283_ _79216_/CLK _43901_/Y VGND VGND VPWR VPWR _43896_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38430 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45675_ _43527_/A _43533_/B VGND VGND VPWR VPWR _45675_/X sky130_fd_sc_hd__or2_2
+X_76495_ VGND VGND VPWR VPWR _76495_/HI io_out[18] sky130_fd_sc_hd__conb_1
+XPHY_39175 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42887_ _42883_/X _42886_/Y VGND VGND VPWR VPWR _79443_/D sky130_fd_sc_hd__nand2_2
+XFILLER_480_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38441 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39186 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38452 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39197 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47414_ _63052_/A _47414_/B VGND VGND VPWR VPWR _47416_/A sky130_fd_sc_hd__nand2_2
+X_78234_ _77689_/CLK _78234_/D VGND VGND VPWR VPWR _49837_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_38463 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44626_ _44514_/Y VGND VGND VPWR VPWR _44628_/A sky130_fd_sc_hd__buf_1
+X_75446_ _76089_/A VGND VGND VPWR VPWR _75966_/A sky130_fd_sc_hd__buf_1
+XFILLER_75_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63460_ _63460_/A _62837_/X VGND VGND VPWR VPWR _63461_/C sky130_fd_sc_hd__nor2_2
+XFILLER_217_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_463_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_290_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41838_ _79554_/Q VGND VGND VPWR VPWR _46637_/A sky130_fd_sc_hd__inv_8
+XPHY_38474 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60672_ _77630_/Q _60519_/B VGND VGND VPWR VPWR _60674_/B sky130_fd_sc_hd__nor2_2
+X_48394_ _48394_/A _48394_/B VGND VGND VPWR VPWR _48395_/A sky130_fd_sc_hd__or2_2
+XPHY_5992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72658_ _72676_/A VGND VGND VPWR VPWR _72673_/B sky130_fd_sc_hd__buf_1
+XFILLER_242_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37740 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38485 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37751 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_90_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38496 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62411_ _62411_/A _61940_/B VGND VGND VPWR VPWR _62411_/Y sky130_fd_sc_hd__nor2_2
+XPHY_37762 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_3107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_189_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_201_0_u_core.clock clkbuf_9_201_0_u_core.clock/A VGND VGND VPWR VPWR _79347_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_16_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47345_ _42248_/Y _47337_/X _42250_/Y _47338_/X VGND VGND VPWR VPWR _47527_/A sky130_fd_sc_hd__o22a_4
+XFILLER_229_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71609_ _43872_/A VGND VGND VPWR VPWR _42944_/A sky130_fd_sc_hd__buf_1
+XPHY_37773 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78165_ _78170_/CLK _78165_/D VGND VGND VPWR VPWR _50087_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_381_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44557_ _44557_/A _44557_/B _44542_/C VGND VGND VPWR VPWR _44557_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_324_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63391_ _63675_/A _63391_/B _63390_/Y VGND VGND VPWR VPWR _63391_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_44_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75377_ _75256_/A _75373_/B VGND VGND VPWR VPWR _75377_/X sky130_fd_sc_hd__or2_2
+XFILLER_162_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41769_ _41764_/X _41768_/X VGND VGND VPWR VPWR _41769_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_166_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37784 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72589_ _72591_/A VGND VGND VPWR VPWR _72703_/B sky130_fd_sc_hd__buf_1
+XFILLER_260_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_182_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37795 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_220_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65130_ _56727_/A _64629_/X VGND VGND VPWR VPWR _65131_/C sky130_fd_sc_hd__nor2_2
+XFILLER_719_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77116_ _77163_/CLK _54068_/Y VGND VGND VPWR VPWR _60361_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_397_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43508_ _43508_/A _46313_/B VGND VGND VPWR VPWR _43508_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_160_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62342_ _59645_/A VGND VGND VPWR VPWR _62342_/X sky130_fd_sc_hd__buf_1
+XFILLER_51_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74328_ _74291_/A VGND VGND VPWR VPWR _74328_/X sky130_fd_sc_hd__buf_1
+XFILLER_717_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47276_ _47276_/A _47276_/B VGND VGND VPWR VPWR _47277_/B sky130_fd_sc_hd__and2_2
+XFILLER_182_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_572_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78096_ _78675_/CLK _78096_/D VGND VGND VPWR VPWR _50355_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_18_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_539_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44488_ _74728_/A _44503_/B VGND VGND VPWR VPWR _44489_/C sky130_fd_sc_hd__nor2_2
+XFILLER_179_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_672_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_375_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_337_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_370_0_u_core.clock clkbuf_9_371_0_u_core.clock/A VGND VGND VPWR VPWR _77699_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_392_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49015_ _49014_/X VGND VGND VPWR VPWR _49016_/B sky130_fd_sc_hd__buf_1
+XFILLER_223_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46227_ _41969_/B _46226_/X VGND VGND VPWR VPWR _46233_/A sky130_fd_sc_hd__or2_2
+XFILLER_129_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65061_ _38449_/C _65246_/B VGND VGND VPWR VPWR _65061_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_526_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77047_ _77408_/CLK _77047_/D VGND VGND VPWR VPWR _59527_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_392_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43439_ _43439_/A _43439_/B VGND VGND VPWR VPWR _79349_/D sky130_fd_sc_hd__nand2_2
+XPHY_16070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62273_ _61956_/X _62271_/Y _62272_/Y VGND VGND VPWR VPWR _62273_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_537_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74259_ _74257_/X _74258_/Y VGND VGND VPWR VPWR _80980_/D sky130_fd_sc_hd__nand2_2
+XFILLER_340_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_438_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64012_ _64012_/A _64010_/Y _64011_/Y VGND VGND VPWR VPWR _64013_/C sky130_fd_sc_hd__nor3_2
+XFILLER_118_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61224_ _51953_/A _61066_/B VGND VGND VPWR VPWR _61225_/C sky130_fd_sc_hd__nor2_2
+XPHY_25892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_507_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46158_ _46149_/Y _46157_/X VGND VGND VPWR VPWR _46158_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_117_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_317_0_u_core.clock clkbuf_9_317_0_u_core.clock/A VGND VGND VPWR VPWR _81106_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_353_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_572_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_2595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_507_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_145_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_550_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_0 io_in[17] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_45109_ _45082_/A _55526_/B VGND VGND VPWR VPWR _45109_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_177_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68820_ _58455_/A _68981_/B VGND VGND VPWR VPWR _68820_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_12_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61155_ _60534_/A _61155_/B _61154_/Y VGND VGND VPWR VPWR _61156_/B sky130_fd_sc_hd__nor3_2
+XFILLER_451_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38980_ _38980_/A _38980_/B VGND VGND VPWR VPWR _38980_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_550_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_523_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46089_ _46089_/A _46089_/B VGND VGND VPWR VPWR _46089_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_550_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78998_ _79565_/CLK _46579_/X VGND VGND VPWR VPWR _78998_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_416_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_687_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_550_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_433_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_138_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60106_ _59937_/A _60106_/B _60106_/C VGND VGND VPWR VPWR _60110_/B sky130_fd_sc_hd__nor3_2
+XFILLER_333_378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_511_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_490_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49917_ _49917_/A _49932_/B VGND VGND VPWR VPWR _49918_/B sky130_fd_sc_hd__or2_2
+XPHY_32080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_486_0_u_core.clock clkbuf_9_487_0_u_core.clock/A VGND VGND VPWR VPWR _80367_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_68751_ _68751_/A _69063_/B VGND VGND VPWR VPWR _68751_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_327_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_488_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_271_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61086_ _77361_/Q _61086_/B VGND VGND VPWR VPWR _61087_/C sky130_fd_sc_hd__nor2_2
+XFILLER_173_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65963_ _64267_/X VGND VGND VPWR VPWR _65973_/A sky130_fd_sc_hd__buf_1
+XFILLER_695_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77949_ _77950_/CLK _50907_/Y VGND VGND VPWR VPWR _61681_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_613_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_648_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_193_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_99_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67702_ _66056_/A VGND VGND VPWR VPWR _67705_/A sky130_fd_sc_hd__buf_1
+XFILLER_429_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_566_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_119_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64914_ _81010_/Q _64914_/B VGND VGND VPWR VPWR _64914_/Y sky130_fd_sc_hd__nor2_2
+X_60037_ _60037_/A _60361_/B VGND VGND VPWR VPWR _60037_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_676_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49848_ _49933_/A _49845_/B VGND VGND VPWR VPWR _49848_/X sky130_fd_sc_hd__or2_2
+XPHY_31390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80960_ _80929_/CLK _74334_/Y VGND VGND VPWR VPWR _80960_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_433_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68682_ _64117_/A VGND VGND VPWR VPWR _69212_/A sky130_fd_sc_hd__buf_1
+XFILLER_64_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65894_ _65894_/A VGND VGND VPWR VPWR _66562_/A sky130_fd_sc_hd__buf_1
+XFILLER_80_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_636_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_663_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_171_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39601_ _39592_/A _39592_/B _80082_/Q VGND VGND VPWR VPWR _39601_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_626_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_448_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67633_ _67633_/A _67306_/X VGND VGND VPWR VPWR _67634_/C sky130_fd_sc_hd__nor2_2
+XFILLER_253_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79619_ _79607_/CLK _79619_/D VGND VGND VPWR VPWR _58002_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_98_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64845_ _39092_/C _64410_/X VGND VGND VPWR VPWR _64846_/C sky130_fd_sc_hd__nor2_2
+XFILLER_664_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_691_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49779_ _49777_/Y _49778_/X VGND VGND VPWR VPWR _49779_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_140_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80891_ _80891_/CLK _80891_/D VGND VGND VPWR VPWR _74619_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_3_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_413_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_446_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_23_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_416_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_560_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_544_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51810_ _51808_/Y _51809_/X VGND VGND VPWR VPWR _77713_/D sky130_fd_sc_hd__nand2_2
+X_39532_ _39529_/A _39529_/B _58132_/A VGND VGND VPWR VPWR _39533_/B sky130_fd_sc_hd__nand3_2
+XFILLER_429_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67564_ _81154_/Q _67740_/B VGND VGND VPWR VPWR _67564_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_67_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52790_ _52787_/Y _52789_/X VGND VGND VPWR VPWR _52790_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_645_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64776_ _64776_/A _64775_/Y VGND VGND VPWR VPWR _64777_/C sky130_fd_sc_hd__nor2_2
+XFILLER_255_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61988_ _59621_/A VGND VGND VPWR VPWR _62613_/B sky130_fd_sc_hd__buf_1
+XFILLER_710_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_66_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_266_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_579_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69303_ _69303_/A _69301_/Y _69303_/C VGND VGND VPWR VPWR _69303_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_445_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66515_ _66515_/A _66513_/Y _66515_/C VGND VGND VPWR VPWR _66515_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_282_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_215_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51741_ _61590_/A _51752_/B VGND VGND VPWR VPWR _51741_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_39_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39463_ _39426_/A VGND VGND VPWR VPWR _39463_/X sky130_fd_sc_hd__buf_1
+XFILLER_416_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63727_ _63727_/A _63454_/X VGND VGND VPWR VPWR _63729_/B sky130_fd_sc_hd__nor2_2
+XFILLER_444_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60939_ _60939_/A _60628_/B VGND VGND VPWR VPWR _60939_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_227_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67495_ _67495_/A _67495_/B VGND VGND VPWR VPWR _67497_/B sky130_fd_sc_hd__nor2_2
+XFILLER_446_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_620_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_282_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38414_ _38414_/A _38413_/Y VGND VGND VPWR VPWR _80381_/D sky130_fd_sc_hd__nand2_2
+XFILLER_471_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81512_ _81509_/CLK _71267_/Y VGND VGND VPWR VPWR _68615_/A sky130_fd_sc_hd__dfxtp_4
+X_69234_ _69512_/A _69230_/Y _69234_/C VGND VGND VPWR VPWR _69242_/B sky130_fd_sc_hd__nor3_2
+XFILLER_58_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_604_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54460_ _54460_/A _54340_/B VGND VGND VPWR VPWR _54463_/A sky130_fd_sc_hd__or2_2
+X_66446_ _81403_/Q _66116_/B VGND VGND VPWR VPWR _66446_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_247_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_388_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39394_ _39399_/A _39391_/B _80138_/Q VGND VGND VPWR VPWR _39394_/Y sky130_fd_sc_hd__nand3_2
+X_51672_ _51588_/A _51656_/A VGND VGND VPWR VPWR _51673_/B sky130_fd_sc_hd__or2_2
+XFILLER_58_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_148_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_705_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63658_ _49152_/A _64051_/B VGND VGND VPWR VPWR _63659_/C sky130_fd_sc_hd__nor2_2
+XFILLER_620_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_599_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_97_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_694_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_247_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_93_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_49 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_165_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_503_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_397_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53411_ _53441_/A _53426_/B VGND VGND VPWR VPWR _53411_/X sky130_fd_sc_hd__or2_2
+XFILLER_223_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_694_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38345_ _38357_/A VGND VGND VPWR VPWR _38460_/B sky130_fd_sc_hd__buf_1
+X_50623_ _62333_/A _50611_/X VGND VGND VPWR VPWR _50623_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_718_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62609_ _62609_/A _61983_/X VGND VGND VPWR VPWR _62609_/Y sky130_fd_sc_hd__nor2_2
+X_69165_ _69303_/A _69163_/Y _69165_/C VGND VGND VPWR VPWR _69165_/Y sky130_fd_sc_hd__nor3_2
+X_81443_ _81446_/CLK _81443_/D VGND VGND VPWR VPWR _67763_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_39_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_165_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_184_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54391_ _54388_/Y _54391_/B VGND VGND VPWR VPWR _54391_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_143_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66377_ _44367_/A _66209_/B VGND VGND VPWR VPWR _66380_/A sky130_fd_sc_hd__nor2_2
+XFILLER_303_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63589_ _48436_/A _63123_/X VGND VGND VPWR VPWR _63589_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_557_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_323_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_499_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_223_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56130_ _56144_/A _56130_/B VGND VGND VPWR VPWR _56131_/B sky130_fd_sc_hd__nor2_2
+XFILLER_412_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_401_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68116_ _68611_/A _68114_/Y _68115_/Y VGND VGND VPWR VPWR _68116_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_17_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53342_ _53182_/A VGND VGND VPWR VPWR _53643_/A sky130_fd_sc_hd__buf_1
+XFILLER_397_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65328_ _65310_/Y _65328_/B VGND VGND VPWR VPWR _65328_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_600_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_707_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38276_ _38274_/X _38275_/Y VGND VGND VPWR VPWR _80418_/D sky130_fd_sc_hd__nand2_2
+XFILLER_596_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50554_ _50852_/A VGND VGND VPWR VPWR _50645_/A sky130_fd_sc_hd__buf_1
+X_81374_ _81435_/CLK _81374_/D VGND VGND VPWR VPWR _66942_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69096_ _58624_/A _69236_/B VGND VGND VPWR VPWR _69096_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_56_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_401_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_41_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_52_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56061_ _56081_/A _56061_/B _56061_/C VGND VGND VPWR VPWR _56062_/C sky130_fd_sc_hd__nor3_2
+X_68047_ _67223_/A VGND VGND VPWR VPWR _68048_/B sky130_fd_sc_hd__buf_1
+X_80325_ _80354_/CLK _38661_/Y VGND VGND VPWR VPWR _80325_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_475_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_3073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53273_ _53273_/A _53272_/X VGND VGND VPWR VPWR _53273_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_692_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65259_ _73607_/C _64741_/B VGND VGND VPWR VPWR _65260_/C sky130_fd_sc_hd__nor2_2
+XFILLER_421_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_3030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50485_ _50483_/Y _50484_/X VGND VGND VPWR VPWR _50485_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_378_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_124_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55012_ _55010_/Y _55012_/B VGND VGND VPWR VPWR _55012_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_41_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_109_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52224_ _52224_/A _52223_/X VGND VGND VPWR VPWR _52227_/A sky130_fd_sc_hd__nand2_2
+XPHY_23219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_293_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80256_ _80259_/CLK _80256_/D VGND VGND VPWR VPWR _38918_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_491_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_309_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_541_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_418_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_631_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59820_ _54502_/A _59495_/B VGND VGND VPWR VPWR _59820_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_438_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_324_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_351_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_108_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52155_ _52151_/A VGND VGND VPWR VPWR _52184_/B sky130_fd_sc_hd__buf_1
+XFILLER_135_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80187_ _80187_/CLK _39196_/Y VGND VGND VPWR VPWR _66521_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_516_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_178_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69998_ _69998_/A VGND VGND VPWR VPWR _70680_/A sky130_fd_sc_hd__inv_8
+XFILLER_541_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_334_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_219_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51106_ _51104_/Y _51105_/X VGND VGND VPWR VPWR _51106_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_687_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59751_ _77288_/Q _59751_/B VGND VGND VPWR VPWR _59753_/B sky130_fd_sc_hd__nor2_2
+XFILLER_85_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_312_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52086_ _52084_/Y _52085_/X VGND VGND VPWR VPWR _77639_/D sky130_fd_sc_hd__nand2_2
+X_56963_ _56888_/A _56963_/B _56963_/C VGND VGND VPWR VPWR _56963_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_513_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68949_ _80970_/Q _68787_/B VGND VGND VPWR VPWR _68951_/B sky130_fd_sc_hd__nor2_2
+XPHY_21839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_454_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_330_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2146 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58702_ _58836_/A _58702_/B _58701_/Y VGND VGND VPWR VPWR _58702_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_631_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51037_ _51037_/A _51036_/X VGND VGND VPWR VPWR _51037_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_278_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55914_ _70580_/A _55890_/A VGND VGND VPWR VPWR _55915_/A sky130_fd_sc_hd__nor2_2
+XFILLER_655_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_28_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71960_ _71960_/A _71957_/Y _71960_/C VGND VGND VPWR VPWR _71961_/C sky130_fd_sc_hd__or3_2
+XFILLER_666_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59682_ _59682_/A _59682_/B _59681_/Y VGND VGND VPWR VPWR _59683_/C sky130_fd_sc_hd__nor3_2
+XPHY_10615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_439_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_467_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56894_ _56798_/X _56894_/B _56893_/Y VGND VGND VPWR VPWR _56894_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_510_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_232_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_493_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_115_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70911_ _70460_/D VGND VGND VPWR VPWR _70912_/D sky130_fd_sc_hd__inv_8
+XPHY_10648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_35_0_u_core.clock clkbuf_6_35_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_71_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_58633_ _69074_/A _58633_/B VGND VGND VPWR VPWR _58633_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_486_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_644_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55845_ _76759_/Q _55830_/B VGND VGND VPWR VPWR _55845_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_643_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_71891_ _71889_/X _71890_/Y VGND VGND VPWR VPWR _81493_/D sky130_fd_sc_hd__nand2_2
+XFILLER_369_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_237_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_486_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42810_ _55885_/B _42576_/A VGND VGND VPWR VPWR _42811_/B sky130_fd_sc_hd__nor2_2
+XFILLER_248_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73630_ _73630_/A VGND VGND VPWR VPWR _73644_/A sky130_fd_sc_hd__buf_1
+XFILLER_445_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58564_ _41533_/C _58564_/B VGND VGND VPWR VPWR _58564_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_41_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70842_ _70842_/A VGND VGND VPWR VPWR _71729_/A sky130_fd_sc_hd__inv_8
+XFILLER_547_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_707_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55776_ _76779_/Q _55768_/X VGND VGND VPWR VPWR _55776_/Y sky130_fd_sc_hd__nand2_2
+X_43790_ _43790_/A _43790_/B _43790_/C VGND VGND VPWR VPWR _43790_/Y sky130_fd_sc_hd__nor3_2
+XPHY_5200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_406_3028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52988_ _52929_/X _52991_/B VGND VGND VPWR VPWR _52988_/X sky130_fd_sc_hd__or2_2
+XFILLER_189_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_562_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_642_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57515_ _57683_/A _57515_/B _57515_/C VGND VGND VPWR VPWR _57519_/B sky130_fd_sc_hd__nor3_2
+XFILLER_480_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54727_ _58896_/A _54727_/B VGND VGND VPWR VPWR _54729_/A sky130_fd_sc_hd__nand2_2
+XFILLER_287_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42741_ _42633_/A VGND VGND VPWR VPWR _42741_/X sky130_fd_sc_hd__buf_1
+XFILLER_527_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_73561_ _73556_/X _73550_/B _67398_/A VGND VGND VPWR VPWR _73562_/B sky130_fd_sc_hd__nand3_2
+XFILLER_435_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_2_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_445_1620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_73_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_543_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51939_ _51939_/A _51939_/B VGND VGND VPWR VPWR _51939_/X sky130_fd_sc_hd__or2_2
+XFILLER_382_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58495_ _68780_/A _58704_/B VGND VGND VPWR VPWR _58495_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_273_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70773_ _70773_/A _70772_/X VGND VGND VPWR VPWR _70773_/X sky130_fd_sc_hd__and2_2
+XFILLER_441_2207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_406_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_401 _54227_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_248_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37003 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75300_ _75296_/X _75192_/B _75300_/C VGND VGND VPWR VPWR _75300_/Y sky130_fd_sc_hd__nand3_2
+XPHY_19646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_412 _56600_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_272_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37014 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72512_ _72512_/A _72512_/B VGND VGND VPWR VPWR _81412_/D sky130_fd_sc_hd__nand2_2
+XPHY_19657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_423 _58299_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_45460_ _45460_/A VGND VGND VPWR VPWR _45476_/A sky130_fd_sc_hd__buf_1
+XFILLER_480_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57446_ _57446_/A _57445_/X VGND VGND VPWR VPWR _57449_/B sky130_fd_sc_hd__nor2_2
+XFILLER_720_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37025 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76280_ _76280_/A VGND VGND VPWR VPWR _76291_/A sky130_fd_sc_hd__buf_1
+XPHY_4543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42672_ _79466_/Q _42650_/B VGND VGND VPWR VPWR _42680_/B sky130_fd_sc_hd__nor2_2
+XFILLER_568_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54658_ _54658_/A _54648_/X VGND VGND VPWR VPWR _54659_/B sky130_fd_sc_hd__or2_2
+XFILLER_233_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_501_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73492_ _75049_/A _73501_/B VGND VGND VPWR VPWR _73493_/B sky130_fd_sc_hd__or2_2
+XANTENNA_434 _59647_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_61_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37036 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_445 _63151_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_505_2985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_446_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_18_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37047 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_456 _59258_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_37058 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44411_ _44525_/A _44403_/B _44410_/X VGND VGND VPWR VPWR _44411_/Y sky130_fd_sc_hd__nor3_2
+XPHY_4576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75231_ _75351_/A _75231_/B VGND VGND VPWR VPWR _75231_/X sky130_fd_sc_hd__or2_2
+XFILLER_611_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_467 _61913_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_41623_ _41383_/A _41629_/B VGND VGND VPWR VPWR _41623_/X sky130_fd_sc_hd__or2_2
+XFILLER_92_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53609_ _53524_/A _53609_/B VGND VGND VPWR VPWR _53610_/B sky130_fd_sc_hd__or2_2
+XPHY_37069 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72443_ _72443_/A VGND VGND VPWR VPWR _72457_/B sky130_fd_sc_hd__buf_1
+XFILLER_359_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_478 _69428_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_144_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45391_ _45366_/A _45391_/B VGND VGND VPWR VPWR _45391_/Y sky130_fd_sc_hd__nand2_2
+X_57377_ _57377_/A _57220_/X VGND VGND VPWR VPWR _57377_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_183_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_54589_ _54589_/A _54588_/X VGND VGND VPWR VPWR _54589_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_198_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_489 _64461_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_70_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_201_400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_458_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59116_ _61445_/A VGND VGND VPWR VPWR _64004_/B sky130_fd_sc_hd__buf_1
+X_47130_ _46319_/B _47129_/X VGND VGND VPWR VPWR _47130_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_186_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44342_ _44471_/A VGND VGND VPWR VPWR _44470_/A sky130_fd_sc_hd__inv_8
+XPHY_3886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56328_ _58740_/A _56328_/B _56328_/C VGND VGND VPWR VPWR _56338_/B sky130_fd_sc_hd__nor3_2
+XPHY_25100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_196_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75162_ _74921_/A _75151_/X VGND VGND VPWR VPWR _75164_/A sky130_fd_sc_hd__or2_2
+XFILLER_521_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_70_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41554_ _41051_/X _41547_/B VGND VGND VPWR VPWR _41554_/X sky130_fd_sc_hd__or2_2
+XFILLER_13_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72374_ _72358_/A _72370_/X _68586_/A VGND VGND VPWR VPWR _72375_/B sky130_fd_sc_hd__nand3_2
+XFILLER_359_275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_400_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_347_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_158_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_374_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_302_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74113_ _74092_/X _74118_/B _81015_/Q VGND VGND VPWR VPWR _74114_/B sky130_fd_sc_hd__nand3_2
+XFILLER_375_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40505_ _39238_/A VGND VGND VPWR VPWR _40505_/X sky130_fd_sc_hd__buf_1
+XFILLER_474_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47061_ _47045_/A _47061_/B VGND VGND VPWR VPWR _78915_/D sky130_fd_sc_hd__nor2_2
+XFILLER_508_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59047_ _59516_/A _59043_/Y _59047_/C VGND VGND VPWR VPWR _59057_/B sky130_fd_sc_hd__nor3_2
+X_71325_ _71325_/A _71325_/B VGND VGND VPWR VPWR _71325_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_224_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44273_ _65210_/A _44273_/B _44273_/C VGND VGND VPWR VPWR _44273_/X sky130_fd_sc_hd__and3_2
+XPHY_24410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56259_ _56453_/A VGND VGND VPWR VPWR _56259_/X sky130_fd_sc_hd__buf_1
+XFILLER_347_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75093_ _75090_/X _75093_/B VGND VGND VPWR VPWR _75093_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_417_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79970_ _79969_/CLK _79970_/D VGND VGND VPWR VPWR _79970_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_654_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41485_ _41116_/X _41496_/B VGND VGND VPWR VPWR _41487_/A sky130_fd_sc_hd__or2_2
+XFILLER_90_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_493_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46012_ _46012_/A _45664_/Y VGND VGND VPWR VPWR _46013_/B sky130_fd_sc_hd__nor2_2
+XFILLER_202_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_7_15_0_u_core.clock clkbuf_6_7_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_15_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_452_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43224_ _43224_/A _43224_/B VGND VGND VPWR VPWR _43224_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_495_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74044_ _74718_/A VGND VGND VPWR VPWR _74045_/A sky130_fd_sc_hd__buf_1
+XFILLER_356_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78921_ _78919_/CLK _78921_/D VGND VGND VPWR VPWR _71706_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_34977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40436_ _73181_/A VGND VGND VPWR VPWR _40437_/A sky130_fd_sc_hd__buf_1
+XFILLER_714_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71256_ _71256_/A _71256_/B VGND VGND VPWR VPWR _71256_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_706_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_354_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_337_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_678_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70207_ _70300_/A _70207_/B _70207_/C VGND VGND VPWR VPWR _70208_/A sky130_fd_sc_hd__or3_2
+XFILLER_554_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43155_ _43152_/X _43154_/X VGND VGND VPWR VPWR _43170_/C sky130_fd_sc_hd__nand2_2
+XFILLER_531_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78852_ _76729_/CLK _78852_/D VGND VGND VPWR VPWR _64047_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_371_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40367_ _76343_/A _39888_/B VGND VGND VPWR VPWR _40368_/A sky130_fd_sc_hd__or2_2
+XFILLER_86_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_100_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71187_ _71346_/A _71187_/B VGND VGND VPWR VPWR _71187_/X sky130_fd_sc_hd__or2_2
+XFILLER_370_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_159_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_512_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_532_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_139_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_520_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_135_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42106_ _57022_/A VGND VGND VPWR VPWR _56495_/A sky130_fd_sc_hd__buf_1
+XFILLER_272_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77803_ _77790_/CLK _77803_/D VGND VGND VPWR VPWR _61486_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_430_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70138_ _70135_/Y _70104_/A _70105_/A _70137_/X VGND VGND VPWR VPWR _70138_/X sky130_fd_sc_hd__o22a_4
+XFILLER_515_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47963_ _47843_/A _47956_/X VGND VGND VPWR VPWR _47963_/X sky130_fd_sc_hd__or2_2
+XFILLER_512_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_413_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_411_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59949_ _60276_/A _59949_/B _59949_/C VGND VGND VPWR VPWR _59965_/A sky130_fd_sc_hd__nor3_2
+XFILLER_133_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43086_ _78960_/Q VGND VGND VPWR VPWR _46113_/B sky130_fd_sc_hd__inv_8
+XPHY_12540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78783_ _78251_/CLK _78783_/D VGND VGND VPWR VPWR _47685_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40298_ _40298_/A VGND VGND VPWR VPWR _40313_/B sky130_fd_sc_hd__buf_1
+XFILLER_669_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75995_ _75876_/A _75992_/B VGND VGND VPWR VPWR _75995_/X sky130_fd_sc_hd__or2_2
+XFILLER_415_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_155_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_215_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49702_ _49787_/A _49702_/B VGND VGND VPWR VPWR _49702_/X sky130_fd_sc_hd__or2_2
+XFILLER_233_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_81_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42037_ _42034_/Y _42037_/B _41971_/A VGND VGND VPWR VPWR _42037_/Y sky130_fd_sc_hd__nor3_2
+X_46914_ _46867_/A VGND VGND VPWR VPWR _46917_/A sky130_fd_sc_hd__buf_1
+XFILLER_658_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77734_ _77734_/CLK _77734_/D VGND VGND VPWR VPWR _77734_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_268_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74946_ _74946_/A _75054_/B VGND VGND VPWR VPWR _74946_/X sky130_fd_sc_hd__or2_2
+XFILLER_370_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62960_ _59289_/X VGND VGND VPWR VPWR _63580_/A sky130_fd_sc_hd__buf_1
+X_70069_ _70891_/A VGND VGND VPWR VPWR _70071_/A sky130_fd_sc_hd__inv_8
+XPHY_12584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47894_ _47865_/A _47909_/B VGND VGND VPWR VPWR _47895_/B sky130_fd_sc_hd__or2_2
+XPHY_11850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_313_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_725_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_253_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_608_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61911_ _61911_/A _61911_/B _61910_/Y VGND VGND VPWR VPWR _61912_/C sky130_fd_sc_hd__nor3_2
+XFILLER_296_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49633_ _49633_/A _49632_/X VGND VGND VPWR VPWR _49633_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_626_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_548_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_100_0_u_core.clock clkbuf_7_50_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_201_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_46845_ _46835_/A VGND VGND VPWR VPWR _46846_/A sky130_fd_sc_hd__buf_1
+XFILLER_721_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77665_ _77663_/CLK _77665_/D VGND VGND VPWR VPWR _61151_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_387_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_682_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62891_ _49645_/A _62577_/B VGND VGND VPWR VPWR _62893_/B sky130_fd_sc_hd__nor2_2
+XFILLER_122_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74877_ _74877_/A VGND VGND VPWR VPWR _74878_/A sky130_fd_sc_hd__buf_1
+XFILLER_485_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_160_0_u_core.clock clkbuf_8_80_0_u_core.clock/X VGND VGND VPWR VPWR _79100_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_233_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_7_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79404_ _79557_/CLK _79404_/D VGND VGND VPWR VPWR _43231_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_665_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64630_ _41267_/C _64629_/X VGND VGND VPWR VPWR _64631_/C sky130_fd_sc_hd__nor2_2
+XFILLER_548_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76616_ VGND VGND VPWR VPWR _76616_/HI la_data_out[112] sky130_fd_sc_hd__conb_1
+XFILLER_424_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61842_ _61376_/A _61834_/Y _61842_/C VGND VGND VPWR VPWR _61842_/Y sky130_fd_sc_hd__nor3_2
+X_49564_ _49622_/A _49576_/B VGND VGND VPWR VPWR _49565_/B sky130_fd_sc_hd__or2_2
+X_73828_ _73771_/X VGND VGND VPWR VPWR _73828_/X sky130_fd_sc_hd__buf_1
+XFILLER_561_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_627_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46776_ _46714_/B VGND VGND VPWR VPWR _46777_/B sky130_fd_sc_hd__inv_8
+XFILLER_472_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77596_ _77596_/CLK _77596_/D VGND VGND VPWR VPWR _61527_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_95_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_661_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43988_ _43710_/A _43988_/B VGND VGND VPWR VPWR _43989_/B sky130_fd_sc_hd__nand2_2
+XFILLER_266_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_48_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_98_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_76_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_608_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_107_0_u_core.clock clkbuf_8_53_0_u_core.clock/X VGND VGND VPWR VPWR _78766_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_64_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48515_ _78573_/Q _48494_/B VGND VGND VPWR VPWR _48515_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_244_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79335_ _76720_/CLK _79335_/D VGND VGND VPWR VPWR _69732_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_424_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_624_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45727_ _45726_/Y _45717_/X VGND VGND VPWR VPWR _45727_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_580_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64561_ _64561_/A _64561_/B _64561_/C VGND VGND VPWR VPWR _64562_/C sky130_fd_sc_hd__nor3_2
+X_76547_ VGND VGND VPWR VPWR _76547_/HI la_data_out[43] sky130_fd_sc_hd__conb_1
+XFILLER_721_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42939_ _42937_/X _42939_/B VGND VGND VPWR VPWR _42939_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_642_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61773_ _78125_/Q _61460_/B VGND VGND VPWR VPWR _61774_/C sky130_fd_sc_hd__nor2_2
+XFILLER_428_1840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49495_ _49800_/A VGND VGND VPWR VPWR _49622_/A sky130_fd_sc_hd__buf_1
+XFILLER_703_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73759_ _73756_/A _73756_/B _81100_/Q VGND VGND VPWR VPWR _73759_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_561_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_313_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_188_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66300_ _57292_/A _66460_/B VGND VGND VPWR VPWR _66300_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_561_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63512_ _62890_/X _63512_/B _63511_/Y VGND VGND VPWR VPWR _63516_/B sky130_fd_sc_hd__nor3_2
+XFILLER_248_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60724_ _60098_/A _60715_/Y _60723_/Y VGND VGND VPWR VPWR _60724_/Y sky130_fd_sc_hd__nor3_2
+X_48446_ _48417_/A _48437_/B VGND VGND VPWR VPWR _48447_/B sky130_fd_sc_hd__or2_2
+XFILLER_283_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67280_ _43420_/C _66615_/X VGND VGND VPWR VPWR _67281_/C sky130_fd_sc_hd__nor2_2
+XFILLER_702_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79266_ _79298_/CLK _44098_/Y VGND VGND VPWR VPWR _44093_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_55_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45658_ _55886_/B _45657_/X _55878_/D VGND VGND VPWR VPWR _45658_/X sky130_fd_sc_hd__or3_2
+XPHY_38260 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64492_ _64887_/A _64492_/B _64491_/Y VGND VGND VPWR VPWR _64514_/B sky130_fd_sc_hd__nor3_2
+XFILLER_342_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76478_ VGND VGND VPWR VPWR _76478_/HI io_out[0] sky130_fd_sc_hd__conb_1
+XFILLER_695_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_216_0_u_core.clock clkbuf_8_217_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_433_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_91_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_146_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38271 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_526_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38282 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_276_0_u_core.clock clkbuf_9_277_0_u_core.clock/A VGND VGND VPWR VPWR _77139_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_698_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66231_ _66231_/A VGND VGND VPWR VPWR _66736_/A sky130_fd_sc_hd__buf_1
+XFILLER_578_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_574_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78217_ _78209_/CLK _78217_/D VGND VGND VPWR VPWR _78217_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_38293 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44609_ _44586_/A _44607_/Y _44609_/C VGND VGND VPWR VPWR _44610_/C sky130_fd_sc_hd__nor3_2
+XFILLER_441_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63443_ _62969_/X _63438_/Y _63443_/C VGND VGND VPWR VPWR _63443_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_244_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75429_ _75429_/A _75428_/Y VGND VGND VPWR VPWR _80686_/D sky130_fd_sc_hd__nand2_2
+XFILLER_18_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60655_ _77710_/Q _60010_/B VGND VGND VPWR VPWR _60657_/B sky130_fd_sc_hd__nor2_2
+XFILLER_189_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_211_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48377_ _48377_/A _48376_/X VGND VGND VPWR VPWR _78610_/D sky130_fd_sc_hd__nand2_2
+XFILLER_656_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79197_ _79197_/CLK _44756_/Y VGND VGND VPWR VPWR _79197_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_261_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_444_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45589_ _79128_/Q _45589_/B VGND VGND VPWR VPWR _45591_/B sky130_fd_sc_hd__nor2_2
+XPHY_37570 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37581 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_539_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37592 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47328_ _47348_/A _47440_/A VGND VGND VPWR VPWR _47330_/A sky130_fd_sc_hd__or2_2
+X_66162_ _66162_/A _66161_/Y VGND VGND VPWR VPWR _66162_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_578_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78148_ _78138_/CLK _78148_/D VGND VGND VPWR VPWR _78148_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_260_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63374_ _63374_/A _62745_/X VGND VGND VPWR VPWR _63375_/C sky130_fd_sc_hd__nor2_2
+XFILLER_299_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60586_ _77438_/Q _60738_/B VGND VGND VPWR VPWR _60589_/B sky130_fd_sc_hd__nor2_2
+XFILLER_320_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_637_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36880 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_594_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_555_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_53_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36891 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65113_ _65113_/A _64942_/B VGND VGND VPWR VPWR _65113_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_691_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_359_1901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_539_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62325_ _62325_/A _61709_/X VGND VGND VPWR VPWR _62325_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_556_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_88_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47259_ _47242_/X VGND VGND VPWR VPWR _47259_/X sky130_fd_sc_hd__buf_1
+XFILLER_619_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66093_ _66093_/A _65596_/B VGND VGND VPWR VPWR _66093_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_365_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_220_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_340_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78079_ _78062_/CLK _78079_/D VGND VGND VPWR VPWR _62051_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_582_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_457_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_1533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_144_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_713_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80110_ _80109_/CLK _80110_/D VGND VGND VPWR VPWR _58801_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_394_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_318_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65044_ _65572_/A _65044_/B _65043_/Y VGND VGND VPWR VPWR _65044_/Y sky130_fd_sc_hd__nor3_2
+X_69921_ _69913_/X _71718_/B VGND VGND VPWR VPWR _69921_/X sky130_fd_sc_hd__or2_2
+XFILLER_192_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_555_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50270_ _50869_/A VGND VGND VPWR VPWR _50271_/A sky130_fd_sc_hd__buf_1
+XFILLER_277_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81090_ _81156_/CLK _81090_/D VGND VGND VPWR VPWR _73797_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_393_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62256_ _78264_/Q _61940_/B VGND VGND VPWR VPWR _62256_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_69_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_492_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_2889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_474_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61207_ _59621_/A VGND VGND VPWR VPWR _61208_/B sky130_fd_sc_hd__buf_1
+XFILLER_615_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80041_ _79944_/CLK _39766_/Y VGND VGND VPWR VPWR _39765_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_668_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69852_ _72586_/A _72984_/B VGND VGND VPWR VPWR _69853_/B sky130_fd_sc_hd__or2_2
+XFILLER_192_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_220_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62187_ _62179_/X _62183_/Y _62186_/Y VGND VGND VPWR VPWR _62187_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_470_1713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_572_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_696_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_172_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68803_ _68803_/A _68803_/B VGND VGND VPWR VPWR _68805_/B sky130_fd_sc_hd__nor2_2
+XFILLER_318_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61138_ _60821_/A _61136_/Y _61137_/Y VGND VGND VPWR VPWR _61139_/C sky130_fd_sc_hd__nor3_2
+XFILLER_132_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38963_ _38963_/A _38959_/X _80244_/Q VGND VGND VPWR VPWR _38963_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_278_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_274_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69783_ _69812_/B _69758_/X VGND VGND VPWR VPWR _69783_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_712_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66995_ _64351_/X VGND VGND VPWR VPWR _67655_/A sky130_fd_sc_hd__buf_1
+XFILLER_67_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_435_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_494_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_9_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_207_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_287_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68734_ _81001_/Q _68903_/B VGND VGND VPWR VPWR _68736_/B sky130_fd_sc_hd__nor2_2
+XFILLER_207_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_113_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53960_ _53957_/Y _53959_/X VGND VGND VPWR VPWR _77146_/D sky130_fd_sc_hd__nand2_2
+XFILLER_214_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65946_ _81432_/Q _66117_/B VGND VGND VPWR VPWR _65946_/Y sky130_fd_sc_hd__nor2_2
+X_61069_ _59479_/A VGND VGND VPWR VPWR _61070_/B sky130_fd_sc_hd__buf_1
+XFILLER_585_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38894_ _38894_/A _38893_/Y VGND VGND VPWR VPWR _80263_/D sky130_fd_sc_hd__nand2_2
+XFILLER_331_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_661_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_475_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_190_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52911_ _52911_/A _52924_/B VGND VGND VPWR VPWR _52911_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_369_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80943_ _80259_/CLK _74396_/Y VGND VGND VPWR VPWR _80943_/Q sky130_fd_sc_hd__dfxtp_4
+X_68665_ _58372_/A _68665_/B VGND VGND VPWR VPWR _68665_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_247_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_726_1718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53891_ _53834_/A _53903_/B VGND VGND VPWR VPWR _53891_/X sky130_fd_sc_hd__or2_2
+X_65877_ _65877_/A VGND VGND VPWR VPWR _66537_/B sky130_fd_sc_hd__buf_1
+XFILLER_511_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_476_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_151_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55630_ _55619_/Y _55625_/Y _55629_/Y VGND VGND VPWR VPWR _55630_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_724_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67616_ _67616_/A _68271_/B VGND VGND VPWR VPWR _67618_/B sky130_fd_sc_hd__nor2_2
+XFILLER_708_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52842_ _52842_/A _52839_/B VGND VGND VPWR VPWR _52842_/X sky130_fd_sc_hd__or2_2
+X_64828_ _64828_/A _69409_/B VGND VGND VPWR VPWR _64828_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_429_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_97_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80874_ _80841_/CLK _80874_/D VGND VGND VPWR VPWR _68862_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_527_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_504_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_25_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68596_ _64249_/A VGND VGND VPWR VPWR _69137_/A sky130_fd_sc_hd__buf_1
+XFILLER_3_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_3186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_3053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_329_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_624_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_184_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39515_ _39127_/A _39524_/B VGND VGND VPWR VPWR _39520_/A sky130_fd_sc_hd__or2_2
+XFILLER_429_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55561_ _55558_/Y _55560_/Y _55561_/C VGND VGND VPWR VPWR _55561_/Y sky130_fd_sc_hd__nor3_2
+X_67547_ _80482_/Q _67224_/B VGND VGND VPWR VPWR _67549_/B sky130_fd_sc_hd__nor2_2
+XFILLER_589_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_67_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_540_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52773_ _52833_/A _52785_/B VGND VGND VPWR VPWR _52774_/B sky130_fd_sc_hd__or2_2
+XPHY_28709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64759_ _68205_/A VGND VGND VPWR VPWR _64920_/B sky130_fd_sc_hd__buf_1
+XFILLER_167_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_386_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_626_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57300_ _57135_/A _57300_/B _57299_/Y VGND VGND VPWR VPWR _57300_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_270_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54512_ _54483_/A _54506_/B VGND VGND VPWR VPWR _54512_/X sky130_fd_sc_hd__or2_2
+X_51724_ _52022_/A VGND VGND VPWR VPWR _51812_/A sky130_fd_sc_hd__buf_1
+X_39446_ _39442_/A _39438_/B _66676_/A VGND VGND VPWR VPWR _39446_/Y sky130_fd_sc_hd__nand3_2
+X_58280_ _58048_/A _58279_/Y VGND VGND VPWR VPWR _58280_/Y sky130_fd_sc_hd__nor2_2
+XPHY_18219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_70_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55492_ _43537_/D VGND VGND VPWR VPWR _55607_/A sky130_fd_sc_hd__buf_1
+XFILLER_227_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67478_ _66655_/A VGND VGND VPWR VPWR _67648_/B sky130_fd_sc_hd__buf_1
+XFILLER_43_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_702_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_215_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_620_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57231_ _79962_/Q _57309_/B VGND VGND VPWR VPWR _57231_/Y sky130_fd_sc_hd__nor2_2
+X_69217_ _69217_/A _69355_/B VGND VGND VPWR VPWR _69218_/C sky130_fd_sc_hd__nor2_2
+XFILLER_93_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66429_ _74619_/C _66260_/X VGND VGND VPWR VPWR _66431_/B sky130_fd_sc_hd__nor2_2
+X_54443_ _54471_/A _54455_/B VGND VGND VPWR VPWR _54444_/B sky130_fd_sc_hd__or2_2
+XFILLER_14_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39377_ _39397_/A VGND VGND VPWR VPWR _39378_/A sky130_fd_sc_hd__buf_1
+X_51655_ _51655_/A _51662_/B VGND VGND VPWR VPWR _51655_/Y sky130_fd_sc_hd__nand2_2
+XPHY_3149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_299_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_196_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_260_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38328_ _76327_/A _38314_/B VGND VGND VPWR VPWR _38330_/A sky130_fd_sc_hd__or2_2
+X_50606_ _50604_/Y _50605_/X VGND VGND VPWR VPWR _78030_/D sky130_fd_sc_hd__nand2_2
+XFILLER_690_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57162_ _56844_/X _57162_/B _57161_/Y VGND VGND VPWR VPWR _57162_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_223_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69148_ _80652_/Q _69148_/B VGND VGND VPWR VPWR _69148_/Y sky130_fd_sc_hd__nor2_2
+X_81426_ _81425_/CLK _81426_/D VGND VGND VPWR VPWR _64927_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_401_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_719_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54374_ _54374_/A _54374_/B VGND VGND VPWR VPWR _77036_/D sky130_fd_sc_hd__nand2_2
+XFILLER_306_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51586_ _60548_/A _51586_/B VGND VGND VPWR VPWR _51589_/A sky130_fd_sc_hd__nand2_2
+XFILLER_39_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_35_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_358_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_17_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56113_ _56087_/A _56113_/B VGND VGND VPWR VPWR _56114_/B sky130_fd_sc_hd__nor2_2
+XFILLER_596_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53325_ _53320_/Y _53325_/B VGND VGND VPWR VPWR _77314_/D sky130_fd_sc_hd__nand2_2
+XPHY_34229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50537_ _50537_/A _50543_/B VGND VGND VPWR VPWR _50537_/Y sky130_fd_sc_hd__nand2_2
+X_38259_ _38259_/A _38271_/B VGND VGND VPWR VPWR _38261_/A sky130_fd_sc_hd__or2_2
+X_57093_ _57093_/A _57012_/B VGND VGND VPWR VPWR _57094_/C sky130_fd_sc_hd__nor2_2
+X_81357_ _81354_/CLK _81357_/D VGND VGND VPWR VPWR _72718_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_393_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69079_ _64447_/A _69075_/Y _69078_/Y VGND VGND VPWR VPWR _69079_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_633_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_393_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_2079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_456_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_7_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_637_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71110_ _71110_/A VGND VGND VPWR VPWR _71111_/A sky130_fd_sc_hd__inv_8
+XFILLER_475_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80308_ _80279_/CLK _38723_/Y VGND VGND VPWR VPWR _80308_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_23005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56044_ _55982_/X _56043_/X io_in[28] _55980_/A VGND VGND VPWR VPWR wbs_dat_o[9]
++ sky130_fd_sc_hd__o22a_4
+XFILLER_183_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41270_ _41277_/A _41270_/B _64286_/A VGND VGND VPWR VPWR _41270_/Y sky130_fd_sc_hd__nand3_2
+X_53256_ _53200_/A _53271_/B VGND VGND VPWR VPWR _53257_/B sky130_fd_sc_hd__or2_2
+XFILLER_221_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_633_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72090_ _70820_/X _72089_/B _72089_/Y VGND VGND VPWR VPWR _72111_/A sky130_fd_sc_hd__a21o_4
+XPHY_23016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_722_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50468_ _50405_/X _50486_/B VGND VGND VPWR VPWR _50469_/B sky130_fd_sc_hd__or2_2
+XFILLER_193_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81288_ _79368_/CLK _73015_/Y VGND VGND VPWR VPWR _73013_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_191_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40221_ _40219_/X _40220_/Y VGND VGND VPWR VPWR _79926_/D sky130_fd_sc_hd__nand2_2
+XFILLER_390_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52207_ _77609_/Q _52195_/X VGND VGND VPWR VPWR _52207_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71041_ _42166_/A VGND VGND VPWR VPWR _71041_/Y sky130_fd_sc_hd__inv_8
+XFILLER_434_2033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_498_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80239_ _80239_/CLK _38980_/Y VGND VGND VPWR VPWR _80239_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_139_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53187_ _41856_/Y _49331_/A _41857_/Y _49332_/A VGND VGND VPWR VPWR _53347_/A sky130_fd_sc_hd__o22a_4
+XFILLER_156_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50399_ _62832_/A _50404_/B VGND VGND VPWR VPWR _50403_/A sky130_fd_sc_hd__nand2_2
+XFILLER_412_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_434_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_152_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_272_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59803_ _59803_/A _59803_/B _59802_/Y VGND VGND VPWR VPWR _59814_/B sky130_fd_sc_hd__nor3_2
+XFILLER_325_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_3092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52138_ _77624_/Q _52138_/B VGND VGND VPWR VPWR _52138_/Y sky130_fd_sc_hd__nand2_2
+X_40152_ _40188_/A VGND VGND VPWR VPWR _40152_/X sky130_fd_sc_hd__buf_1
+XPHY_22359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_178_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_219_1540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_666_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57995_ _57995_/A _57916_/B VGND VGND VPWR VPWR _57996_/C sky130_fd_sc_hd__nor2_2
+XFILLER_117_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_312_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_7_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74800_ _74795_/X _74790_/B _80851_/Q VGND VGND VPWR VPWR _74800_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_117_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_649_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_85_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59734_ _59897_/A _59734_/B VGND VGND VPWR VPWR _59775_/B sky130_fd_sc_hd__nor2_2
+XFILLER_117_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40083_ _40076_/A _40069_/B _79963_/Q VGND VGND VPWR VPWR _40083_/Y sky130_fd_sc_hd__nand3_2
+X_44960_ _44960_/A VGND VGND VPWR VPWR _44961_/B sky130_fd_sc_hd__inv_8
+XFILLER_674_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56946_ _56946_/A _56946_/B _56946_/C VGND VGND VPWR VPWR _56947_/C sky130_fd_sc_hd__nor3_2
+XFILLER_486_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52069_ _52041_/A _52087_/B VGND VGND VPWR VPWR _52069_/X sky130_fd_sc_hd__or2_2
+XPHY_20924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75780_ _75901_/A _75780_/B VGND VGND VPWR VPWR _75782_/A sky130_fd_sc_hd__or2_2
+XPHY_21669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72992_ _72989_/Y _72992_/B VGND VGND VPWR VPWR _72992_/Y sky130_fd_sc_hd__nand2_2
+XPHY_20935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_172_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43911_ _43940_/A _43909_/Y _43911_/C VGND VGND VPWR VPWR _43912_/C sky130_fd_sc_hd__nor3_2
+XPHY_20957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74731_ _74729_/X _74731_/B VGND VGND VPWR VPWR _74731_/Y sky130_fd_sc_hd__nand2_2
+XPHY_11179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_628_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59665_ _59665_/A _59344_/B VGND VGND VPWR VPWR _59667_/B sky130_fd_sc_hd__nor2_2
+XPHY_20968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71943_ _71144_/X _71929_/X _71153_/X _42776_/B VGND VGND VPWR VPWR _71944_/A sky130_fd_sc_hd__o22a_4
+XFILLER_46_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_277_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_104_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56877_ _56342_/A VGND VGND VPWR VPWR _56878_/A sky130_fd_sc_hd__buf_1
+XFILLER_310_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44891_ _44891_/A _44891_/B _44891_/C VGND VGND VPWR VPWR _44891_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_466_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_707_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_644_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_20_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58616_ _58616_/A _58614_/Y _58616_/C VGND VGND VPWR VPWR _58620_/B sky130_fd_sc_hd__nor3_2
+X_46630_ _58859_/B VGND VGND VPWR VPWR _46631_/B sky130_fd_sc_hd__inv_8
+XFILLER_115_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77450_ _77454_/CLK _77450_/D VGND VGND VPWR VPWR _52800_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_447_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43842_ _43850_/A _43859_/A _43861_/B VGND VGND VPWR VPWR _43842_/X sky130_fd_sc_hd__and3_2
+XFILLER_19_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55828_ _55828_/A _55828_/B VGND VGND VPWR VPWR _55828_/Y sky130_fd_sc_hd__nand2_2
+X_74662_ _72341_/Y _75825_/A _74662_/C _69857_/A VGND VGND VPWR VPWR _74663_/B sky130_fd_sc_hd__or4_2
+XFILLER_111_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_670_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59596_ _59243_/A VGND VGND VPWR VPWR _59597_/A sky130_fd_sc_hd__buf_1
+XFILLER_365_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71874_ _70077_/X _71874_/B VGND VGND VPWR VPWR _71874_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_643_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76401_ _76401_/A _76401_/B VGND VGND VPWR VPWR _76401_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_365_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_435_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_219_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73613_ _72963_/X _73509_/X VGND VGND VPWR VPWR _73615_/A sky130_fd_sc_hd__or2_2
+XFILLER_644_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46561_ _46561_/A _46561_/B VGND VGND VPWR VPWR _46562_/A sky130_fd_sc_hd__or2_2
+XFILLER_671_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58547_ _81322_/Q _58471_/B VGND VGND VPWR VPWR _58549_/B sky130_fd_sc_hd__nor2_2
+X_70825_ _70042_/Y _70824_/X VGND VGND VPWR VPWR _70829_/A sky130_fd_sc_hd__or2_2
+XFILLER_527_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77381_ _77749_/CLK _53051_/Y VGND VGND VPWR VPWR _60544_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_98_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43773_ _43676_/A _43769_/X VGND VGND VPWR VPWR _43780_/A sky130_fd_sc_hd__or2_2
+XPHY_5030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55759_ _54983_/A _55756_/B VGND VGND VPWR VPWR _55759_/X sky130_fd_sc_hd__or2_2
+XPHY_19410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74593_ _74580_/A _74590_/B _74593_/C VGND VGND VPWR VPWR _74594_/B sky130_fd_sc_hd__nand3_2
+XFILLER_92_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40985_ _40982_/A _40992_/B _56730_/A VGND VGND VPWR VPWR _40986_/B sky130_fd_sc_hd__nand3_2
+XPHY_29944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_287_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_45_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48300_ _48401_/A _48328_/B VGND VGND VPWR VPWR _48301_/B sky130_fd_sc_hd__or2_2
+XPHY_5052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79120_ _79116_/CLK _45621_/Y VGND VGND VPWR VPWR _45619_/A sky130_fd_sc_hd__dfxtp_4
+X_45512_ _45506_/X _45366_/B VGND VGND VPWR VPWR _45512_/Y sky130_fd_sc_hd__nor2_2
+XPHY_29966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76332_ _76330_/X _76331_/Y VGND VGND VPWR VPWR _80466_/D sky130_fd_sc_hd__nand2_2
+XPHY_5063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42724_ _42724_/A VGND VGND VPWR VPWR _42734_/A sky130_fd_sc_hd__buf_1
+XFILLER_284_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73544_ _73542_/X _73543_/Y VGND VGND VPWR VPWR _73544_/Y sky130_fd_sc_hd__nand2_2
+X_49280_ _62981_/A _49259_/B VGND VGND VPWR VPWR _49282_/A sky130_fd_sc_hd__nand2_2
+XFILLER_163_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_660_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46492_ _46593_/A _46492_/B _46492_/C VGND VGND VPWR VPWR _46493_/B sky130_fd_sc_hd__nor3_2
+X_70756_ _70756_/A _70628_/B VGND VGND VPWR VPWR _70756_/Y sky130_fd_sc_hd__nand2_2
+XANTENNA_220 _74664_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_58478_ _58754_/A _58478_/B _58477_/Y VGND VGND VPWR VPWR _58486_/A sky130_fd_sc_hd__nor3_2
+XFILLER_480_2086 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_61_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_231 _76131_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_265_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_650_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_242 _38484_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_441_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_37_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48231_ _48231_/A _48230_/X VGND VGND VPWR VPWR _48234_/A sky130_fd_sc_hd__nand2_2
+XPHY_18742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79051_ _79051_/CLK _79051_/D VGND VGND VPWR VPWR _47276_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_501_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_253 _38573_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_480_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45443_ _45467_/A _45443_/B VGND VGND VPWR VPWR _45443_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_720_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57429_ _56623_/A VGND VGND VPWR VPWR _57430_/B sky130_fd_sc_hd__buf_1
+XFILLER_423_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76263_ _76277_/A _76263_/B _80485_/Q VGND VGND VPWR VPWR _76263_/Y sky130_fd_sc_hd__nand3_2
+XPHY_18753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42655_ _42586_/X _70470_/X _70476_/X _42587_/X VGND VGND VPWR VPWR _42655_/X sky130_fd_sc_hd__o22a_4
+XFILLER_221_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_568_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_264 _39831_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_73475_ _75032_/A _73485_/B VGND VGND VPWR VPWR _73476_/B sky130_fd_sc_hd__or2_2
+XFILLER_124_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70687_ _70676_/A _70625_/B _70654_/B VGND VGND VPWR VPWR _70687_/X sky130_fd_sc_hd__a21o_4
+XFILLER_673_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_275 _41914_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_286 _45224_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_226_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78002_ _78002_/CLK _50710_/Y VGND VGND VPWR VPWR _78002_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_497_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75214_ _75218_/A _75218_/B _68353_/A VGND VGND VPWR VPWR _75215_/B sky130_fd_sc_hd__nand3_2
+XFILLER_348_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_297 _49252_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_41606_ _41616_/A _41606_/B _65637_/A VGND VGND VPWR VPWR _41607_/B sky130_fd_sc_hd__nand3_2
+XPHY_36154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48162_ _78662_/Q _48166_/B VGND VGND VPWR VPWR _48162_/Y sky130_fd_sc_hd__nand2_2
+X_60440_ _60440_/A _60434_/Y _60439_/Y VGND VGND VPWR VPWR _60440_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_260_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72426_ _72429_/A _72429_/B _66282_/A VGND VGND VPWR VPWR _72426_/Y sky130_fd_sc_hd__nand3_2
+XPHY_18797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45374_ _45056_/A _45373_/Y VGND VGND VPWR VPWR _45374_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_701_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76194_ _76176_/A _76194_/B _80501_/Q VGND VGND VPWR VPWR _76194_/Y sky130_fd_sc_hd__nand3_2
+XPHY_3683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42586_ _42586_/A VGND VGND VPWR VPWR _42586_/X sky130_fd_sc_hd__buf_1
+XFILLER_726_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_493_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_79 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47113_ _46397_/Y _47113_/B VGND VGND VPWR VPWR _47114_/B sky130_fd_sc_hd__or2_2
+XFILLER_619_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44325_ _44567_/A VGND VGND VPWR VPWR _44607_/C sky130_fd_sc_hd__buf_1
+XPHY_2971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75145_ _75131_/A _75145_/B _75145_/C VGND VGND VPWR VPWR _75146_/B sky130_fd_sc_hd__nand3_2
+XFILLER_395_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_677_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41537_ _41536_/X VGND VGND VPWR VPWR _41538_/B sky130_fd_sc_hd__buf_1
+XFILLER_35_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60371_ _60371_/A _60371_/B _60370_/Y VGND VGND VPWR VPWR _60371_/Y sky130_fd_sc_hd__nor3_2
+X_48093_ _48063_/A _48093_/B VGND VGND VPWR VPWR _48093_/X sky130_fd_sc_hd__or2_2
+X_72357_ _72298_/A VGND VGND VPWR VPWR _72358_/A sky130_fd_sc_hd__buf_1
+XFILLER_347_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_395_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_1101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_493_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62110_ _78279_/Q _61795_/X VGND VGND VPWR VPWR _62112_/B sky130_fd_sc_hd__nor2_2
+XPHY_35497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47044_ _47044_/A _47043_/X VGND VGND VPWR VPWR _47045_/B sky130_fd_sc_hd__and2_2
+X_71308_ _71302_/X _71308_/B _71305_/Y _71307_/Y VGND VGND VPWR VPWR _71308_/X sky130_fd_sc_hd__or4_2
+XPHY_34763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44256_ _73227_/A _44239_/B VGND VGND VPWR VPWR _44256_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_347_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_534_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_63090_ _78678_/Q _62627_/X VGND VGND VPWR VPWR _63091_/C sky130_fd_sc_hd__nor2_2
+XPHY_24240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75076_ _75318_/A _75090_/B VGND VGND VPWR VPWR _75078_/A sky130_fd_sc_hd__or2_2
+Xclkbuf_9_404_0_u_core.clock clkbuf_9_405_0_u_core.clock/A VGND VGND VPWR VPWR _80891_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_79953_ _79921_/CLK _40119_/Y VGND VGND VPWR VPWR _64821_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_651_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41468_ _41453_/X _41454_/X _57366_/A VGND VGND VPWR VPWR _41468_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_725_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72288_ _72940_/A VGND VGND VPWR VPWR _72677_/A sky130_fd_sc_hd__buf_1
+XPHY_24251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43207_ _43207_/A _43165_/Y _43206_/Y VGND VGND VPWR VPWR _43207_/Y sky130_fd_sc_hd__nor3_2
+X_62041_ _59478_/A VGND VGND VPWR VPWR _62044_/A sky130_fd_sc_hd__buf_1
+X_74027_ _74027_/A _74026_/Y VGND VGND VPWR VPWR _81032_/D sky130_fd_sc_hd__nand2_2
+X_78904_ _78951_/CLK _47146_/Y VGND VGND VPWR VPWR _46330_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_173_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40419_ _40396_/A VGND VGND VPWR VPWR _40429_/B sky130_fd_sc_hd__buf_1
+XFILLER_256_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71239_ _71226_/X _71239_/B _71234_/Y _71238_/Y VGND VGND VPWR VPWR _71239_/X sky130_fd_sc_hd__or4_2
+XFILLER_610_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44187_ _43872_/A _44224_/B VGND VGND VPWR VPWR _44187_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_157_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79884_ _80013_/CLK _79884_/D VGND VGND VPWR VPWR _69256_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_87_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41399_ _41399_/A _41398_/Y VGND VGND VPWR VPWR _41399_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_255_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_710_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43138_ _79028_/Q VGND VGND VPWR VPWR _43138_/Y sky130_fd_sc_hd__inv_8
+XFILLER_316_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78835_ _78836_/CLK _78835_/D VGND VGND VPWR VPWR _63923_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_233_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_667_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_534_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_530_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48995_ _48988_/A VGND VGND VPWR VPWR _48999_/B sky130_fd_sc_hd__buf_1
+XPHY_22860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65800_ _65800_/A _65126_/B VGND VGND VPWR VPWR _65801_/C sky130_fd_sc_hd__nor2_2
+XFILLER_693_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_9_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_354_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47946_ _47946_/A _49913_/A VGND VGND VPWR VPWR _47972_/B sky130_fd_sc_hd__or2_2
+X_43069_ _45290_/A _43069_/B VGND VGND VPWR VPWR _43070_/C sky130_fd_sc_hd__nor2_2
+XFILLER_370_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66780_ _66780_/A _66615_/X VGND VGND VPWR VPWR _66780_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_610_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78766_ _78766_/CLK _47754_/Y VGND VGND VPWR VPWR _78766_/Q sky130_fd_sc_hd__dfxtp_4
+X_63992_ _63992_/A _59218_/B VGND VGND VPWR VPWR _63994_/B sky130_fd_sc_hd__nor2_2
+X_75978_ _75978_/A _75983_/B _80551_/Q VGND VGND VPWR VPWR _75979_/B sky130_fd_sc_hd__nand3_2
+XFILLER_569_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_413_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_115_0_u_core.clock clkbuf_6_57_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_230_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_389_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_116_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_135_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_155_1373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_175_0_u_core.clock clkbuf_7_87_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_351_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_485_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_116_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65731_ _65893_/A _65731_/B _65730_/Y VGND VGND VPWR VPWR _65749_/A sky130_fd_sc_hd__nor3_2
+XFILLER_332_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77717_ _77714_/CLK _51794_/Y VGND VGND VPWR VPWR _60503_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_296_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_110_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62943_ _78437_/Q _62631_/X VGND VGND VPWR VPWR _62943_/Y sky130_fd_sc_hd__nor2_2
+X_74929_ _74927_/X _74929_/B VGND VGND VPWR VPWR _74929_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_95_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1559 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_458_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47877_ _63316_/A _47865_/B VGND VGND VPWR VPWR _47879_/A sky130_fd_sc_hd__nand2_2
+X_78697_ _78209_/CLK _78697_/D VGND VGND VPWR VPWR _78697_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_485_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_152_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_11691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_111_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_580_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49616_ _49615_/X VGND VGND VPWR VPWR _49628_/B sky130_fd_sc_hd__buf_1
+XFILLER_42_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68450_ _65142_/A VGND VGND VPWR VPWR _68787_/B sky130_fd_sc_hd__buf_1
+XFILLER_285_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46828_ _46028_/A VGND VGND VPWR VPWR _46828_/Y sky130_fd_sc_hd__inv_8
+XFILLER_680_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65662_ _56961_/A _65661_/X VGND VGND VPWR VPWR _65663_/C sky130_fd_sc_hd__nor2_2
+XFILLER_623_2704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77648_ _77195_/CLK _52055_/Y VGND VGND VPWR VPWR _52053_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_567_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62874_ _62874_/A _62250_/B VGND VGND VPWR VPWR _62874_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_708_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_209_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_285_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67401_ _67401_/A _67903_/B VGND VGND VPWR VPWR _67401_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_168_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64613_ _67551_/A VGND VGND VPWR VPWR _64613_/X sky130_fd_sc_hd__buf_1
+XFILLER_97_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61825_ _61670_/A _61825_/B _61825_/C VGND VGND VPWR VPWR _61826_/B sky130_fd_sc_hd__nor3_2
+XFILLER_37_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49547_ _49545_/Y _49546_/X VGND VGND VPWR VPWR _78312_/D sky130_fd_sc_hd__nand2_2
+X_68381_ _67734_/A _68379_/Y _68381_/C VGND VGND VPWR VPWR _68382_/C sky130_fd_sc_hd__nor3_2
+XFILLER_604_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_608_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46759_ _46721_/Y _46759_/B _46768_/C VGND VGND VPWR VPWR _78965_/D sky130_fd_sc_hd__nor3_2
+XFILLER_168_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65593_ _67238_/A VGND VGND VPWR VPWR _65593_/X sky130_fd_sc_hd__buf_1
+XFILLER_465_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77579_ _77567_/CLK _52318_/Y VGND VGND VPWR VPWR _77579_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_623_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39300_ _39298_/X _39299_/Y VGND VGND VPWR VPWR _80163_/D sky130_fd_sc_hd__nand2_2
+XFILLER_266_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_621_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_244_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67332_ _67332_/A _67495_/B VGND VGND VPWR VPWR _67332_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_209_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79318_ _78919_/CLK _43608_/Y VGND VGND VPWR VPWR _71570_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_414_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64544_ _64459_/A VGND VGND VPWR VPWR _67570_/A sky130_fd_sc_hd__buf_1
+XFILLER_408_2978 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_188_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80590_ _80584_/CLK _80590_/D VGND VGND VPWR VPWR _75833_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_559_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61756_ _61756_/A _61756_/B VGND VGND VPWR VPWR _61757_/C sky130_fd_sc_hd__nor2_2
+X_49478_ _49478_/A _49477_/X VGND VGND VPWR VPWR _49478_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_604_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_111_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_602_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_164_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39231_ _39231_/A _39212_/X VGND VGND VPWR VPWR _39231_/X sky130_fd_sc_hd__or2_2
+XFILLER_523_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_587_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60707_ _60390_/A _60703_/Y _60707_/C VGND VGND VPWR VPWR _60708_/C sky130_fd_sc_hd__nor3_2
+X_48429_ _63851_/A _48425_/B VGND VGND VPWR VPWR _48429_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_697_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67263_ _64577_/X VGND VGND VPWR VPWR _67263_/X sky130_fd_sc_hd__buf_1
+XFILLER_75_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79249_ _79245_/CLK _44237_/Y VGND VGND VPWR VPWR _79249_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_381_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38090 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64475_ _66056_/A VGND VGND VPWR VPWR _64475_/X sky130_fd_sc_hd__buf_1
+XFILLER_498_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_244_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61687_ _61376_/A _61679_/Y _61687_/C VGND VGND VPWR VPWR _61687_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_146_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_307_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_498_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_343_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_397_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69002_ _44643_/Y _69002_/B VGND VGND VPWR VPWR _69139_/A sky130_fd_sc_hd__nor2_2
+XFILLER_691_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66214_ _80634_/Q _66537_/B VGND VGND VPWR VPWR _66216_/B sky130_fd_sc_hd__nor2_2
+XFILLER_558_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39162_ _39162_/A _39142_/X VGND VGND VPWR VPWR _39162_/X sky130_fd_sc_hd__or2_2
+X_51440_ _53351_/A _51468_/B VGND VGND VPWR VPWR _51466_/B sky130_fd_sc_hd__or2_2
+XFILLER_251_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63426_ _63426_/A _63426_/B VGND VGND VPWR VPWR _63427_/B sky130_fd_sc_hd__nor2_2
+XFILLER_36_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60638_ _60638_/A _59987_/B VGND VGND VPWR VPWR _60638_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_656_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67194_ _67026_/A _67154_/Y _67194_/C VGND VGND VPWR VPWR _67195_/C sky130_fd_sc_hd__nor3_2
+XFILLER_304_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_189_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_32_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_72_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_14_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81211_ _81211_/CLK _81211_/D VGND VGND VPWR VPWR _66439_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_60_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_583_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66145_ _81465_/Q _66145_/B VGND VGND VPWR VPWR _66147_/B sky130_fd_sc_hd__nor2_2
+XFILLER_402_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_127_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_105_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39093_ _39093_/A _39093_/B VGND VGND VPWR VPWR _80209_/D sky130_fd_sc_hd__nand2_2
+X_51371_ _51394_/B VGND VGND VPWR VPWR _51393_/B sky130_fd_sc_hd__buf_1
+XFILLER_634_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_299_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63357_ _60676_/A VGND VGND VPWR VPWR _63908_/B sky130_fd_sc_hd__buf_1
+XFILLER_535_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60569_ _60569_/A _60095_/B VGND VGND VPWR VPWR _60569_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_637_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_178_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_617_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_527_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53110_ _53110_/A _53109_/X VGND VGND VPWR VPWR _53113_/A sky130_fd_sc_hd__nand2_2
+XFILLER_20_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_353_204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_552_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50322_ _50256_/A _50334_/B VGND VGND VPWR VPWR _50323_/B sky130_fd_sc_hd__or2_2
+X_62308_ _62308_/A _62470_/B VGND VGND VPWR VPWR _62310_/B sky130_fd_sc_hd__nor2_2
+XFILLER_257_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81142_ _81081_/CLK _73601_/Y VGND VGND VPWR VPWR _81142_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_418_2028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_3043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_615_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54090_ _59556_/A _54100_/B VGND VGND VPWR VPWR _54094_/A sky130_fd_sc_hd__nand2_2
+XFILLER_353_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66076_ _80473_/Q _66235_/B VGND VGND VPWR VPWR _66076_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_543_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63288_ _62969_/X _63284_/Y _63287_/Y VGND VGND VPWR VPWR _63288_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_381_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_552_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_393_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_140_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_179_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_375_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_290_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53041_ _52985_/A _53047_/B VGND VGND VPWR VPWR _53042_/B sky130_fd_sc_hd__or2_2
+X_65027_ _44272_/A _65027_/B VGND VGND VPWR VPWR _65027_/Y sky130_fd_sc_hd__nor2_2
+X_69904_ _69904_/A VGND VGND VPWR VPWR _69960_/A sky130_fd_sc_hd__buf_1
+XFILLER_175_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50253_ _49499_/A VGND VGND VPWR VPWR _50856_/A sky130_fd_sc_hd__buf_1
+XFILLER_634_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62239_ _62239_/A _62553_/B VGND VGND VPWR VPWR _62239_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_238_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81073_ _81025_/CLK _81073_/D VGND VGND VPWR VPWR _81073_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_390_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_200_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_47_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_133_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80024_ _80027_/CLK _80024_/D VGND VGND VPWR VPWR _39843_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_372_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_362_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_470_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69835_ _69578_/A _69835_/B VGND VGND VPWR VPWR _69836_/C sky130_fd_sc_hd__nor2_2
+X_50184_ _50184_/A _50195_/B VGND VGND VPWR VPWR _50184_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_273_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_238_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39995_ _39986_/A _39985_/X _39995_/C VGND VGND VPWR VPWR _39996_/B sky130_fd_sc_hd__nand3_2
+XFILLER_350_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_353_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_66_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56800_ _65299_/A _56643_/B VGND VGND VPWR VPWR _56801_/C sky130_fd_sc_hd__nor2_2
+XPHY_20209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_530_2875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_82_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38946_ _38573_/A _38935_/B VGND VGND VPWR VPWR _38948_/A sky130_fd_sc_hd__or2_2
+XFILLER_318_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57780_ _57705_/A _57779_/Y VGND VGND VPWR VPWR _57780_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_431_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69766_ _70356_/B _69593_/B VGND VGND VPWR VPWR _69766_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_587_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_102_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54992_ _55001_/A VGND VGND VPWR VPWR _54992_/X sky130_fd_sc_hd__buf_1
+XFILLER_353_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66978_ _80254_/Q _67148_/B VGND VGND VPWR VPWR _66980_/B sky130_fd_sc_hd__nor2_2
+XFILLER_60_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_87_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_236_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56731_ _56731_/A _56406_/B VGND VGND VPWR VPWR _56732_/C sky130_fd_sc_hd__nor2_2
+XPHY_7916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68717_ _68717_/A _68717_/B _68717_/C VGND VGND VPWR VPWR _68718_/B sky130_fd_sc_hd__nor3_2
+XFILLER_5_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_350_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65929_ _65929_/A _65765_/B VGND VGND VPWR VPWR _65929_/Y sky130_fd_sc_hd__nor2_2
+X_53943_ _53940_/Y _53942_/X VGND VGND VPWR VPWR _77150_/D sky130_fd_sc_hd__nand2_2
+XFILLER_25_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38877_ _38484_/A _38876_/X VGND VGND VPWR VPWR _38879_/A sky130_fd_sc_hd__or2_2
+XFILLER_113_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69697_ _69853_/A VGND VGND VPWR VPWR _69698_/B sky130_fd_sc_hd__inv_8
+XPHY_7938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_271_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_87_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_463_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59450_ _59450_/A _59450_/B VGND VGND VPWR VPWR _59451_/B sky130_fd_sc_hd__nor2_2
+XFILLER_388_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39708 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56662_ _65166_/A _56219_/X VGND VGND VPWR VPWR _56662_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_86_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80926_ _80923_/CLK _80926_/D VGND VGND VPWR VPWR _66924_/A sky130_fd_sc_hd__dfxtp_4
+X_68648_ _68648_/A _68312_/X VGND VGND VPWR VPWR _68648_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_468_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53874_ _77167_/Q _53862_/B VGND VGND VPWR VPWR _53874_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_60_1643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39719 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_626_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_210_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_112_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58401_ _79624_/Q _58563_/B VGND VGND VPWR VPWR _58401_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_228_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_249_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55613_ _55250_/X _55613_/B VGND VGND VPWR VPWR _55613_/X sky130_fd_sc_hd__and2_2
+XFILLER_708_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52825_ _61367_/A _52832_/B VGND VGND VPWR VPWR _52825_/Y sky130_fd_sc_hd__nand2_2
+XPHY_29229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59381_ _59381_/A VGND VGND VPWR VPWR _59541_/B sky130_fd_sc_hd__buf_1
+XFILLER_509_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_704_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_609_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80857_ _80862_/CLK _80857_/D VGND VGND VPWR VPWR _80857_/Q sky130_fd_sc_hd__dfxtp_4
+X_56593_ _65007_/A _56679_/B VGND VGND VPWR VPWR _56593_/Y sky130_fd_sc_hd__nor2_2
+X_68579_ _68579_/A _68406_/B VGND VGND VPWR VPWR _68579_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_640_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_601_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_309_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_216_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_288_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70610_ _70610_/A _70609_/X VGND VGND VPWR VPWR _70611_/A sky130_fd_sc_hd__or2_2
+XFILLER_284_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58332_ _58329_/X _58332_/B _58331_/Y VGND VGND VPWR VPWR _58336_/B sky130_fd_sc_hd__nor3_2
+XFILLER_524_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_405_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_28528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55544_ _55347_/B _55530_/B VGND VGND VPWR VPWR _55544_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_347_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_308_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40770_ _40764_/A _40764_/B _58659_/A VGND VGND VPWR VPWR _40770_/Y sky130_fd_sc_hd__nand3_2
+X_52756_ _52789_/B VGND VGND VPWR VPWR _52756_/X sky130_fd_sc_hd__buf_1
+XFILLER_110_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71590_ _71205_/X _71589_/Y _71208_/X _70468_/X VGND VGND VPWR VPWR _71591_/B sky130_fd_sc_hd__o22a_4
+XFILLER_167_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80788_ _81301_/CLK _75044_/Y VGND VGND VPWR VPWR _65233_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_266_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_215_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_242_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51707_ _51716_/A VGND VGND VPWR VPWR _51712_/B sky130_fd_sc_hd__buf_1
+X_39429_ _39427_/X _39429_/B VGND VGND VPWR VPWR _39429_/Y sky130_fd_sc_hd__nand2_2
+XPHY_17304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58263_ _57942_/X _58218_/X _58262_/X _74440_/A _58025_/X VGND VGND VPWR VPWR _58263_/Y
++ sky130_fd_sc_hd__a32oi_4
+XPHY_18049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70541_ _69971_/X _70539_/X _70419_/A _70540_/X VGND VGND VPWR VPWR _70541_/X sky130_fd_sc_hd__o22a_4
+XFILLER_540_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_520_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_505_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_19_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55475_ _55464_/A _44951_/B VGND VGND VPWR VPWR _55480_/B sky130_fd_sc_hd__nor2_2
+XFILLER_577_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_677_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52687_ _52744_/A _52693_/B VGND VGND VPWR VPWR _52687_/X sky130_fd_sc_hd__or2_2
+XPHY_27849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_519_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57214_ _56810_/X VGND VGND VPWR VPWR _57215_/B sky130_fd_sc_hd__buf_1
+XFILLER_620_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42440_ _69812_/B _42433_/B _43660_/B VGND VGND VPWR VPWR _42440_/X sky130_fd_sc_hd__or3_2
+X_54426_ _77022_/Q _54426_/B VGND VGND VPWR VPWR _54428_/A sky130_fd_sc_hd__nand2_2
+XFILLER_325_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73260_ _73239_/X VGND VGND VPWR VPWR _73268_/A sky130_fd_sc_hd__buf_1
+XFILLER_342_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_655_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_262_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51638_ _51580_/A _51638_/B VGND VGND VPWR VPWR _51639_/B sky130_fd_sc_hd__or2_2
+XPHY_2234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70472_ _70472_/A VGND VGND VPWR VPWR _70472_/Y sky130_fd_sc_hd__inv_8
+XFILLER_592_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58194_ _68307_/A _58194_/B VGND VGND VPWR VPWR _58195_/C sky130_fd_sc_hd__nor2_2
+XPHY_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_144_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72211_ _72211_/A _72205_/X VGND VGND VPWR VPWR _72211_/X sky130_fd_sc_hd__or2_2
+XFILLER_377_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81409_ _81346_/CLK _81409_/D VGND VGND VPWR VPWR _67423_/A sky130_fd_sc_hd__dfxtp_4
+X_57145_ _57145_/A VGND VGND VPWR VPWR _57349_/A sky130_fd_sc_hd__buf_1
+XFILLER_674_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42371_ _42317_/X VGND VGND VPWR VPWR _42371_/X sky130_fd_sc_hd__buf_1
+XFILLER_718_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54357_ _54240_/X _54360_/B VGND VGND VPWR VPWR _54357_/X sky130_fd_sc_hd__or2_2
+XFILLER_546_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73191_ _75007_/A _73191_/B VGND VGND VPWR VPWR _73191_/X sky130_fd_sc_hd__or2_2
+XFILLER_303_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_652_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_672_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51569_ _51569_/A _51569_/B VGND VGND VPWR VPWR _77778_/D sky130_fd_sc_hd__nand2_2
+XPHY_15924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_297_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_372_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_1430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44110_ _44054_/X VGND VGND VPWR VPWR _44110_/Y sky130_fd_sc_hd__inv_8
+XPHY_1566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41322_ _41321_/X _41315_/B _67616_/A VGND VGND VPWR VPWR _41322_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_655_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53308_ _53312_/A VGND VGND VPWR VPWR _53331_/B sky130_fd_sc_hd__buf_1
+XFILLER_13_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72142_ _70879_/A _71028_/B _72079_/X VGND VGND VPWR VPWR _72143_/B sky130_fd_sc_hd__o21a_4
+XPHY_1577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_538_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45090_ _76756_/Q VGND VGND VPWR VPWR _45090_/Y sky130_fd_sc_hd__inv_8
+X_57076_ _66010_/A _57076_/B VGND VGND VPWR VPWR _57077_/C sky130_fd_sc_hd__nor2_2
+XPHY_33325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54288_ _54285_/Y _54287_/X VGND VGND VPWR VPWR _54288_/Y sky130_fd_sc_hd__nand2_2
+XPHY_15968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_149_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_137_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_139_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_32613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44041_ _65556_/A _44029_/Y _44265_/B VGND VGND VPWR VPWR _44041_/X sky130_fd_sc_hd__or3_4
+X_56027_ _56005_/X _56027_/B _56027_/C VGND VGND VPWR VPWR wbs_dat_o[6] sky130_fd_sc_hd__nor3_2
+XFILLER_87_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53239_ _53239_/A _53238_/X VGND VGND VPWR VPWR _53239_/Y sky130_fd_sc_hd__nand2_2
+X_41253_ _41253_/A _41256_/B VGND VGND VPWR VPWR _41253_/X sky130_fd_sc_hd__or2_2
+XFILLER_197_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76950_ _76921_/CLK _54697_/Y VGND VGND VPWR VPWR _76950_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_377_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72073_ _70086_/Y _72073_/B VGND VGND VPWR VPWR _72081_/B sky130_fd_sc_hd__or2_2
+XPHY_22101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_672_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_573_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_178_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40204_ _39831_/X _40201_/B VGND VGND VPWR VPWR _40208_/A sky130_fd_sc_hd__or2_2
+X_75901_ _75901_/A _75898_/B VGND VGND VPWR VPWR _75901_/X sky130_fd_sc_hd__or2_2
+XFILLER_492_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71024_ _71180_/B _70176_/X _71175_/A _71023_/Y VGND VGND VPWR VPWR _71024_/X sky130_fd_sc_hd__o22a_4
+XFILLER_170_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_31923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41184_ _41173_/A _41178_/B _68441_/A VGND VGND VPWR VPWR _41184_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_45_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_156_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76881_ _77252_/CLK _54950_/Y VGND VGND VPWR VPWR _59902_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_371_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_703_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_88_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_352_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_136_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_458_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47800_ _47741_/A _47799_/X VGND VGND VPWR VPWR _47800_/X sky130_fd_sc_hd__or2_2
+XFILLER_675_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_297_336 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78620_ _78589_/CLK _78620_/D VGND VGND VPWR VPWR _63986_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40135_ _40129_/X _40143_/B _79949_/Q VGND VGND VPWR VPWR _40136_/B sky130_fd_sc_hd__nand3_2
+XPHY_9841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75832_ _75937_/B VGND VGND VPWR VPWR _75844_/B sky130_fd_sc_hd__buf_1
+XFILLER_430_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_26_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48780_ _48936_/A VGND VGND VPWR VPWR _48845_/A sky130_fd_sc_hd__buf_1
+XPHY_9852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57978_ _57808_/X _57974_/Y _57978_/C VGND VGND VPWR VPWR _57979_/B sky130_fd_sc_hd__nor3_2
+XFILLER_340_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45992_ _41705_/D _46005_/B VGND VGND VPWR VPWR _45992_/Y sky130_fd_sc_hd__nor2_2
+XPHY_31989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_297_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1769 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_289_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_113_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_467_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_629_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59717_ _77128_/Q _59717_/B VGND VGND VPWR VPWR _59719_/B sky130_fd_sc_hd__nor2_2
+XPHY_21488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47731_ _47756_/B VGND VGND VPWR VPWR _47731_/X sky130_fd_sc_hd__buf_1
+XFILLER_152_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78551_ _78608_/CLK _78551_/D VGND VGND VPWR VPWR _78551_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_267_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40066_ _40066_/A _40065_/Y VGND VGND VPWR VPWR _40066_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_77_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44943_ _44943_/A VGND VGND VPWR VPWR _44944_/A sky130_fd_sc_hd__inv_8
+X_56929_ _58299_/A VGND VGND VPWR VPWR _57085_/B sky130_fd_sc_hd__buf_1
+XPHY_20754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75763_ _75755_/X _75749_/B _80608_/Q VGND VGND VPWR VPWR _75763_/Y sky130_fd_sc_hd__nand3_2
+XPHY_21499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_72975_ _73511_/A _72842_/B _64638_/A VGND VGND VPWR VPWR _72975_/Y sky130_fd_sc_hd__nand3_2
+XPHY_20765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_43_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77502_ _76762_/CLK _52602_/Y VGND VGND VPWR VPWR _60619_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_310_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74714_ _74714_/A VGND VGND VPWR VPWR _75341_/A sky130_fd_sc_hd__buf_1
+XFILLER_98_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47662_ _47661_/X VGND VGND VPWR VPWR _47663_/B sky130_fd_sc_hd__buf_1
+XFILLER_726_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59648_ _77328_/Q _59648_/B VGND VGND VPWR VPWR _59648_/Y sky130_fd_sc_hd__nor2_2
+X_71926_ _71926_/A _71148_/B VGND VGND VPWR VPWR _71926_/Y sky130_fd_sc_hd__nor2_2
+X_78482_ _78464_/CLK _78482_/D VGND VGND VPWR VPWR _63676_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_130_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44874_ _44874_/A _44821_/X VGND VGND VPWR VPWR _44874_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_144_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75694_ _75692_/X _75694_/B VGND VGND VPWR VPWR _80626_/D sky130_fd_sc_hd__nand2_2
+XFILLER_655_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_4_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49401_ _62900_/A _49401_/B VGND VGND VPWR VPWR _49401_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_93_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_662_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_644_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46613_ _46613_/A _46613_/B VGND VGND VPWR VPWR _78991_/D sky130_fd_sc_hd__nand2_2
+XFILLER_470_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77433_ _76779_/CLK _52862_/Y VGND VGND VPWR VPWR _77433_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_93_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43825_ _43822_/Y _43824_/X VGND VGND VPWR VPWR _43825_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_19_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_111_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_525_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74645_ _74643_/X _74644_/Y VGND VGND VPWR VPWR _80884_/D sky130_fd_sc_hd__nand2_2
+XFILLER_130_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_59_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_93_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47593_ _47591_/Y _47592_/X VGND VGND VPWR VPWR _78807_/D sky130_fd_sc_hd__nand2_2
+X_71857_ _71735_/A _71857_/B _71856_/Y VGND VGND VPWR VPWR _71857_/Y sky130_fd_sc_hd__nor3_2
+X_59579_ _77271_/Q _59905_/B VGND VGND VPWR VPWR _59581_/B sky130_fd_sc_hd__nor2_2
+XFILLER_253_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_267_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_207_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_46_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61610_ _61610_/A _61458_/B VGND VGND VPWR VPWR _61610_/Y sky130_fd_sc_hd__nor2_2
+X_49332_ _49332_/A VGND VGND VPWR VPWR _49332_/X sky130_fd_sc_hd__buf_1
+XFILLER_640_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_605_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46544_ _46685_/A VGND VGND VPWR VPWR _46609_/A sky130_fd_sc_hd__buf_1
+X_70808_ _70807_/X VGND VGND VPWR VPWR _70810_/B sky130_fd_sc_hd__inv_8
+XFILLER_248_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77364_ _76768_/CLK _77364_/D VGND VGND VPWR VPWR _53110_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_146_2018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43756_ _74001_/A _43734_/X VGND VGND VPWR VPWR _43757_/C sky130_fd_sc_hd__nor2_2
+XPHY_19240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62590_ _61956_/X _62588_/Y _62590_/C VGND VGND VPWR VPWR _62590_/Y sky130_fd_sc_hd__nor3_2
+X_74576_ _74557_/A VGND VGND VPWR VPWR _74582_/B sky130_fd_sc_hd__buf_1
+XFILLER_280_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_207_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40968_ _40968_/A _40967_/Y VGND VGND VPWR VPWR _40968_/Y sky130_fd_sc_hd__nand2_2
+XPHY_29774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_326_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71788_ _70613_/X _71788_/B VGND VGND VPWR VPWR _71788_/Y sky130_fd_sc_hd__nor2_2
+XPHY_19251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_363_0_u_core.clock clkbuf_9_363_0_u_core.clock/A VGND VGND VPWR VPWR _77195_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_19262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_596_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79103_ _79074_/CLK _45730_/Y VGND VGND VPWR VPWR _79103_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_56_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76315_ _38317_/A _76312_/B VGND VGND VPWR VPWR _76319_/A sky130_fd_sc_hd__or2_2
+XFILLER_569_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_365_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42707_ _42631_/A _42706_/X VGND VGND VPWR VPWR _42707_/Y sky130_fd_sc_hd__nor2_2
+X_61541_ _61541_/A _61541_/B _61541_/C VGND VGND VPWR VPWR _61542_/B sky130_fd_sc_hd__nor3_2
+X_49263_ _49263_/A _49263_/B VGND VGND VPWR VPWR _49263_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_72_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73527_ _73527_/A _73527_/B VGND VGND VPWR VPWR _81162_/D sky130_fd_sc_hd__nand2_2
+XFILLER_425_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46475_ _46667_/A _46475_/B _46475_/C VGND VGND VPWR VPWR _79016_/D sky130_fd_sc_hd__nor3_2
+X_70739_ _71840_/A VGND VGND VPWR VPWR _70952_/A sky130_fd_sc_hd__inv_8
+XFILLER_209_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77295_ _77295_/CLK _77295_/D VGND VGND VPWR VPWR _59583_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_612_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_403_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43687_ _43770_/A _43686_/Y VGND VGND VPWR VPWR _43687_/X sky130_fd_sc_hd__or2_2
+XPHY_4170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_699_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40899_ _40899_/A _40899_/B VGND VGND VPWR VPWR _79755_/D sky130_fd_sc_hd__nand2_2
+XFILLER_76_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48214_ _48214_/A _48223_/B VGND VGND VPWR VPWR _48215_/B sky130_fd_sc_hd__or2_2
+XFILLER_367_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_198_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79034_ _79497_/CLK _79034_/D VGND VGND VPWR VPWR _46218_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_233_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64260_ _64260_/A _69523_/B VGND VGND VPWR VPWR _64264_/B sky130_fd_sc_hd__nor2_2
+X_45426_ _79554_/Q _45166_/B VGND VGND VPWR VPWR _45428_/A sky130_fd_sc_hd__or2_2
+X_76246_ _38246_/A _76254_/B VGND VGND VPWR VPWR _76246_/X sky130_fd_sc_hd__or2_2
+XFILLER_128_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42638_ _42626_/A _42638_/B _42637_/Y VGND VGND VPWR VPWR _79470_/D sky130_fd_sc_hd__nor3_2
+X_61472_ _61472_/A _61462_/Y _61471_/Y VGND VGND VPWR VPWR _61472_/Y sky130_fd_sc_hd__nor3_2
+X_73458_ _73458_/A _73458_/B VGND VGND VPWR VPWR _81180_/D sky130_fd_sc_hd__nand2_2
+XFILLER_223_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49194_ _49107_/A _49168_/X VGND VGND VPWR VPWR _49194_/X sky130_fd_sc_hd__or2_2
+XFILLER_657_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_638_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_2200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_343_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63211_ _63211_/A _62901_/B VGND VGND VPWR VPWR _63211_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_638_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_575_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_565_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48145_ _63761_/A _48135_/X VGND VGND VPWR VPWR _48149_/A sky130_fd_sc_hd__nand2_2
+X_60423_ _59937_/A _60423_/B _60422_/Y VGND VGND VPWR VPWR _60429_/B sky130_fd_sc_hd__nor3_2
+XFILLER_175_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72409_ _72251_/A _72412_/B VGND VGND VPWR VPWR _72411_/A sky130_fd_sc_hd__or2_2
+XPHY_17882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45357_ _45089_/A _55655_/B VGND VGND VPWR VPWR _45365_/B sky130_fd_sc_hd__nor2_2
+XPHY_35250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64191_ _64191_/A _64191_/B _64191_/C VGND VGND VPWR VPWR _64191_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_375_340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76177_ _76177_/A _76176_/Y VGND VGND VPWR VPWR _76177_/Y sky130_fd_sc_hd__nand2_2
+XPHY_17893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_419_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42569_ _42547_/A _42569_/B VGND VGND VPWR VPWR _42569_/X sky130_fd_sc_hd__and2_2
+XFILLER_50_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_501_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73389_ _74946_/A _73497_/B VGND VGND VPWR VPWR _73389_/X sky130_fd_sc_hd__or2_2
+XPHY_35261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_119_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_726_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_308_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44308_ _44073_/X _44305_/Y _44032_/Y _44307_/X VGND VGND VPWR VPWR _44308_/X sky130_fd_sc_hd__o22a_4
+XFILLER_141_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63142_ _62804_/X _63141_/Y VGND VGND VPWR VPWR _63142_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_9_479_0_u_core.clock clkbuf_9_479_0_u_core.clock/A VGND VGND VPWR VPWR _80637_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_75128_ _75128_/A _75128_/B VGND VGND VPWR VPWR _75128_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_458_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60354_ _77188_/Q _60354_/B VGND VGND VPWR VPWR _60355_/C sky130_fd_sc_hd__nor2_2
+X_48076_ _48074_/A VGND VGND VPWR VPWR _48092_/B sky130_fd_sc_hd__buf_1
+XFILLER_102_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_196_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45288_ _45064_/A _45288_/B _45287_/Y VGND VGND VPWR VPWR _45490_/B sky130_fd_sc_hd__or3_2
+XFILLER_698_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_317_930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_556_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_174_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_3052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_11_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47027_ _47027_/A _47027_/B VGND VGND VPWR VPWR _47028_/B sky130_fd_sc_hd__and2_2
+XPHY_34593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44239_ _44239_/A _44239_/B VGND VGND VPWR VPWR _44239_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_66_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75059_ _75059_/A _75058_/X VGND VGND VPWR VPWR _75059_/Y sky130_fd_sc_hd__nand2_2
+X_79936_ _79969_/CLK _79936_/D VGND VGND VPWR VPWR _40184_/C sky130_fd_sc_hd__dfxtp_4
+X_63073_ _59258_/X VGND VGND VPWR VPWR _63675_/A sky130_fd_sc_hd__buf_1
+X_67950_ _68109_/A _67944_/Y _67949_/Y VGND VGND VPWR VPWR _67950_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_48_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60285_ _59479_/A VGND VGND VPWR VPWR _60286_/B sky130_fd_sc_hd__buf_1
+XFILLER_363_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_100_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_157_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_533_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_391_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_115_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_344_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66901_ _66727_/A _66901_/B _66901_/C VGND VGND VPWR VPWR _66912_/B sky130_fd_sc_hd__nor3_2
+XFILLER_612_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62024_ _62024_/A _61709_/X VGND VGND VPWR VPWR _62024_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67881_ _80484_/Q _67224_/B VGND VGND VPWR VPWR _67883_/B sky130_fd_sc_hd__nor2_2
+XFILLER_478_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_714_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79867_ _79805_/CLK _40463_/Y VGND VGND VPWR VPWR _66506_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_694_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_649_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_560_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38800_ _38539_/A _38800_/B VGND VGND VPWR VPWR _38803_/A sky130_fd_sc_hd__or2_2
+XFILLER_414_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69620_ _69624_/C VGND VGND VPWR VPWR _69621_/C sky130_fd_sc_hd__inv_8
+XFILLER_678_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66832_ _66832_/A _66829_/Y _66832_/C VGND VGND VPWR VPWR _66832_/Y sky130_fd_sc_hd__nor3_2
+X_78818_ _78332_/CLK _47550_/Y VGND VGND VPWR VPWR _63795_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_638_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39780_ _39780_/A _39780_/B VGND VGND VPWR VPWR _80038_/D sky130_fd_sc_hd__nand2_2
+XFILLER_48_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48978_ _63082_/A _48960_/B VGND VGND VPWR VPWR _48980_/A sky130_fd_sc_hd__nand2_2
+XFILLER_252_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79798_ _79798_/CLK _79798_/D VGND VGND VPWR VPWR _56922_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_582_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_465_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_684_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_83_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38731_ _38678_/A VGND VGND VPWR VPWR _38731_/X sky130_fd_sc_hd__buf_1
+XFILLER_410_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_350_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69551_ _69411_/A _69551_/B _69551_/C VGND VGND VPWR VPWR _69552_/C sky130_fd_sc_hd__nor3_2
+XFILLER_69_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47929_ _78721_/Q _47929_/B VGND VGND VPWR VPWR _47931_/A sky130_fd_sc_hd__nand2_2
+XFILLER_135_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66763_ _66444_/A _66761_/Y _66762_/Y VGND VGND VPWR VPWR _66763_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_9_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78749_ _78766_/CLK _47816_/Y VGND VGND VPWR VPWR _78749_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_151_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63975_ _63975_/A _59313_/B VGND VGND VPWR VPWR _63975_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_29_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_113_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_285_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_64_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_547_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68502_ _68502_/A _68492_/Y _68502_/C VGND VGND VPWR VPWR _68503_/B sky130_fd_sc_hd__nor3_2
+XFILLER_116_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_506_3000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_332_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_645_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65714_ _75676_/C _65714_/B VGND VGND VPWR VPWR _65716_/B sky130_fd_sc_hd__nor2_2
+XFILLER_256_222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_170_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_190_1094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38662_ _38662_/A _38669_/B VGND VGND VPWR VPWR _38662_/X sky130_fd_sc_hd__or2_2
+X_50940_ _50969_/A _50955_/B VGND VGND VPWR VPWR _50940_/X sky130_fd_sc_hd__or2_2
+XFILLER_285_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62926_ _63240_/A _62924_/Y _62926_/C VGND VGND VPWR VPWR _62926_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_0_3101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69482_ _65065_/A _69480_/Y _69482_/C VGND VGND VPWR VPWR _69486_/B sky130_fd_sc_hd__nor3_2
+XFILLER_446_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66694_ _66694_/A _66041_/X VGND VGND VPWR VPWR _66694_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_469_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_627_2670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_564_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_662_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_725_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80711_ _80742_/CLK _75335_/Y VGND VGND VPWR VPWR _68352_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_606_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68433_ _64794_/A VGND VGND VPWR VPWR _68433_/X sky130_fd_sc_hd__buf_1
+XFILLER_348_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65645_ _65645_/A _65129_/B VGND VGND VPWR VPWR _65647_/B sky130_fd_sc_hd__nor2_2
+XFILLER_187_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38593_ _38605_/A _38605_/B _65245_/A VGND VGND VPWR VPWR _38594_/B sky130_fd_sc_hd__nand3_2
+X_50871_ _50871_/A _50871_/B VGND VGND VPWR VPWR _77959_/D sky130_fd_sc_hd__nand2_2
+XFILLER_622_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62857_ _49979_/A _62544_/B VGND VGND VPWR VPWR _62857_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_465_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_0_3156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_83_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_662_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_623_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_326_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52610_ _52640_/B VGND VGND VPWR VPWR _52638_/B sky130_fd_sc_hd__buf_1
+XFILLER_17_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61808_ _61808_/A _61179_/B VGND VGND VPWR VPWR _61808_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_309_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80642_ _80641_/CLK _80642_/D VGND VGND VPWR VPWR _80642_/Q sky130_fd_sc_hd__dfxtp_4
+X_68364_ _68364_/A VGND VGND VPWR VPWR _68374_/A sky130_fd_sc_hd__buf_1
+XFILLER_521_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53590_ _53505_/A _53608_/B VGND VGND VPWR VPWR _53591_/B sky130_fd_sc_hd__or2_2
+XFILLER_407_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_55_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65576_ _75921_/C _65052_/X VGND VGND VPWR VPWR _65577_/C sky130_fd_sc_hd__nor2_2
+XFILLER_465_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62788_ _77940_/Q _62631_/X VGND VGND VPWR VPWR _62788_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_446_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_129_1515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_678_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67315_ _67294_/Y _67315_/B VGND VGND VPWR VPWR _67316_/B sky130_fd_sc_hd__nor2_2
+XFILLER_253_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52541_ _52569_/A _52544_/B VGND VGND VPWR VPWR _52541_/X sky130_fd_sc_hd__or2_2
+X_64527_ _81104_/Q _64527_/B VGND VGND VPWR VPWR _64531_/B sky130_fd_sc_hd__nor2_2
+XFILLER_399_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_580_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61739_ _61110_/X _61737_/Y _61739_/C VGND VGND VPWR VPWR _61743_/B sky130_fd_sc_hd__nor3_2
+X_80573_ _80543_/CLK _80573_/D VGND VGND VPWR VPWR _66722_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_401_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68295_ _64259_/A VGND VGND VPWR VPWR _68803_/B sky130_fd_sc_hd__buf_1
+XFILLER_478_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_413_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_90_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_498_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_498_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39214_ _39205_/A _39200_/B _57012_/A VGND VGND VPWR VPWR _39215_/B sky130_fd_sc_hd__nand3_2
+XFILLER_94_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_639_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55260_ _55307_/A _55260_/B VGND VGND VPWR VPWR _55261_/C sky130_fd_sc_hd__nor2_2
+XFILLER_656_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67246_ _67246_/A _67742_/B VGND VGND VPWR VPWR _67246_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_587_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52472_ _52468_/Y _52472_/B VGND VGND VPWR VPWR _77538_/D sky130_fd_sc_hd__nand2_2
+X_64458_ _65231_/A _64458_/B _64457_/Y VGND VGND VPWR VPWR _64468_/B sky130_fd_sc_hd__nor3_2
+XFILLER_574_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_33_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_539_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_303_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_142_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54211_ _54093_/A _54205_/B VGND VGND VPWR VPWR _54212_/B sky130_fd_sc_hd__or2_2
+XFILLER_33_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39145_ _39143_/X _39145_/B VGND VGND VPWR VPWR _39145_/Y sky130_fd_sc_hd__nand2_2
+X_51423_ _51485_/A _51412_/X VGND VGND VPWR VPWR _51424_/B sky130_fd_sc_hd__or2_2
+XFILLER_671_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63409_ _78680_/Q _63408_/X VGND VGND VPWR VPWR _63410_/C sky130_fd_sc_hd__nor2_2
+XPHY_15209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_21_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_279_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_149_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55191_ _55191_/A VGND VGND VPWR VPWR _55191_/Y sky130_fd_sc_hd__inv_8
+X_67177_ _65186_/A VGND VGND VPWR VPWR _67335_/B sky130_fd_sc_hd__buf_1
+XFILLER_36_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_555_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64389_ _67498_/A VGND VGND VPWR VPWR _64389_/X sky130_fd_sc_hd__buf_1
+XFILLER_342_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_300_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_574_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_292_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_437_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_367_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_300_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_394_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_378_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54142_ _54142_/A _54146_/B VGND VGND VPWR VPWR _54145_/A sky130_fd_sc_hd__nand2_2
+XPHY_14508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66128_ _65294_/A VGND VGND VPWR VPWR _66162_/A sky130_fd_sc_hd__buf_1
+XFILLER_105_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51354_ _60918_/A _51339_/X VGND VGND VPWR VPWR _51356_/A sky130_fd_sc_hd__nand2_2
+X_39076_ _39074_/X _39075_/Y VGND VGND VPWR VPWR _39076_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_394_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_372_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_543_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_492_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_140_1461 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_292_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50305_ _61888_/A _50308_/B VGND VGND VPWR VPWR _50307_/A sky130_fd_sc_hd__nand2_2
+XFILLER_137_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81125_ _80790_/CLK _73667_/Y VGND VGND VPWR VPWR _81125_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_66_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54073_ _54069_/Y _54072_/X VGND VGND VPWR VPWR _54073_/Y sky130_fd_sc_hd__nand2_2
+X_58950_ _59077_/A VGND VGND VPWR VPWR _58950_/X sky130_fd_sc_hd__buf_1
+XFILLER_181_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66059_ _66707_/A _66057_/Y _66058_/Y VGND VGND VPWR VPWR _66060_/C sky130_fd_sc_hd__nor3_2
+XFILLER_574_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_257_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51285_ _51308_/B VGND VGND VPWR VPWR _51307_/B sky130_fd_sc_hd__buf_1
+XPHY_13829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_140_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_192_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57901_ _67629_/A _58222_/B VGND VGND VPWR VPWR _57904_/B sky130_fd_sc_hd__nor2_2
+X_53024_ _54935_/A _53052_/B VGND VGND VPWR VPWR _53050_/B sky130_fd_sc_hd__or2_2
+XPHY_31219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_152_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_28_0_u_core.clock clkbuf_5_14_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_57_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_634_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_50236_ _78125_/Q _50233_/B VGND VGND VPWR VPWR _50238_/A sky130_fd_sc_hd__nand2_2
+X_81056_ _81060_/CLK _73928_/Y VGND VGND VPWR VPWR _67246_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_431_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_62_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58881_ _58881_/A VGND VGND VPWR VPWR _58982_/A sky130_fd_sc_hd__buf_1
+XFILLER_270_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_49_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_165_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_612_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_689_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_30518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80007_ _80039_/CLK _39920_/Y VGND VGND VPWR VPWR _80007_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_134_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_133_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_685_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57832_ _75760_/C _57512_/B VGND VGND VPWR VPWR _57832_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_496_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_323_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_667_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69818_ _69818_/A _69813_/Y _69815_/X _69818_/D VGND VGND VPWR VPWR _69826_/B sky130_fd_sc_hd__or4_2
+XPHY_9148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_489_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50167_ _78145_/Q _50170_/B VGND VGND VPWR VPWR _50167_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_337_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39978_ _39964_/A _39968_/B _79991_/Q VGND VGND VPWR VPWR _39978_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_353_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_267_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_611_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_667_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_88_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_8447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38929_ _38926_/A _38926_/B _80253_/Q VGND VGND VPWR VPWR _38930_/B sky130_fd_sc_hd__nand3_2
+XFILLER_290_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57763_ _57763_/A _57763_/B VGND VGND VPWR VPWR _57764_/B sky130_fd_sc_hd__nor2_2
+XFILLER_628_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_44_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69749_ _69805_/B _69810_/B VGND VGND VPWR VPWR _69749_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_462_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50098_ _49800_/A VGND VGND VPWR VPWR _50189_/A sky130_fd_sc_hd__buf_1
+XFILLER_449_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54975_ _54975_/A _54974_/X VGND VGND VPWR VPWR _76874_/D sky130_fd_sc_hd__nand2_2
+XFILLER_487_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_294_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_88_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_135_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_409_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59502_ _59000_/X VGND VGND VPWR VPWR _59502_/X sky130_fd_sc_hd__buf_1
+XFILLER_87_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56714_ _56476_/A _56714_/B _56713_/Y VGND VGND VPWR VPWR _56715_/B sky130_fd_sc_hd__nor3_2
+XFILLER_268_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_131_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_169_2007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41940_ _41930_/X VGND VGND VPWR VPWR _41940_/Y sky130_fd_sc_hd__inv_8
+XFILLER_667_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53926_ _53926_/A _53934_/B VGND VGND VPWR VPWR _53926_/X sky130_fd_sc_hd__or2_2
+XFILLER_216_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72760_ _72758_/X _72759_/Y VGND VGND VPWR VPWR _81346_/D sky130_fd_sc_hd__nand2_2
+XPHY_7757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_726_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39505 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_2845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57694_ _57694_/A _57527_/X VGND VGND VPWR VPWR _57695_/C sky130_fd_sc_hd__nor2_2
+XFILLER_25_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39516 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_409_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39527 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71711_ _71795_/A _71711_/B VGND VGND VPWR VPWR _71712_/B sky130_fd_sc_hd__and2_2
+XFILLER_487_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59433_ _59433_/A _63887_/B VGND VGND VPWR VPWR _59435_/B sky130_fd_sc_hd__nor2_2
+XFILLER_622_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39538 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80909_ _81005_/CLK _74552_/Y VGND VGND VPWR VPWR _69325_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_29015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56645_ _57993_/A VGND VGND VPWR VPWR _56648_/A sky130_fd_sc_hd__buf_1
+XFILLER_275_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41871_ _41883_/A VGND VGND VPWR VPWR _41871_/X sky130_fd_sc_hd__buf_1
+XPHY_38804 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53857_ _77172_/Q _53856_/X VGND VGND VPWR VPWR _53857_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_344_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39549 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_606_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72691_ _72313_/X _72688_/B VGND VGND VPWR VPWR _72693_/A sky130_fd_sc_hd__or2_2
+XFILLER_151_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_652_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38815 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38826 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43610_ _43791_/A VGND VGND VPWR VPWR _43616_/A sky130_fd_sc_hd__buf_1
+XFILLER_597_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74430_ _74024_/X _74425_/B VGND VGND VPWR VPWR _74430_/X sky130_fd_sc_hd__or2_2
+XFILLER_90_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38837 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_366_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40822_ _40445_/X _40814_/B VGND VGND VPWR VPWR _40824_/A sky130_fd_sc_hd__or2_2
+XFILLER_16_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52808_ _52893_/A _52811_/B VGND VGND VPWR VPWR _52808_/X sky130_fd_sc_hd__or2_2
+XFILLER_246_2930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59364_ _54333_/A _59527_/B VGND VGND VPWR VPWR _59364_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_262_247 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71642_ _71301_/A VGND VGND VPWR VPWR _71642_/X sky130_fd_sc_hd__buf_1
+XFILLER_216_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38848 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44590_ _44590_/A VGND VGND VPWR VPWR _44591_/B sky130_fd_sc_hd__inv_8
+XFILLER_74_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56576_ _56744_/A _56574_/Y _56576_/C VGND VGND VPWR VPWR _56576_/Y sky130_fd_sc_hd__nor3_2
+XPHY_28325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_285_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_422_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_7_61_0_u_core.clock clkbuf_7_61_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_61_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_290_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_261_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53788_ _53639_/A VGND VGND VPWR VPWR _53819_/A sky130_fd_sc_hd__buf_1
+XPHY_38859 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_73_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_186_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_164_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_404_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_290_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58315_ _68456_/A _58236_/X VGND VGND VPWR VPWR _58315_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_264_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55527_ _55624_/A _55527_/B _55526_/Y VGND VGND VPWR VPWR _55527_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_147_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43541_ _69719_/B _55886_/B _43537_/X _43540_/Y VGND VGND VPWR VPWR _55878_/D sky130_fd_sc_hd__nor4_2
+X_74361_ _74371_/A _74371_/B _80953_/Q VGND VGND VPWR VPWR _74361_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_344_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52739_ _52736_/Y _52739_/B VGND VGND VPWR VPWR _77466_/D sky130_fd_sc_hd__nand2_2
+X_40753_ _40756_/A _40645_/B _64662_/A VGND VGND VPWR VPWR _40753_/Y sky130_fd_sc_hd__nand3_2
+XPHY_28369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71573_ _71573_/A _71383_/B VGND VGND VPWR VPWR _71576_/C sky130_fd_sc_hd__nor2_2
+XFILLER_460_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59295_ _59295_/A _59295_/B _59294_/Y VGND VGND VPWR VPWR _59301_/B sky130_fd_sc_hd__nor3_2
+XPHY_17101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_499_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_204_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_621_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_246_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76100_ _76251_/A _76085_/X VGND VGND VPWR VPWR _76100_/X sky130_fd_sc_hd__or2_2
+XFILLER_403_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_34_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73312_ _73312_/A _73312_/B VGND VGND VPWR VPWR _81219_/D sky130_fd_sc_hd__nand2_2
+XPHY_27657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46260_ _45161_/A _46236_/B VGND VGND VPWR VPWR _46260_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_125_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58246_ _68264_/A _58564_/B VGND VGND VPWR VPWR _58246_/Y sky130_fd_sc_hd__nor2_2
+X_70524_ _70523_/Y VGND VGND VPWR VPWR _70524_/Y sky130_fd_sc_hd__inv_8
+XFILLER_494_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77080_ _77596_/CLK _54209_/Y VGND VGND VPWR VPWR _77080_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_231_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43472_ _72013_/B _43478_/B VGND VGND VPWR VPWR _43474_/A sky130_fd_sc_hd__or2_2
+XPHY_2020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55458_ _55469_/A _55458_/B _55458_/C VGND VGND VPWR VPWR _55458_/X sky130_fd_sc_hd__or3_2
+X_74292_ _74173_/A _74307_/B VGND VGND VPWR VPWR _74292_/X sky130_fd_sc_hd__or2_2
+XFILLER_360_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_600_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40684_ _40565_/A _40677_/B VGND VGND VPWR VPWR _40684_/X sky130_fd_sc_hd__or2_2
+XPHY_26934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_70_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45211_ _45211_/A VGND VGND VPWR VPWR _45213_/A sky130_fd_sc_hd__inv_8
+XPHY_26956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76031_ _76031_/A _76031_/B VGND VGND VPWR VPWR _76031_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_230_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_17178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42423_ _70014_/B _46071_/B VGND VGND VPWR VPWR _42806_/C sky130_fd_sc_hd__nor2_2
+XPHY_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54409_ _54409_/A _54409_/B VGND VGND VPWR VPWR _54409_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_401_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_73243_ _75046_/A _73237_/B VGND VGND VPWR VPWR _73243_/X sky130_fd_sc_hd__or2_2
+XFILLER_201_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46191_ _46191_/A _46191_/B _46191_/C VGND VGND VPWR VPWR _46192_/B sky130_fd_sc_hd__and3_2
+XFILLER_557_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_537_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58177_ _58336_/A _58173_/Y _58177_/C VGND VGND VPWR VPWR _58177_/Y sky130_fd_sc_hd__nor3_2
+X_70455_ _70379_/Y _70376_/Y VGND VGND VPWR VPWR _70455_/X sky130_fd_sc_hd__or2_2
+XFILLER_157_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55389_ _55389_/A _55388_/X VGND VGND VPWR VPWR _76815_/D sky130_fd_sc_hd__nand2_2
+XFILLER_507_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_518_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_557_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_157_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_494_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_392_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_11_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45142_ _45142_/A _45087_/B VGND VGND VPWR VPWR _45142_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_197_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57128_ _58463_/A VGND VGND VPWR VPWR _57128_/X sky130_fd_sc_hd__buf_1
+XFILLER_715_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42354_ _42343_/Y _42328_/B VGND VGND VPWR VPWR _42354_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_459_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_455_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73174_ _73171_/Y _73173_/X VGND VGND VPWR VPWR _81250_/D sky130_fd_sc_hd__nand2_2
+XFILLER_690_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_396_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_141_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_557_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70386_ _42111_/A VGND VGND VPWR VPWR _56266_/A sky130_fd_sc_hd__inv_8
+XFILLER_193_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_553_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_533_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_295_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_436_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41305_ _41305_/A _41304_/Y VGND VGND VPWR VPWR _41305_/Y sky130_fd_sc_hd__nand2_2
+XPHY_33144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72125_ _38859_/A _73625_/A VGND VGND VPWR VPWR _72189_/A sky130_fd_sc_hd__or2_2
+XFILLER_278_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49950_ _50012_/A _49973_/B VGND VGND VPWR VPWR _49950_/X sky130_fd_sc_hd__or2_2
+XFILLER_472_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_455_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45073_ _45412_/B VGND VGND VPWR VPWR _45087_/B sky130_fd_sc_hd__buf_1
+XPHY_32410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_158_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57059_ _65800_/A _56816_/B VGND VGND VPWR VPWR _57059_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_655_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42285_ _42285_/A VGND VGND VPWR VPWR _42286_/B sky130_fd_sc_hd__buf_1
+XFILLER_531_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77982_ _77987_/CLK _77982_/D VGND VGND VPWR VPWR _61832_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_651_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_158_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_7_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_704_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44024_ _79250_/Q VGND VGND VPWR VPWR _44226_/A sky130_fd_sc_hd__inv_8
+X_48901_ _48901_/A _48900_/X VGND VGND VPWR VPWR _48901_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_570_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79721_ _79626_/CLK _79721_/D VGND VGND VPWR VPWR _58499_/A sky130_fd_sc_hd__dfxtp_4
+X_41236_ _41236_/A _41236_/B VGND VGND VPWR VPWR _79673_/D sky130_fd_sc_hd__nand2_2
+XFILLER_715_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60070_ _63952_/A VGND VGND VPWR VPWR _60073_/A sky130_fd_sc_hd__buf_1
+XFILLER_372_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76933_ _76917_/CLK _54757_/Y VGND VGND VPWR VPWR _58892_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_355_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_313_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72056_ _72056_/A VGND VGND VPWR VPWR _72057_/A sky130_fd_sc_hd__inv_8
+XFILLER_325_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49881_ _61751_/A _49860_/B VGND VGND VPWR VPWR _49881_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_153_0_u_core.clock clkbuf_8_76_0_u_core.clock/X VGND VGND VPWR VPWR _79254_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_125_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_530_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_502_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_516_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71007_ _71256_/A VGND VGND VPWR VPWR _71007_/Y sky130_fd_sc_hd__inv_8
+XFILLER_87_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_687_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_418_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48832_ _48832_/A VGND VGND VPWR VPWR _48844_/B sky130_fd_sc_hd__buf_1
+XFILLER_551_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79652_ _79716_/CLK _79652_/D VGND VGND VPWR VPWR _58097_/A sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_8_41_0_u_core.clock clkbuf_8_40_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_83_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_41167_ _41240_/A VGND VGND VPWR VPWR _41168_/B sky130_fd_sc_hd__buf_1
+XPHY_31764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76864_ _78386_/CLK _55009_/Y VGND VGND VPWR VPWR _59678_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_140_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_314_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_3110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_3044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78603_ _78599_/CLK _48402_/Y VGND VGND VPWR VPWR _78603_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_371_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_124_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_449_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40118_ _40112_/A _40104_/X _64821_/A VGND VGND VPWR VPWR _40119_/B sky130_fd_sc_hd__nand3_2
+XFILLER_709_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75815_ _75815_/A _75814_/Y VGND VGND VPWR VPWR _80594_/D sky130_fd_sc_hd__nand2_2
+XFILLER_300_115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_130_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48763_ _48889_/A _54517_/A VGND VGND VPWR VPWR _48767_/A sky130_fd_sc_hd__or2_2
+XPHY_9682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79583_ _79584_/CLK _41575_/Y VGND VGND VPWR VPWR _67122_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_635_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45975_ _42720_/B _45968_/B VGND VGND VPWR VPWR _45976_/C sky130_fd_sc_hd__nor2_2
+XFILLER_171_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41098_ _41097_/X _41089_/X VGND VGND VPWR VPWR _41098_/X sky130_fd_sc_hd__or2_2
+XFILLER_566_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76795_ _76791_/CLK _76795_/D VGND VGND VPWR VPWR _76795_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_9693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_117_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_20562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_212_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_332_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47714_ _47773_/A _47710_/X VGND VGND VPWR VPWR _47715_/B sky130_fd_sc_hd__or2_2
+XFILLER_522_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78534_ _77525_/CLK _48670_/Y VGND VGND VPWR VPWR _63115_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_544_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_305_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44926_ _44978_/B VGND VGND VPWR VPWR _45444_/A sky130_fd_sc_hd__buf_1
+XFILLER_616_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63760_ _48180_/A _63178_/B VGND VGND VPWR VPWR _63760_/Y sky130_fd_sc_hd__nor2_2
+X_40049_ _40068_/A VGND VGND VPWR VPWR _40065_/B sky130_fd_sc_hd__buf_1
+X_75746_ _75746_/A _75745_/Y VGND VGND VPWR VPWR _80613_/D sky130_fd_sc_hd__nand2_2
+XPHY_20584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_583_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60972_ _59378_/A VGND VGND VPWR VPWR _60972_/X sky130_fd_sc_hd__buf_1
+X_72958_ _72956_/X _72957_/Y VGND VGND VPWR VPWR _81300_/D sky130_fd_sc_hd__nand2_2
+Xclkbuf_8_209_0_u_core.clock clkbuf_7_104_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_419_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_310_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48694_ _63282_/A _48682_/B VGND VGND VPWR VPWR _48696_/A sky130_fd_sc_hd__nand2_2
+XPHY_20595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_269_0_u_core.clock clkbuf_9_269_0_u_core.clock/A VGND VGND VPWR VPWR _81338_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_43_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_427_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62711_ _50097_/A _62711_/B VGND VGND VPWR VPWR _62712_/C sky130_fd_sc_hd__nor2_2
+XFILLER_152_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_709_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47645_ _47643_/Y _47644_/X VGND VGND VPWR VPWR _78793_/D sky130_fd_sc_hd__nand2_2
+X_71909_ _71817_/A _71909_/B _71909_/C VGND VGND VPWR VPWR _71909_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_663_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78465_ _78451_/CLK _48938_/Y VGND VGND VPWR VPWR _78465_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_38_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44857_ _44655_/A _44883_/B _44854_/X VGND VGND VPWR VPWR _44857_/X sky130_fd_sc_hd__or3_2
+XFILLER_78_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63691_ _63691_/A _63412_/X VGND VGND VPWR VPWR _63693_/B sky130_fd_sc_hd__nor2_2
+XFILLER_65_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75677_ _75675_/X _75677_/B VGND VGND VPWR VPWR _75677_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_345_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72889_ _74728_/A VGND VGND VPWR VPWR _73796_/A sky130_fd_sc_hd__buf_1
+XFILLER_187_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_427_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_408_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65430_ _65430_/A _65426_/Y _65430_/C VGND VGND VPWR VPWR _65440_/B sky130_fd_sc_hd__nor3_2
+XFILLER_632_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77416_ _77060_/CLK _52927_/Y VGND VGND VPWR VPWR _77416_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_165_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43808_ _43801_/Y _43808_/B VGND VGND VPWR VPWR _43808_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_384_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62642_ _62169_/X _62640_/Y _62641_/Y VGND VGND VPWR VPWR _62642_/Y sky130_fd_sc_hd__nor3_2
+X_74628_ _74500_/A _74613_/X VGND VGND VPWR VPWR _74628_/X sky130_fd_sc_hd__or2_2
+XFILLER_59_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47576_ _47605_/A _47597_/B VGND VGND VPWR VPWR _47577_/B sky130_fd_sc_hd__or2_2
+XFILLER_640_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_19_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78396_ _78758_/CLK _49202_/Y VGND VGND VPWR VPWR _64036_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_267_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_0_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_207_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44788_ _44788_/A _44759_/X _44786_/B VGND VGND VPWR VPWR _44790_/A sky130_fd_sc_hd__or3_2
+XFILLER_597_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_697_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_75 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49315_ _53139_/A _55795_/A VGND VGND VPWR VPWR _49316_/A sky130_fd_sc_hd__or2_2
+XFILLER_245_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46527_ _46527_/A _46527_/B VGND VGND VPWR VPWR _79009_/D sky130_fd_sc_hd__nand2_2
+XFILLER_74_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65361_ _38841_/C _65857_/B VGND VGND VPWR VPWR _65361_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_460_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77347_ _77252_/CLK _53201_/Y VGND VGND VPWR VPWR _77347_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43739_ _43905_/A VGND VGND VPWR VPWR _43739_/X sky130_fd_sc_hd__buf_1
+XFILLER_605_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74559_ _74559_/A VGND VGND VPWR VPWR _74563_/A sky130_fd_sc_hd__buf_1
+XPHY_19070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62573_ _62573_/A _62105_/X VGND VGND VPWR VPWR _62573_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_34_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67100_ _66444_/A _67097_/Y _67099_/Y VGND VGND VPWR VPWR _67100_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_181_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64312_ _64134_/A VGND VGND VPWR VPWR _64312_/X sky130_fd_sc_hd__buf_1
+XFILLER_611_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_62_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49246_ _49246_/A _49236_/X VGND VGND VPWR VPWR _49246_/X sky130_fd_sc_hd__or2_2
+X_61524_ _61374_/A _61524_/B _61524_/C VGND VGND VPWR VPWR _61525_/C sky130_fd_sc_hd__nor3_2
+XFILLER_72_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68080_ _67752_/A _68080_/B _68079_/Y VGND VGND VPWR VPWR _68081_/C sky130_fd_sc_hd__nor3_2
+XPHY_28892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46458_ _43184_/Y _46440_/A _46446_/Y _46457_/X VGND VGND VPWR VPWR _46458_/X sky130_fd_sc_hd__o22a_4
+X_65292_ _64250_/A VGND VGND VPWR VPWR _65375_/A sky130_fd_sc_hd__buf_1
+XFILLER_421_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77278_ _77278_/CLK _77278_/D VGND VGND VPWR VPWR _59424_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_597_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_18380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_50_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_575_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_198_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67031_ _44338_/Y _66866_/B VGND VGND VPWR VPWR _67031_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_89_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79017_ _79017_/CLK _79017_/D VGND VGND VPWR VPWR _43241_/A sky130_fd_sc_hd__dfxtp_4
+X_45409_ _45464_/A VGND VGND VPWR VPWR _45409_/X sky130_fd_sc_hd__buf_1
+X_64243_ _64243_/A _64242_/Y VGND VGND VPWR VPWR _64244_/B sky130_fd_sc_hd__nor2_2
+Xclkbuf_9_21_0_u_core.clock clkbuf_9_21_0_u_core.clock/A VGND VGND VPWR VPWR _78114_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_76229_ _76220_/X _76244_/B _69444_/A VGND VGND VPWR VPWR _76230_/B sky130_fd_sc_hd__nand3_2
+XFILLER_349_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_304_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_241_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_584_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61455_ _61302_/A _61453_/Y _61455_/C VGND VGND VPWR VPWR _61462_/B sky130_fd_sc_hd__nor3_2
+XFILLER_33_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_226_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49177_ _78402_/Q _49169_/X VGND VGND VPWR VPWR _49177_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_558_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_726_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46389_ _46389_/A _46388_/Y VGND VGND VPWR VPWR _46390_/C sky130_fd_sc_hd__nor2_2
+XFILLER_397_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_3016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_102_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_364_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_348_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_60406_ _53281_/A _60406_/B VGND VGND VPWR VPWR _60408_/B sky130_fd_sc_hd__nor2_2
+XFILLER_175_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48128_ _48126_/Y _48128_/B VGND VGND VPWR VPWR _78670_/D sky130_fd_sc_hd__nand2_2
+XFILLER_321_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_102_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64174_ _64174_/A VGND VGND VPWR VPWR _64175_/B sky130_fd_sc_hd__buf_1
+XFILLER_552_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61386_ _61386_/A _61233_/B VGND VGND VPWR VPWR _61386_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_375_181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_258_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_30_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_192 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_198_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_119_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63125_ _59647_/A VGND VGND VPWR VPWR _63125_/X sky130_fd_sc_hd__buf_1
+XFILLER_89_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_612_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48059_ _48059_/A _48044_/X VGND VGND VPWR VPWR _48061_/A sky130_fd_sc_hd__nand2_2
+XFILLER_517_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60337_ _60317_/Y _60336_/Y VGND VGND VPWR VPWR _60337_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_454_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68982_ _58531_/A _68821_/X VGND VGND VPWR VPWR _68982_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_363_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_141_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_151 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_85_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_174_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_395_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39901_ _39901_/A VGND VGND VPWR VPWR _39957_/A sky130_fd_sc_hd__buf_1
+XFILLER_85_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_524_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67933_ _81380_/Q _68419_/B VGND VGND VPWR VPWR _67934_/C sky130_fd_sc_hd__nor2_2
+XFILLER_176_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63056_ _49164_/A _62745_/X VGND VGND VPWR VPWR _63057_/C sky130_fd_sc_hd__nor2_2
+X_51070_ _51070_/A _51058_/X VGND VGND VPWR VPWR _51070_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_436_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_432_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79919_ _79921_/CLK _79919_/D VGND VGND VPWR VPWR _64353_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_315_2110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60268_ _60110_/A _60268_/B _60267_/Y VGND VGND VPWR VPWR _60268_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_334_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_412_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_471_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50021_ _78184_/Q _50007_/B VGND VGND VPWR VPWR _50023_/A sky130_fd_sc_hd__nand2_2
+XFILLER_337_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62007_ _62007_/A _61850_/X VGND VGND VPWR VPWR _62009_/B sky130_fd_sc_hd__nor2_2
+XFILLER_521_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_115_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39832_ _39831_/X _39828_/B VGND VGND VPWR VPWR _39832_/X sky130_fd_sc_hd__or2_2
+XFILLER_569_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_436_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_131_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_478_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67864_ _67864_/A _67864_/B VGND VGND VPWR VPWR _67864_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_350_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60199_ _60199_/A _60199_/B _60199_/C VGND VGND VPWR VPWR _60219_/A sky130_fd_sc_hd__nor3_2
+XFILLER_153_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_332_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69603_ _69662_/B VGND VGND VPWR VPWR _69725_/B sky130_fd_sc_hd__buf_1
+XFILLER_520_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_452_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_320_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_569_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66815_ _80605_/Q _66815_/B VGND VGND VPWR VPWR _66816_/C sky130_fd_sc_hd__nor2_2
+XFILLER_311_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39763_ _39763_/A VGND VGND VPWR VPWR _39833_/A sky130_fd_sc_hd__buf_1
+XPHY_7009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_1131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67795_ _72887_/C _67628_/X VGND VGND VPWR VPWR _67796_/C sky130_fd_sc_hd__nor2_2
+XFILLER_170_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_707_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_629_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_582_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38714_ _38678_/A VGND VGND VPWR VPWR _38714_/X sky130_fd_sc_hd__buf_1
+XFILLER_432_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69534_ _58789_/A _64395_/B VGND VGND VPWR VPWR _69534_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_85_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_684_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66746_ _66251_/X _66742_/Y _66746_/C VGND VGND VPWR VPWR _66746_/Y sky130_fd_sc_hd__nor3_2
+X_54760_ _54760_/A _54771_/B VGND VGND VPWR VPWR _54763_/A sky130_fd_sc_hd__nand2_2
+XFILLER_708_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51972_ _51972_/A VGND VGND VPWR VPWR _52037_/B sky130_fd_sc_hd__buf_1
+X_39694_ _39694_/A _39693_/Y VGND VGND VPWR VPWR _39694_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63958_ _63958_/A _59107_/B VGND VGND VPWR VPWR _63958_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_22_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_233_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53711_ _53708_/Y _53710_/X VGND VGND VPWR VPWR _77212_/D sky130_fd_sc_hd__nand2_2
+XFILLER_484_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_406_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_582_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38645_ _38682_/A VGND VGND VPWR VPWR _38660_/B sky130_fd_sc_hd__buf_1
+XFILLER_528_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50923_ _50921_/Y _50922_/X VGND VGND VPWR VPWR _77945_/D sky130_fd_sc_hd__nand2_2
+X_62909_ _77900_/Q _62909_/B VGND VGND VPWR VPWR _62910_/C sky130_fd_sc_hd__nor2_2
+X_69465_ _80910_/Q _69465_/B VGND VGND VPWR VPWR _69467_/B sky130_fd_sc_hd__nor2_2
+XFILLER_465_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_473_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54691_ _54542_/A VGND VGND VPWR VPWR _54807_/A sky130_fd_sc_hd__buf_1
+XFILLER_285_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66677_ _66677_/A _67010_/B VGND VGND VPWR VPWR _66677_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_634_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_662_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63889_ _63758_/A _63887_/Y _63889_/C VGND VGND VPWR VPWR _63889_/Y sky130_fd_sc_hd__nor3_2
+XPHY_5629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_406_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_85_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56430_ _56252_/X VGND VGND VPWR VPWR _56433_/A sky130_fd_sc_hd__buf_1
+XPHY_4906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68416_ _68416_/A _69063_/B VGND VGND VPWR VPWR _68416_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_265_2849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_113_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53642_ _59382_/A _53642_/B VGND VGND VPWR VPWR _53645_/A sky130_fd_sc_hd__nand2_2
+XFILLER_525_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65628_ _65460_/A _65628_/B _65628_/C VGND VGND VPWR VPWR _65629_/C sky130_fd_sc_hd__nor3_2
+XPHY_4917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50854_ _50854_/A _50854_/B VGND VGND VPWR VPWR _77963_/D sky130_fd_sc_hd__nand2_2
+X_38576_ _76182_/A VGND VGND VPWR VPWR _38578_/A sky130_fd_sc_hd__buf_1
+XFILLER_461_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69396_ _64838_/A _69392_/Y _69395_/Y VGND VGND VPWR VPWR _69396_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_187_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_348_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_183_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_328_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_285_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80625_ _80659_/CLK _75698_/Y VGND VGND VPWR VPWR _75697_/C sky130_fd_sc_hd__dfxtp_4
+X_56361_ _56338_/Y _56361_/B VGND VGND VPWR VPWR _56362_/B sky130_fd_sc_hd__nor2_2
+XFILLER_341_2715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_2261 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68347_ _66700_/A VGND VGND VPWR VPWR _68348_/B sky130_fd_sc_hd__buf_1
+XFILLER_541_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53573_ _77248_/Q _53570_/B VGND VGND VPWR VPWR _53575_/A sky130_fd_sc_hd__nand2_2
+XPHY_36709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65559_ _65559_/A _65714_/B VGND VGND VPWR VPWR _65561_/B sky130_fd_sc_hd__nor2_2
+XFILLER_414_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_603_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50785_ _50785_/A _50785_/B VGND VGND VPWR VPWR _50786_/B sky130_fd_sc_hd__or2_2
+XFILLER_64_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_560_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58100_ _58100_/A _58334_/B VGND VGND VPWR VPWR _58101_/C sky130_fd_sc_hd__nor2_2
+XFILLER_359_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55312_ _55312_/A VGND VGND VPWR VPWR _55312_/X sky130_fd_sc_hd__buf_1
+XFILLER_575_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52524_ _52547_/B VGND VGND VPWR VPWR _52546_/B sky130_fd_sc_hd__buf_1
+XPHY_26219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59080_ _77053_/Q _59080_/B VGND VGND VPWR VPWR _59080_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_224_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56292_ _56600_/A VGND VGND VPWR VPWR _57466_/A sky130_fd_sc_hd__buf_1
+XFILLER_322_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80556_ _80525_/CLK _80556_/D VGND VGND VPWR VPWR _75958_/C sky130_fd_sc_hd__dfxtp_4
+X_68278_ _68278_/A _67621_/X VGND VGND VPWR VPWR _68278_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_80_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58031_ _58184_/A _58031_/B _58031_/C VGND VGND VPWR VPWR _58035_/B sky130_fd_sc_hd__nor3_2
+XFILLER_142_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55243_ _55243_/A _55242_/Y VGND VGND VPWR VPWR _76822_/D sky130_fd_sc_hd__or2_2
+X_67229_ _38403_/C _67064_/B VGND VGND VPWR VPWR _67229_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_563_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_421_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52455_ _52341_/X _52429_/X VGND VGND VPWR VPWR _52456_/B sky130_fd_sc_hd__or2_2
+XFILLER_639_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80487_ _80436_/CLK _76256_/Y VGND VGND VPWR VPWR _80487_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_593_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_224_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_279_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39128_ _39128_/A VGND VGND VPWR VPWR _39176_/A sky130_fd_sc_hd__buf_1
+X_51406_ _51711_/A VGND VGND VPWR VPWR _51475_/A sky130_fd_sc_hd__buf_1
+XFILLER_178_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70240_ _70174_/X _70233_/X _70234_/X _70239_/Y VGND VGND VPWR VPWR _70240_/X sky130_fd_sc_hd__o22a_4
+XFILLER_558_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_124_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55174_ _55174_/A _55174_/B VGND VGND VPWR VPWR _76837_/D sky130_fd_sc_hd__or2_2
+XFILLER_335_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52386_ _52358_/A _52395_/B VGND VGND VPWR VPWR _52386_/X sky130_fd_sc_hd__or2_2
+XFILLER_715_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_689_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_165_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54125_ _54093_/A _54119_/B VGND VGND VPWR VPWR _54126_/B sky130_fd_sc_hd__or2_2
+XFILLER_339_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_504_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39059_ _38562_/A _39056_/B VGND VGND VPWR VPWR _39062_/A sky130_fd_sc_hd__or2_2
+X_51337_ _51337_/A _51336_/X VGND VGND VPWR VPWR _51337_/Y sky130_fd_sc_hd__nand2_2
+XPHY_13604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_166_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70171_ _70408_/B VGND VGND VPWR VPWR _70171_/X sky130_fd_sc_hd__buf_1
+XPHY_14349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59982_ _59838_/A _59977_/Y _59981_/Y VGND VGND VPWR VPWR _59982_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_154_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_570_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_543_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_571_2783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_517_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_472_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_503_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81108_ _81106_/CLK _81108_/D VGND VGND VPWR VPWR _65258_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_194_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42070_ _42070_/A VGND VGND VPWR VPWR _42082_/C sky130_fd_sc_hd__buf_1
+XPHY_13648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54056_ _53942_/A _54044_/B VGND VGND VPWR VPWR _54057_/B sky130_fd_sc_hd__or2_2
+X_58933_ _58933_/A _63892_/B VGND VGND VPWR VPWR _58934_/C sky130_fd_sc_hd__nor2_2
+XFILLER_381_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_356_2991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51268_ _60870_/A _51280_/B VGND VGND VPWR VPWR _51269_/B sky130_fd_sc_hd__nand2_2
+XPHY_12914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_68_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41021_ _41019_/X _41021_/B VGND VGND VPWR VPWR _41021_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_235_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_415_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53007_ _53007_/A _53019_/B VGND VGND VPWR VPWR _53008_/B sky130_fd_sc_hd__or2_2
+XFILLER_46_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50219_ _50217_/Y _50219_/B VGND VGND VPWR VPWR _78131_/D sky130_fd_sc_hd__nand2_2
+XFILLER_216_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73930_ _73892_/X VGND VGND VPWR VPWR _73930_/X sky130_fd_sc_hd__buf_1
+XFILLER_62_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81039_ _80809_/CLK _73988_/Y VGND VGND VPWR VPWR _64200_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_454_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58864_ _58861_/Y _58869_/B _58853_/X VGND VGND VPWR VPWR _78979_/D sky130_fd_sc_hd__nor3_2
+XFILLER_238_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51199_ _62806_/A _51198_/X VGND VGND VPWR VPWR _51199_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_650_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_513_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_27_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_684_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57815_ _57815_/A _57815_/B _57815_/C VGND VGND VPWR VPWR _57816_/C sky130_fd_sc_hd__nor3_2
+XFILLER_0_621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_279_177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_118_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73861_ _73861_/A _73860_/Y VGND VGND VPWR VPWR _81073_/D sky130_fd_sc_hd__nand2_2
+XFILLER_496_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_192_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_310_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58795_ _56291_/A _58791_/Y _58794_/Y VGND VGND VPWR VPWR _58796_/B sky130_fd_sc_hd__nor3_2
+XFILLER_468_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_700_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_114_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_125 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_248_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75600_ _75962_/A _75599_/X VGND VGND VPWR VPWR _75600_/X sky130_fd_sc_hd__or2_2
+XFILLER_526_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_484_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_231_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72812_ _72812_/A _72812_/B _81332_/Q VGND VGND VPWR VPWR _72813_/B sky130_fd_sc_hd__nand3_2
+XFILLER_342_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45760_ _42235_/A _45752_/X _45731_/X _45759_/Y VGND VGND VPWR VPWR _45761_/B sky130_fd_sc_hd__o22a_4
+XFILLER_121_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57746_ _57736_/Y _57746_/B VGND VGND VPWR VPWR _57747_/B sky130_fd_sc_hd__nor2_2
+XFILLER_0_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_608_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76580_ VGND VGND VPWR VPWR _76580_/HI la_data_out[76] sky130_fd_sc_hd__conb_1
+XFILLER_76_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42972_ _42968_/A _42968_/B _65816_/A VGND VGND VPWR VPWR _42972_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_448_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54958_ _54958_/A _54955_/B VGND VGND VPWR VPWR _54958_/X sky130_fd_sc_hd__or2_2
+XFILLER_624_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73792_ _73792_/A _73791_/Y VGND VGND VPWR VPWR _81092_/D sky130_fd_sc_hd__nand2_2
+XFILLER_428_2915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39302 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_311_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_626_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39313 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44711_ _44724_/A _44711_/B _44710_/X VGND VGND VPWR VPWR _44711_/Y sky130_fd_sc_hd__nor3_2
+XPHY_7576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75531_ _76173_/A VGND VGND VPWR VPWR _76029_/A sky130_fd_sc_hd__buf_1
+XFILLER_79_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41923_ _43485_/A _41934_/A _41922_/Y VGND VGND VPWR VPWR _41924_/A sky130_fd_sc_hd__or3_2
+XPHY_39324 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53909_ _77157_/Q _53903_/B VGND VGND VPWR VPWR _53909_/Y sky130_fd_sc_hd__nand2_2
+XPHY_6842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72743_ _72799_/A VGND VGND VPWR VPWR _72744_/B sky130_fd_sc_hd__buf_1
+XPHY_7587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45691_ _79106_/Q _45673_/A VGND VGND VPWR VPWR _45691_/Y sky130_fd_sc_hd__nor2_2
+X_57677_ _67138_/A _57427_/X VGND VGND VPWR VPWR _57679_/B sky130_fd_sc_hd__nor2_2
+XPHY_39335 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54889_ _54889_/A VGND VGND VPWR VPWR _54893_/B sky130_fd_sc_hd__buf_1
+XFILLER_166_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38601 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39346 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_1_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38612 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39357 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47430_ _47430_/A _47451_/B VGND VGND VPWR VPWR _47430_/X sky130_fd_sc_hd__or2_2
+XFILLER_508_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59416_ _59416_/A VGND VGND VPWR VPWR _59577_/A sky130_fd_sc_hd__buf_1
+XFILLER_614_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78250_ _78251_/CLK _78250_/D VGND VGND VPWR VPWR _49773_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_38623 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39368 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56628_ _38969_/C _56349_/B VGND VGND VPWR VPWR _56629_/C sky130_fd_sc_hd__nor2_2
+X_44642_ _44694_/A VGND VGND VPWR VPWR _44701_/A sky130_fd_sc_hd__inv_8
+XPHY_6886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75462_ _74705_/A VGND VGND VPWR VPWR _75615_/A sky130_fd_sc_hd__buf_1
+XFILLER_409_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_381_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41854_ _46628_/A VGND VGND VPWR VPWR _46640_/A sky130_fd_sc_hd__inv_8
+XFILLER_62_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39379 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38634 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72674_ _72674_/A _72674_/B _65949_/A VGND VGND VPWR VPWR _72674_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_422_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_3062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37900 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38645 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_264_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_504_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38656 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37911 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_450_0_u_core.clock clkbuf_9_451_0_u_core.clock/A VGND VGND VPWR VPWR _81025_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_385_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77201_ _77230_/CLK _77201_/D VGND VGND VPWR VPWR _77201_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_405_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_18_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_440_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74413_ _69188_/A VGND VGND VPWR VPWR _74413_/Y sky130_fd_sc_hd__inv_8
+XFILLER_407_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38667 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37922 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40805_ _40815_/A _40815_/B _67820_/A VGND VGND VPWR VPWR _40806_/B sky130_fd_sc_hd__nand3_2
+XFILLER_44_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47361_ _64048_/A _47365_/B VGND VGND VPWR VPWR _47361_/Y sky130_fd_sc_hd__nand2_2
+X_59347_ _77030_/Q _59347_/B VGND VGND VPWR VPWR _59347_/Y sky130_fd_sc_hd__nor2_2
+X_71625_ _70915_/A _71583_/X VGND VGND VPWR VPWR _71626_/C sky130_fd_sc_hd__nor2_2
+XFILLER_232_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37933 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78181_ _78191_/CLK _78181_/D VGND VGND VPWR VPWR _61762_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_362_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38678 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44573_ _44573_/A _44564_/B VGND VGND VPWR VPWR _44573_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_324_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56559_ _56559_/A _58808_/B VGND VGND VPWR VPWR _56559_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_264_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_95_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75393_ _75482_/A VGND VGND VPWR VPWR _75394_/A sky130_fd_sc_hd__buf_1
+XPHY_28155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41785_ _41768_/A _41785_/B VGND VGND VPWR VPWR _41785_/X sky130_fd_sc_hd__or2_2
+XPHY_37944 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38689 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_640_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37955 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49100_ _49100_/A _49099_/X VGND VGND VPWR VPWR _49100_/Y sky130_fd_sc_hd__nand2_2
+XPHY_28177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_379_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46312_ _43506_/A VGND VGND VPWR VPWR _46482_/A sky130_fd_sc_hd__inv_8
+XFILLER_232_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37966 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77132_ _77629_/CLK _54009_/Y VGND VGND VPWR VPWR _77132_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_44_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43524_ _69732_/A _43524_/B VGND VGND VPWR VPWR _43525_/C sky130_fd_sc_hd__nor2_2
+XFILLER_407_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_71_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_73_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74344_ _74344_/A _74344_/B VGND VGND VPWR VPWR _80958_/D sky130_fd_sc_hd__nand2_2
+XPHY_37977 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40736_ _40724_/X _40750_/B _56845_/A VGND VGND VPWR VPWR _40737_/B sky130_fd_sc_hd__nand3_2
+XFILLER_206_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_538_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59278_ _59318_/A VGND VGND VPWR VPWR _61749_/A sky130_fd_sc_hd__buf_1
+XFILLER_38_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71556_ _71423_/A _71556_/B VGND VGND VPWR VPWR _71556_/Y sky130_fd_sc_hd__nor2_2
+X_47292_ wbs_we_i _47276_/B VGND VGND VPWR VPWR _47294_/A sky130_fd_sc_hd__nor2_2
+XFILLER_160_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_400_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37988 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37999 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_199_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49031_ _49060_/A _49024_/X VGND VGND VPWR VPWR _49031_/X sky130_fd_sc_hd__or2_2
+XPHY_27487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_125_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58229_ _58075_/X _58229_/B _58229_/C VGND VGND VPWR VPWR _58230_/C sky130_fd_sc_hd__nor3_2
+X_46243_ _46243_/A _46236_/Y _46243_/C VGND VGND VPWR VPWR _79032_/D sky130_fd_sc_hd__nor3_2
+X_70507_ _69805_/B _70109_/A VGND VGND VPWR VPWR _70508_/B sky130_fd_sc_hd__nor2_2
+X_77063_ _77065_/CLK _77063_/D VGND VGND VPWR VPWR _59523_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_566_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43455_ _42974_/A _43465_/B VGND VGND VPWR VPWR _43457_/A sky130_fd_sc_hd__or2_2
+XFILLER_240_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74275_ _74275_/A _74275_/B VGND VGND VPWR VPWR _74275_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_477_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40667_ _40659_/A _40678_/B _58357_/A VGND VGND VPWR VPWR _40668_/B sky130_fd_sc_hd__nand3_2
+XPHY_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71487_ _71357_/A _71487_/B VGND VGND VPWR VPWR _71487_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_547_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76014_ _76014_/A _76007_/B VGND VGND VPWR VPWR _76016_/A sky130_fd_sc_hd__or2_2
+XPHY_16263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42406_ _41663_/A _41663_/B _55959_/A _42406_/D VGND VGND VPWR VPWR _42406_/X sky130_fd_sc_hd__or4_2
+XFILLER_157_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61240_ _61222_/Y _61239_/Y VGND VGND VPWR VPWR _61241_/B sky130_fd_sc_hd__nor2_2
+XPHY_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73226_ _73235_/A _73226_/B _65619_/A VGND VGND VPWR VPWR _73226_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_674_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_15_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46174_ _42853_/A _46174_/B VGND VGND VPWR VPWR _46174_/Y sky130_fd_sc_hd__nor2_2
+X_70438_ _70438_/A VGND VGND VPWR VPWR _70438_/Y sky130_fd_sc_hd__inv_8
+XFILLER_534_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_379_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43386_ _43385_/X VGND VGND VPWR VPWR _43387_/B sky130_fd_sc_hd__buf_1
+XPHY_15540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_420_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40598_ _40543_/A VGND VGND VPWR VPWR _40598_/X sky130_fd_sc_hd__buf_1
+XFILLER_395_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_373_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_379_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_494_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45125_ _45065_/A _45125_/B VGND VGND VPWR VPWR _45125_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_715_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_11_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42337_ _42317_/X VGND VGND VPWR VPWR _42337_/X sky130_fd_sc_hd__buf_1
+XFILLER_517_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61171_ _77801_/Q _61171_/B VGND VGND VPWR VPWR _61172_/C sky130_fd_sc_hd__nor2_2
+X_73157_ _73148_/A _73139_/B _68088_/A VGND VGND VPWR VPWR _73160_/A sky130_fd_sc_hd__nand3_2
+XFILLER_177_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_258_1429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_12_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70369_ _70162_/X _70368_/X VGND VGND VPWR VPWR _70375_/A sky130_fd_sc_hd__nand2_2
+XFILLER_522_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_108_0_u_core.clock clkbuf_6_54_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_217_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_297_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_572_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_537_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_522_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_373_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_67_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_168_0_u_core.clock clkbuf_7_84_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_168_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_116_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60122_ _60122_/A _60122_/B _60122_/C VGND VGND VPWR VPWR _60126_/B sky130_fd_sc_hd__nor3_2
+XFILLER_390_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72108_ _72104_/Y _72105_/Y _72107_/Y VGND VGND VPWR VPWR _72108_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_271_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_550_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49933_ _49933_/A _49933_/B VGND VGND VPWR VPWR _49933_/X sky130_fd_sc_hd__or2_2
+XFILLER_138_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45056_ _45056_/A VGND VGND VPWR VPWR _45061_/A sky130_fd_sc_hd__buf_1
+XPHY_32240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_271_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42268_ _42268_/A _42268_/B _42288_/B VGND VGND VPWR VPWR _79496_/D sky130_fd_sc_hd__nor3_2
+XFILLER_490_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73088_ _73086_/Y _73088_/B VGND VGND VPWR VPWR _73088_/Y sky130_fd_sc_hd__nand2_2
+X_77965_ _77987_/CLK _50843_/Y VGND VGND VPWR VPWR _61674_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_695_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44007_ _44007_/A VGND VGND VPWR VPWR _44086_/A sky130_fd_sc_hd__inv_8
+XFILLER_236_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79704_ _79703_/CLK _41110_/Y VGND VGND VPWR VPWR _57137_/A sky130_fd_sc_hd__dfxtp_4
+X_41219_ _41084_/X _41205_/B VGND VGND VPWR VPWR _41219_/X sky130_fd_sc_hd__or2_2
+XPHY_32284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64930_ _65780_/A VGND VGND VPWR VPWR _65460_/A sky130_fd_sc_hd__buf_1
+X_60053_ _60536_/A _60053_/B VGND VGND VPWR VPWR _60101_/B sky130_fd_sc_hd__nor2_2
+XFILLER_521_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76916_ _76893_/CLK _76916_/D VGND VGND VPWR VPWR _76916_/Q sky130_fd_sc_hd__dfxtp_4
+X_72039_ _72039_/A VGND VGND VPWR VPWR _72040_/A sky130_fd_sc_hd__inv_8
+XFILLER_570_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_49864_ _49862_/Y _49863_/X VGND VGND VPWR VPWR _78227_/D sky130_fd_sc_hd__nand2_2
+XFILLER_84_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42199_ _42198_/Y _42036_/B VGND VGND VPWR VPWR _42201_/B sky130_fd_sc_hd__nor2_2
+XFILLER_646_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77896_ _78794_/CLK _51103_/Y VGND VGND VPWR VPWR _77896_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_711_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_386_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48815_ _48815_/A _48815_/B VGND VGND VPWR VPWR _48815_/Y sky130_fd_sc_hd__nand2_2
+XPHY_21060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79635_ _79635_/CLK _41379_/Y VGND VGND VPWR VPWR _56728_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_530_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64861_ _64861_/A _64861_/B _64860_/Y VGND VGND VPWR VPWR _65022_/C sky130_fd_sc_hd__or3_2
+XPHY_31594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76847_ _76843_/CLK _76847_/D VGND VGND VPWR VPWR _41669_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_488_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_531_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49795_ _49795_/A VGND VGND VPWR VPWR _49917_/A sky130_fd_sc_hd__buf_1
+XFILLER_414_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_664_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66600_ _66600_/A _66116_/B VGND VGND VPWR VPWR _66600_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_23_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_665_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_85_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63812_ _63812_/A _59308_/B VGND VGND VPWR VPWR _63812_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_661_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_6_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48746_ _63574_/A _48742_/B VGND VGND VPWR VPWR _48746_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_239_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_2528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67580_ _65740_/A VGND VGND VPWR VPWR _67580_/X sky130_fd_sc_hd__buf_1
+XPHY_20370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79566_ _79100_/CLK _41736_/Y _41753_/A VGND VGND VPWR VPWR _45107_/A sky130_fd_sc_hd__dfrtp_4
+X_45958_ _79058_/Q _45958_/B VGND VGND VPWR VPWR _45960_/B sky130_fd_sc_hd__nor2_2
+XFILLER_583_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64792_ _69529_/A _64788_/Y _64791_/Y VGND VGND VPWR VPWR _64792_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_490_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76778_ _77422_/CLK _76778_/D VGND VGND VPWR VPWR _61058_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66531_ _44676_/C _66039_/X VGND VGND VPWR VPWR _66692_/A sky130_fd_sc_hd__nor2_2
+X_78517_ _78517_/CLK _48731_/Y VGND VGND VPWR VPWR _62944_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_227_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_690_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44909_ _44909_/A _42413_/X _42281_/X _41678_/X VGND VGND VPWR VPWR _44910_/B sky130_fd_sc_hd__and4_2
+X_63743_ _63154_/A _63743_/B _63743_/C VGND VGND VPWR VPWR _63744_/C sky130_fd_sc_hd__nor3_2
+X_75729_ _75706_/A VGND VGND VPWR VPWR _75802_/A sky130_fd_sc_hd__buf_1
+XFILLER_525_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60955_ _60325_/A _60949_/Y _60954_/Y VGND VGND VPWR VPWR _60963_/B sky130_fd_sc_hd__nor3_2
+XFILLER_247_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_310_1350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48677_ _63974_/A _48681_/B VGND VGND VPWR VPWR _48677_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_616_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79497_ _79497_/CLK _79497_/D VGND VGND VPWR VPWR _43045_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_620_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_45889_ _41799_/Y _45752_/X _70669_/Y _45888_/X VGND VGND VPWR VPWR _45890_/B sky130_fd_sc_hd__o22a_4
+XFILLER_227_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_462_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_722_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38430_ _38310_/A _38420_/B VGND VGND VPWR VPWR _38430_/X sky130_fd_sc_hd__or2_2
+XFILLER_329_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69250_ _69110_/A _69248_/Y _69249_/Y VGND VGND VPWR VPWR _69251_/C sky130_fd_sc_hd__nor3_2
+XFILLER_81_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47628_ _47626_/Y _47627_/X VGND VGND VPWR VPWR _47628_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_671_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78448_ _78451_/CLK _78448_/D VGND VGND VPWR VPWR _78448_/Q sky130_fd_sc_hd__dfxtp_4
+X_66462_ _66132_/X _66462_/B _66461_/Y VGND VGND VPWR VPWR _66462_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_564_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63674_ _63674_/A _59267_/A VGND VGND VPWR VPWR _63674_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_282_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_130_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_507_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_482_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60886_ _60886_/A _60886_/B _60885_/Y VGND VGND VPWR VPWR _60887_/B sky130_fd_sc_hd__nor3_2
+XFILLER_208_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_447_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_560_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68201_ _80550_/Q _67718_/B VGND VGND VPWR VPWR _68203_/B sky130_fd_sc_hd__nor2_2
+XFILLER_431_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65413_ _65413_/A _65907_/B VGND VGND VPWR VPWR _65413_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_443_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_35_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38361_ _38361_/A VGND VGND VPWR VPWR _38399_/A sky130_fd_sc_hd__buf_1
+XFILLER_323_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62625_ _59471_/X VGND VGND VPWR VPWR _63251_/B sky130_fd_sc_hd__buf_1
+XFILLER_282_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69181_ _69181_/A _68723_/B VGND VGND VPWR VPWR _69181_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_542_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47559_ _47559_/A _47559_/B VGND VGND VPWR VPWR _47559_/Y sky130_fd_sc_hd__nand2_2
+X_66393_ _80763_/Q _66393_/B VGND VGND VPWR VPWR _66395_/B sky130_fd_sc_hd__nor2_2
+X_78379_ _78338_/CLK _49263_/Y VGND VGND VPWR VPWR _63852_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_46_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_620_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_503_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_659_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80410_ _80477_/CLK _38306_/Y VGND VGND VPWR VPWR _80410_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_557_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68132_ _67971_/A _68129_/Y _68132_/C VGND VGND VPWR VPWR _68132_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_323_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_675_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65344_ _65840_/A _65342_/Y _65343_/Y VGND VGND VPWR VPWR _65344_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_225_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38292_ _76156_/A _38289_/B VGND VGND VPWR VPWR _38292_/X sky130_fd_sc_hd__or2_2
+X_62556_ _62709_/A _62556_/B _62555_/Y VGND VGND VPWR VPWR _62556_/Y sky130_fd_sc_hd__nor3_2
+X_50570_ _62035_/A _50578_/B VGND VGND VPWR VPWR _50573_/A sky130_fd_sc_hd__nand2_2
+X_81390_ _81454_/CLK _72594_/Y VGND VGND VPWR VPWR _81390_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_600_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_263_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_96_0_u_core.clock clkbuf_9_97_0_u_core.clock/A VGND VGND VPWR VPWR _78589_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_495_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_201_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61507_ _60888_/A _61507_/B VGND VGND VPWR VPWR _61508_/C sky130_fd_sc_hd__nor2_2
+XFILLER_72_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80341_ _80346_/CLK _38589_/Y VGND VGND VPWR VPWR _65411_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_545_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49229_ _49252_/B VGND VGND VPWR VPWR _49251_/B sky130_fd_sc_hd__buf_1
+XFILLER_143_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68063_ _66222_/A VGND VGND VPWR VPWR _68724_/A sky130_fd_sc_hd__buf_1
+XFILLER_108_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_343_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_694_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65275_ _81204_/Q _64920_/B VGND VGND VPWR VPWR _65275_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_52_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_657_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_198_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62487_ _62487_/A _62487_/B VGND VGND VPWR VPWR _62488_/B sky130_fd_sc_hd__nor2_2
+XFILLER_692_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67014_ _38805_/C _67184_/B VGND VGND VPWR VPWR _67016_/B sky130_fd_sc_hd__nor2_2
+XFILLER_241_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52240_ _52240_/A _52240_/B VGND VGND VPWR VPWR _52240_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_37_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64226_ _68364_/A VGND VGND VPWR VPWR _64226_/X sky130_fd_sc_hd__buf_1
+XFILLER_121_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_657_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61438_ _61438_/A _61438_/B _61437_/Y VGND VGND VPWR VPWR _61438_/Y sky130_fd_sc_hd__nor3_2
+X_80272_ _80332_/CLK _80272_/D VGND VGND VPWR VPWR _80272_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_17_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_241_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_501_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_653_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1108 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_699_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64157_ _69307_/A _64157_/B _64157_/C VGND VGND VPWR VPWR _64157_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_532_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_427_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52171_ _52772_/A VGND VGND VPWR VPWR _52172_/A sky130_fd_sc_hd__buf_1
+XFILLER_163_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_618_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61369_ _77451_/Q _61520_/B VGND VGND VPWR VPWR _61370_/C sky130_fd_sc_hd__nor2_2
+XFILLER_574_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_162_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_553_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2021 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_258_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_702_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51122_ _62573_/A _51119_/B VGND VGND VPWR VPWR _51122_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_191_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_324_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63108_ _63576_/A _63106_/Y _63108_/C VGND VGND VPWR VPWR _63108_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_352_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_363_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_258_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_219_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64088_ _64098_/A VGND VGND VPWR VPWR _64134_/A sky130_fd_sc_hd__buf_1
+X_68965_ _68801_/A _68965_/B VGND VGND VPWR VPWR _68965_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_305_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_317_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_217_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_412_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51053_ _51053_/A _51052_/X VGND VGND VPWR VPWR _51053_/Y sky130_fd_sc_hd__nand2_2
+X_55930_ _71613_/A _55894_/X _55930_/C VGND VGND VPWR VPWR _55933_/A sky130_fd_sc_hd__and3_2
+X_67916_ _65442_/A VGND VGND VPWR VPWR _68241_/A sky130_fd_sc_hd__buf_1
+X_63039_ _63039_/A _63039_/B _63039_/C VGND VGND VPWR VPWR _63043_/B sky130_fd_sc_hd__nor3_2
+XPHY_11509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_651_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_305_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_289_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68896_ _68896_/A VGND VGND VPWR VPWR _68897_/B sky130_fd_sc_hd__buf_1
+XFILLER_530_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_686_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_157_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_236_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50004_ _50004_/A _50003_/X VGND VGND VPWR VPWR _78189_/D sky130_fd_sc_hd__nand2_2
+XFILLER_549_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_119_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39815_ _39815_/A VGND VGND VPWR VPWR _39816_/A sky130_fd_sc_hd__buf_1
+XFILLER_115_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55861_ _46499_/Y _55860_/X VGND VGND VPWR VPWR _55862_/B sky130_fd_sc_hd__nor2_2
+X_67847_ _68502_/A _67847_/B _67847_/C VGND VGND VPWR VPWR _67848_/B sky130_fd_sc_hd__nor3_2
+XPHY_10819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_549_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_350_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_530_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57600_ _57689_/A _57600_/B VGND VGND VPWR VPWR _57625_/B sky130_fd_sc_hd__nor2_2
+XFILLER_258_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_588_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54812_ _76917_/Q _54806_/B VGND VGND VPWR VPWR _54814_/A sky130_fd_sc_hd__nand2_2
+XFILLER_45_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_276_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_103_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39746_ _39742_/X _39745_/Y VGND VGND VPWR VPWR _80045_/D sky130_fd_sc_hd__nand2_2
+XFILLER_217_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58580_ _58341_/X _58546_/X _58579_/X _74421_/Y _58424_/X VGND VGND VPWR VPWR _76671_/D
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_320_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55792_ _76774_/Q _55792_/B VGND VGND VPWR VPWR _55794_/A sky130_fd_sc_hd__nand2_2
+XPHY_6105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67778_ _64296_/A VGND VGND VPWR VPWR _68431_/A sky130_fd_sc_hd__buf_1
+XFILLER_41_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_562_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_723_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57531_ _56389_/A VGND VGND VPWR VPWR _57531_/X sky130_fd_sc_hd__buf_1
+X_69517_ _80622_/Q _64682_/B VGND VGND VPWR VPWR _69518_/C sky130_fd_sc_hd__nor2_2
+XFILLER_69_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54743_ _76937_/Q _54731_/X VGND VGND VPWR VPWR _54743_/Y sky130_fd_sc_hd__nand2_2
+X_66729_ _66729_/A _66902_/B VGND VGND VPWR VPWR _66729_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_560_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_29_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39677_ _39179_/X _39677_/B VGND VGND VPWR VPWR _39677_/X sky130_fd_sc_hd__or2_2
+X_51955_ _51927_/A _51954_/X VGND VGND VPWR VPWR _51956_/B sky130_fd_sc_hd__or2_2
+XFILLER_386_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_382_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_328_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_183_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_2957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_650_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38628_ _38473_/A _38631_/B VGND VGND VPWR VPWR _38628_/X sky130_fd_sc_hd__or2_2
+X_50906_ _50991_/A _50906_/B VGND VGND VPWR VPWR _50906_/X sky130_fd_sc_hd__or2_2
+XFILLER_272_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57462_ _57454_/Y _57462_/B VGND VGND VPWR VPWR _57462_/Y sky130_fd_sc_hd__nor2_2
+X_69448_ _69448_/A _64150_/X VGND VGND VPWR VPWR _69448_/Y sky130_fd_sc_hd__nor2_2
+XPHY_4703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_623_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54674_ _54674_/A VGND VGND VPWR VPWR _54794_/A sky130_fd_sc_hd__buf_1
+XFILLER_242_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51886_ _51942_/A _51853_/A VGND VGND VPWR VPWR _51886_/X sky130_fd_sc_hd__or2_2
+XPHY_4714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_2657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37207 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_582_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59201_ _59201_/A _63880_/B VGND VGND VPWR VPWR _59202_/C sky130_fd_sc_hd__nor2_2
+XFILLER_246_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37218 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56413_ _56413_/A VGND VGND VPWR VPWR _56413_/X sky130_fd_sc_hd__buf_1
+XFILLER_328_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_26_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_57_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_183_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53625_ _77234_/Q _53630_/B VGND VGND VPWR VPWR _53629_/A sky130_fd_sc_hd__nand2_2
+XFILLER_465_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37229 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38559_ _38550_/A _38541_/B _66406_/A VGND VGND VPWR VPWR _38560_/B sky130_fd_sc_hd__nand3_2
+X_50837_ _50837_/A _50836_/X VGND VGND VPWR VPWR _77967_/D sky130_fd_sc_hd__nand2_2
+XFILLER_721_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57393_ _57393_/A _57557_/B VGND VGND VPWR VPWR _57393_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_74_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69379_ _68791_/X _69375_/Y _69379_/C VGND VGND VPWR VPWR _69380_/C sky130_fd_sc_hd__nor3_2
+XFILLER_404_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_260_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_70_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_3_1_0_u_core.clock clkbuf_3_1_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_3_1_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_59132_ _60847_/A VGND VGND VPWR VPWR _59410_/A sky130_fd_sc_hd__buf_1
+X_71410_ _70319_/A _69562_/A VGND VGND VPWR VPWR _71415_/B sky130_fd_sc_hd__nor2_2
+XFILLER_207_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_53_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56344_ _56344_/A VGND VGND VPWR VPWR _56345_/A sky130_fd_sc_hd__buf_1
+XFILLER_387_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_197_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80608_ _80259_/CLK _80608_/D VGND VGND VPWR VPWR _80608_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_26005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_241_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41570_ _41566_/X _41559_/B _67282_/A VGND VGND VPWR VPWR _41571_/B sky130_fd_sc_hd__nand3_2
+XPHY_36539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53556_ _53554_/Y _53555_/X VGND VGND VPWR VPWR _77253_/D sky130_fd_sc_hd__nand2_2
+XFILLER_39_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72390_ _72390_/A _72389_/Y VGND VGND VPWR VPWR _81444_/D sky130_fd_sc_hd__nand2_2
+XFILLER_40_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50768_ _62458_/A _50765_/B VGND VGND VPWR VPWR _50771_/A sky130_fd_sc_hd__nand2_2
+XFILLER_404_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_519_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_341_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_359_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40521_ _40883_/A _40526_/B VGND VGND VPWR VPWR _40521_/X sky130_fd_sc_hd__or2_2
+XFILLER_298_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52507_ _52563_/A _52516_/B VGND VGND VPWR VPWR _52508_/B sky130_fd_sc_hd__or2_2
+XFILLER_548_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59063_ _59196_/A VGND VGND VPWR VPWR _59063_/X sky130_fd_sc_hd__buf_1
+X_71341_ _71319_/Y _71336_/X _71337_/X _71340_/Y VGND VGND VPWR VPWR _42569_/B sky130_fd_sc_hd__o22a_4
+XFILLER_671_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56275_ _56275_/A VGND VGND VPWR VPWR _58301_/A sky130_fd_sc_hd__buf_1
+XFILLER_387_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80539_ _80543_/CLK _80539_/D VGND VGND VPWR VPWR _76023_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_25315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53487_ _53546_/A _53479_/B VGND VGND VPWR VPWR _53487_/X sky130_fd_sc_hd__or2_2
+XFILLER_16_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50699_ _50695_/X VGND VGND VPWR VPWR _50727_/B sky130_fd_sc_hd__buf_1
+XFILLER_396_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_298_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_328_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_181_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58014_ _58255_/A _58011_/Y _58013_/Y VGND VGND VPWR VPWR _58014_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_52_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43240_ _43240_/A _43215_/A VGND VGND VPWR VPWR _43242_/B sky130_fd_sc_hd__nor2_2
+XFILLER_374_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_346_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55226_ _45442_/A _70019_/A VGND VGND VPWR VPWR _55227_/B sky130_fd_sc_hd__nor2_2
+X_74060_ _74007_/A VGND VGND VPWR VPWR _74061_/B sky130_fd_sc_hd__buf_1
+XFILLER_374_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_595_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40452_ _40586_/A _40437_/B VGND VGND VPWR VPWR _40452_/X sky130_fd_sc_hd__or2_2
+XFILLER_103_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52438_ _77546_/Q _52430_/X VGND VGND VPWR VPWR _52438_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_519_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71272_ _71272_/A VGND VGND VPWR VPWR _71273_/B sky130_fd_sc_hd__inv_8
+XFILLER_718_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_3044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73011_ _42903_/A _73002_/B VGND VGND VPWR VPWR _73011_/X sky130_fd_sc_hd__or2_2
+XPHY_24647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70223_ _70223_/A _70182_/B _70182_/C VGND VGND VPWR VPWR _70224_/C sky130_fd_sc_hd__nor3_2
+XPHY_14124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43171_ _43171_/A VGND VGND VPWR VPWR _46168_/A sky130_fd_sc_hd__inv_8
+XFILLER_671_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55157_ _55157_/A _55156_/X VGND VGND VPWR VPWR _76840_/D sky130_fd_sc_hd__or2_2
+XPHY_14135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_554_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40383_ _41016_/A VGND VGND VPWR VPWR _40386_/A sky130_fd_sc_hd__buf_1
+XFILLER_259_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52369_ _60488_/A _52363_/B VGND VGND VPWR VPWR _52371_/A sky130_fd_sc_hd__nand2_2
+XPHY_24669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_68_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_1640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_472_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_276_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42122_ _42098_/A VGND VGND VPWR VPWR _42126_/B sky130_fd_sc_hd__buf_1
+XPHY_14168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54108_ _54115_/A VGND VGND VPWR VPWR _54124_/B sky130_fd_sc_hd__buf_1
+XFILLER_292_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_415_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70154_ _70503_/B VGND VGND VPWR VPWR _70180_/B sky130_fd_sc_hd__buf_1
+XPHY_14179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_417_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59965_ _59965_/A _59964_/Y VGND VGND VPWR VPWR _59966_/B sky130_fd_sc_hd__nor2_2
+X_55088_ _55088_/A _44186_/A _55088_/C VGND VGND VPWR VPWR _55089_/B sky130_fd_sc_hd__nor3_2
+XPHY_12700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_240_0_u_core.clock clkbuf_9_241_0_u_core.clock/A VGND VGND VPWR VPWR _79589_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_5_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_677_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_218_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46930_ _46913_/A _46930_/B VGND VGND VPWR VPWR _46930_/X sky130_fd_sc_hd__or2_2
+XPHY_12733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54039_ _60206_/A _54046_/B VGND VGND VPWR VPWR _54041_/A sky130_fd_sc_hd__nand2_2
+X_58916_ _54698_/A _58916_/B VGND VGND VPWR VPWR _58920_/B sky130_fd_sc_hd__nor2_2
+X_42053_ _42093_/A VGND VGND VPWR VPWR _42053_/X sky130_fd_sc_hd__buf_1
+XPHY_13478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77750_ _76890_/CLK _51670_/Y VGND VGND VPWR VPWR _51668_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_720_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74962_ _74962_/A _74961_/X VGND VGND VPWR VPWR _74962_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_335_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70085_ _71787_/A VGND VGND VPWR VPWR _70085_/X sky130_fd_sc_hd__buf_1
+XPHY_12744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59896_ _59896_/A _59895_/Y VGND VGND VPWR VPWR _59897_/B sky130_fd_sc_hd__nor2_2
+XFILLER_370_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_134_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_353_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41004_ _41147_/B VGND VGND VPWR VPWR _41010_/B sky130_fd_sc_hd__buf_1
+XPHY_30134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76701_ _79185_/CLK _76701_/D VGND VGND VPWR VPWR _70423_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_497_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73913_ _73913_/A _73913_/B VGND VGND VPWR VPWR _73913_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_513_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_496_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_136_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_190_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_150_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_316_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46861_ _46861_/A VGND VGND VPWR VPWR _46882_/A sky130_fd_sc_hd__buf_1
+XPHY_12788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58847_ _56614_/A _58847_/B _58846_/Y VGND VGND VPWR VPWR _58847_/X sky130_fd_sc_hd__or3_2
+XFILLER_686_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77681_ _77190_/CLK _51931_/Y VGND VGND VPWR VPWR _61136_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_270_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_587_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_429_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_96_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74893_ _74891_/X _74893_/B VGND VGND VPWR VPWR _74893_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_1_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_310_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_352_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_714_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48600_ _48598_/Y _48599_/X VGND VGND VPWR VPWR _78551_/D sky130_fd_sc_hd__nand2_2
+XFILLER_565_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79420_ _79892_/CLK _42973_/Y VGND VGND VPWR VPWR _65816_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_67_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45812_ _45812_/A VGND VGND VPWR VPWR _45813_/C sky130_fd_sc_hd__buf_1
+XFILLER_235_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76632_ io_out[23] VGND VGND VPWR VPWR la_data_out[36] sky130_fd_sc_hd__buf_2
+XFILLER_27_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49580_ _49638_/A _49577_/B VGND VGND VPWR VPWR _49580_/X sky130_fd_sc_hd__or2_2
+XFILLER_665_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73844_ _73844_/A _73843_/Y VGND VGND VPWR VPWR _73844_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_114_1411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_548_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58778_ _58770_/Y _58778_/B VGND VGND VPWR VPWR _58778_/Y sky130_fd_sc_hd__nor2_2
+X_46792_ _46709_/B VGND VGND VPWR VPWR _46793_/B sky130_fd_sc_hd__inv_8
+XFILLER_311_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_103_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_356_0_u_core.clock clkbuf_9_357_0_u_core.clock/A VGND VGND VPWR VPWR _77634_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_385_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48531_ _48531_/A _48530_/X VGND VGND VPWR VPWR _78570_/D sky130_fd_sc_hd__nand2_2
+XFILLER_584_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_526_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79351_ _79353_/CLK _79351_/D VGND VGND VPWR VPWR _66780_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_672_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39110 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57729_ _57729_/A VGND VGND VPWR VPWR _57730_/B sky130_fd_sc_hd__buf_1
+XFILLER_368_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45743_ _70126_/X VGND VGND VPWR VPWR _45744_/A sky130_fd_sc_hd__inv_8
+XFILLER_231_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_608_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76563_ VGND VGND VPWR VPWR _76563_/HI la_data_out[59] sky130_fd_sc_hd__conb_1
+XPHY_7373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42955_ _42955_/A _42955_/B VGND VGND VPWR VPWR _42955_/X sky130_fd_sc_hd__or2_2
+XFILLER_428_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39121 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73775_ _73655_/A _73778_/B VGND VGND VPWR VPWR _73775_/X sky130_fd_sc_hd__or2_2
+XFILLER_62_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_76_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70987_ _70349_/A _70336_/Y VGND VGND VPWR VPWR _70987_/X sky130_fd_sc_hd__or2_2
+XFILLER_723_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39132 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_49_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78302_ _78287_/CLK _49581_/Y VGND VGND VPWR VPWR _61952_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39143 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_97_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75514_ _75514_/A _75513_/Y VGND VGND VPWR VPWR _75514_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_466_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41906_ _79053_/Q VGND VGND VPWR VPWR _41908_/B sky130_fd_sc_hd__inv_8
+XPHY_39154 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60740_ _60434_/A _60738_/Y _60740_/C VGND VGND VPWR VPWR _60744_/B sky130_fd_sc_hd__nor3_2
+XFILLER_64_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48462_ _48586_/A _48485_/B VGND VGND VPWR VPWR _48462_/X sky130_fd_sc_hd__or2_2
+X_72726_ _72721_/A _72714_/B _72726_/C VGND VGND VPWR VPWR _72727_/B sky130_fd_sc_hd__nand3_2
+XFILLER_452_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79282_ _79216_/CLK _79282_/D VGND VGND VPWR VPWR _79282_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_248_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45674_ _45660_/A _69926_/X VGND VGND VPWR VPWR _45674_/X sky130_fd_sc_hd__or2_2
+XFILLER_152_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38420 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76494_ VGND VGND VPWR VPWR _76494_/HI io_out[17] sky130_fd_sc_hd__conb_1
+XPHY_39165 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42886_ _42886_/A _42898_/B _58826_/A VGND VGND VPWR VPWR _42886_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_283_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38431 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39176 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_608_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_2_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39187 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38442 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47413_ _47411_/Y _47412_/X VGND VGND VPWR VPWR _78846_/D sky130_fd_sc_hd__nand2_2
+XFILLER_480_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78233_ _77689_/CLK _49843_/Y VGND VGND VPWR VPWR _78233_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_39198 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38453 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44625_ _44610_/A _44625_/B _44625_/C VGND VGND VPWR VPWR _79209_/D sky130_fd_sc_hd__nor3_2
+XPHY_5971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75445_ _75445_/A _75444_/Y VGND VGND VPWR VPWR _80683_/D sky130_fd_sc_hd__nand2_2
+XFILLER_412_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41837_ _41820_/A VGND VGND VPWR VPWR _41837_/X sky130_fd_sc_hd__buf_1
+XPHY_38464 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60671_ _60199_/A _60661_/Y _60671_/C VGND VGND VPWR VPWR _60692_/A sky130_fd_sc_hd__nor3_2
+XFILLER_641_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72657_ _72657_/A _72657_/B VGND VGND VPWR VPWR _81373_/D sky130_fd_sc_hd__nand2_2
+X_48393_ _49072_/A _47457_/B VGND VGND VPWR VPWR _48394_/B sky130_fd_sc_hd__or2_2
+XPHY_5982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38475 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37730 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_406_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_91_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37741 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38486 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62410_ _60058_/A VGND VGND VPWR VPWR _62417_/A sky130_fd_sc_hd__buf_1
+XFILLER_232_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37752 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38497 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_504_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_71608_ _76149_/A VGND VGND VPWR VPWR _43872_/A sky130_fd_sc_hd__buf_1
+X_47344_ _47342_/X _47344_/B VGND VGND VPWR VPWR _47344_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_559_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78164_ _78149_/CLK _78164_/D VGND VGND VPWR VPWR _50092_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_422_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_701_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37763 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_90_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44556_ _79217_/Q _44568_/A VGND VGND VPWR VPWR _44557_/B sky130_fd_sc_hd__nor2_2
+XFILLER_346_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63390_ _63390_/A _63236_/B VGND VGND VPWR VPWR _63390_/Y sky130_fd_sc_hd__nor2_2
+X_75376_ _75373_/X _75376_/B VGND VGND VPWR VPWR _75376_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_539_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_323_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41768_ _41768_/A _41767_/X VGND VGND VPWR VPWR _41768_/X sky130_fd_sc_hd__or2_2
+XPHY_37774 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72588_ _72709_/A _72830_/B VGND VGND VPWR VPWR _72591_/A sky130_fd_sc_hd__or2_2
+XFILLER_16_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37785 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37796 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77115_ _77163_/CLK _54073_/Y VGND VGND VPWR VPWR _60205_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_379_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_188_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_53_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43507_ _43506_/X VGND VGND VPWR VPWR _46313_/B sky130_fd_sc_hd__buf_1
+XFILLER_73_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62341_ _61708_/X _62332_/Y _62340_/Y VGND VGND VPWR VPWR _62341_/Y sky130_fd_sc_hd__nor3_2
+X_74327_ _74327_/A _74326_/Y VGND VGND VPWR VPWR _80962_/D sky130_fd_sc_hd__nand2_2
+XFILLER_496_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40719_ _40716_/X _40719_/B VGND VGND VPWR VPWR _79802_/D sky130_fd_sc_hd__nand2_2
+XFILLER_693_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47275_ _47293_/B _42276_/B wbs_adr_i[6] VGND VGND VPWR VPWR _47275_/X sky130_fd_sc_hd__and3_2
+XFILLER_610_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71539_ _71224_/A VGND VGND VPWR VPWR _71539_/X sky130_fd_sc_hd__buf_1
+X_78095_ _78675_/CLK _50360_/Y VGND VGND VPWR VPWR _62004_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_377_265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44487_ _44487_/A _44450_/X _44487_/C VGND VGND VPWR VPWR _44487_/X sky130_fd_sc_hd__and3_2
+XFILLER_305_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_337_107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41699_ _45998_/A VGND VGND VPWR VPWR _41699_/Y sky130_fd_sc_hd__inv_8
+XFILLER_140_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49014_ _49043_/A _54758_/A VGND VGND VPWR VPWR _49014_/X sky130_fd_sc_hd__or2_2
+XFILLER_179_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_34_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46226_ _46224_/Y _41925_/X _46225_/Y _41980_/A VGND VGND VPWR VPWR _46226_/X sky130_fd_sc_hd__o22a_4
+X_65060_ _65060_/A _64727_/B VGND VGND VPWR VPWR _65060_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_457_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77046_ _77410_/CLK _77046_/D VGND VGND VPWR VPWR _54333_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_674_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43438_ _43430_/X _43423_/X _57369_/A VGND VGND VPWR VPWR _43439_/B sky130_fd_sc_hd__nand3_2
+XFILLER_654_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_593_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62272_ _78352_/Q _62120_/B VGND VGND VPWR VPWR _62272_/Y sky130_fd_sc_hd__nor2_2
+X_74258_ _74243_/X _74265_/B _65269_/A VGND VGND VPWR VPWR _74258_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_400_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_555_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_301_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_69_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_392_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64011_ _78700_/Q _63880_/B VGND VGND VPWR VPWR _64011_/Y sky130_fd_sc_hd__nor2_2
+XPHY_25871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_533_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_496_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61223_ _61223_/A _61378_/B VGND VGND VPWR VPWR _61223_/Y sky130_fd_sc_hd__nor2_2
+X_73209_ _44224_/A VGND VGND VPWR VPWR _75021_/A sky130_fd_sc_hd__buf_1
+XFILLER_439_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_297_1253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46157_ _45130_/A _46157_/B VGND VGND VPWR VPWR _46157_/X sky130_fd_sc_hd__or2_2
+XFILLER_157_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43369_ _42888_/A _43476_/B VGND VGND VPWR VPWR _43369_/X sky130_fd_sc_hd__or2_2
+XFILLER_51_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74189_ _74189_/A _74185_/B _80999_/Q VGND VGND VPWR VPWR _74189_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_675_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_394_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_361_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_201_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_455_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_2875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45108_ _45108_/A _45107_/Y VGND VGND VPWR VPWR _55526_/B sky130_fd_sc_hd__or2_2
+XFILLER_711_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_345_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_294_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61154_ _61471_/A _61154_/B _61153_/Y VGND VGND VPWR VPWR _61154_/Y sky130_fd_sc_hd__nor3_2
+XANTENNA_1 io_in[17] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_119_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_562_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_172_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46088_ _46091_/A _46193_/A VGND VGND VPWR VPWR _46088_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_145_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_392_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_12_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78997_ _79557_/CLK _46584_/X VGND VGND VPWR VPWR _78997_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_470_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_670_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60105_ _76963_/Q _59616_/X VGND VGND VPWR VPWR _60106_/C sky130_fd_sc_hd__nor2_2
+XFILLER_126_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49916_ _49914_/A VGND VGND VPWR VPWR _49932_/B sky130_fd_sc_hd__buf_1
+XFILLER_510_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_416_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_138_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68750_ _81417_/Q _68917_/B VGND VGND VPWR VPWR _68750_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_29_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45039_ _45079_/A _45038_/Y VGND VGND VPWR VPWR _55269_/B sky130_fd_sc_hd__or2_2
+XFILLER_271_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61085_ _61085_/A _61243_/B VGND VGND VPWR VPWR _61085_/Y sky130_fd_sc_hd__nor2_2
+X_77948_ _77950_/CLK _50913_/Y VGND VGND VPWR VPWR _50910_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_98_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65962_ _65798_/A _65962_/B _65961_/Y VGND VGND VPWR VPWR _65962_/Y sky130_fd_sc_hd__nor3_2
+XPHY_32081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_138_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_287_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_136_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_691_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67701_ _67701_/A _67701_/B _67701_/C VGND VGND VPWR VPWR _67701_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_298_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64913_ _64913_/A VGND VGND VPWR VPWR _64914_/B sky130_fd_sc_hd__buf_1
+X_60036_ _59719_/A _60036_/B _60036_/C VGND VGND VPWR VPWR _60040_/B sky130_fd_sc_hd__nor3_2
+XFILLER_287_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49847_ _78231_/Q _49847_/B VGND VGND VPWR VPWR _49847_/Y sky130_fd_sc_hd__nand2_2
+XPHY_31380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68681_ _68681_/A _68679_/Y _68680_/Y VGND VGND VPWR VPWR _68681_/X sky130_fd_sc_hd__or3_2
+X_65893_ _65893_/A _65893_/B _65892_/Y VGND VGND VPWR VPWR _65893_/Y sky130_fd_sc_hd__nor3_2
+X_77879_ _77880_/CLK _77879_/D VGND VGND VPWR VPWR _62093_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_676_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_218_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_433_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_624_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39600_ _39235_/A _39498_/A VGND VGND VPWR VPWR _39600_/X sky130_fd_sc_hd__or2_2
+XFILLER_414_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_351_1472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_429_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67632_ _67632_/A _67304_/X VGND VGND VPWR VPWR _67634_/B sky130_fd_sc_hd__nor2_2
+XFILLER_386_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79618_ _79607_/CLK _41444_/Y VGND VGND VPWR VPWR _67606_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_86_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_171_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64844_ _56531_/A _65013_/B VGND VGND VPWR VPWR _64844_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_411_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_629_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80890_ _80891_/CLK _80890_/D VGND VGND VPWR VPWR _74623_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_288_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49778_ _49693_/A _49787_/B VGND VGND VPWR VPWR _49778_/X sky130_fd_sc_hd__or2_2
+XFILLER_583_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_41_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_81 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39531_ _39147_/A _39542_/B VGND VGND VPWR VPWR _39533_/A sky130_fd_sc_hd__or2_2
+XFILLER_651_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_100_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_132_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48729_ _62944_/A _48723_/B VGND VGND VPWR VPWR _48731_/A sky130_fd_sc_hd__nand2_2
+X_67563_ _73677_/C _67240_/X VGND VGND VPWR VPWR _67565_/B sky130_fd_sc_hd__nor2_2
+XFILLER_446_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79549_ _78988_/Q _79549_/D _41879_/X VGND VGND VPWR VPWR _45335_/A sky130_fd_sc_hd__dfstp_4
+XFILLER_560_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64775_ _64775_/A _64775_/B VGND VGND VPWR VPWR _64775_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_286_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_642_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61987_ _50806_/A _61986_/X VGND VGND VPWR VPWR _61987_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_462_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_249_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69302_ _75836_/C _69302_/B VGND VGND VPWR VPWR _69303_/C sky130_fd_sc_hd__nor2_2
+XFILLER_490_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_642_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66514_ _57329_/A _67010_/B VGND VGND VPWR VPWR _66515_/C sky130_fd_sc_hd__nor2_2
+XFILLER_269_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_544_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_266_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51740_ _51749_/A VGND VGND VPWR VPWR _51752_/B sky130_fd_sc_hd__buf_1
+X_39462_ _39462_/A _39461_/Y VGND VGND VPWR VPWR _80120_/D sky130_fd_sc_hd__nand2_2
+XFILLER_405_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63726_ _63445_/X _63722_/Y _63725_/Y VGND VGND VPWR VPWR _63726_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_329_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60938_ _60625_/A _60938_/B _60938_/C VGND VGND VPWR VPWR _60938_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_310_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67494_ _67494_/A VGND VGND VPWR VPWR _68162_/A sky130_fd_sc_hd__buf_1
+XFILLER_661_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_526_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_3092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_660_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_620_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38413_ _38400_/A _38421_/B _66730_/A VGND VGND VPWR VPWR _38413_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_329_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69233_ _68948_/X _69233_/B _69232_/Y VGND VGND VPWR VPWR _69234_/C sky130_fd_sc_hd__nor3_2
+X_81511_ _81509_/CLK _71300_/Y VGND VGND VPWR VPWR _68448_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_93_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66445_ _66115_/A _66440_/Y _66444_/Y VGND VGND VPWR VPWR _66445_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_342_2810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_208_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_542_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39393_ _39123_/A _39401_/B VGND VGND VPWR VPWR _39395_/A sky130_fd_sc_hd__or2_2
+XFILLER_440_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51671_ _60450_/A _51668_/B VGND VGND VPWR VPWR _51671_/Y sky130_fd_sc_hd__nand2_2
+XPHY_3309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63657_ _63657_/A _64050_/B VGND VGND VPWR VPWR _63657_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_242_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60869_ _60399_/A _60869_/B _60869_/C VGND VGND VPWR VPWR _60869_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_58_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_78_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_187_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_306_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53410_ _53417_/A VGND VGND VPWR VPWR _53426_/B sky130_fd_sc_hd__buf_1
+XFILLER_282_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_35_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_179_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38344_ _38344_/A _38224_/B VGND VGND VPWR VPWR _38357_/A sky130_fd_sc_hd__or2_2
+XFILLER_369_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50622_ _50619_/Y _50621_/X VGND VGND VPWR VPWR _78026_/D sky130_fd_sc_hd__nand2_2
+XFILLER_576_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81442_ _81382_/CLK _72397_/Y VGND VGND VPWR VPWR _72396_/C sky130_fd_sc_hd__dfxtp_4
+X_62608_ _62608_/A _62140_/B VGND VGND VPWR VPWR _62610_/B sky130_fd_sc_hd__nor2_2
+XFILLER_694_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69164_ _75839_/C _69302_/B VGND VGND VPWR VPWR _69165_/C sky130_fd_sc_hd__nor2_2
+XFILLER_525_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54390_ _54477_/A _54398_/B VGND VGND VPWR VPWR _54391_/B sky130_fd_sc_hd__or2_2
+XFILLER_22_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66376_ _66376_/A _66041_/X VGND VGND VPWR VPWR _66376_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_520_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_3044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_2619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_719_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63588_ _63850_/A _63588_/B _63587_/Y VGND VGND VPWR VPWR _63588_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_640_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_345_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68115_ _58171_/A _68610_/B VGND VGND VPWR VPWR _68115_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_195_603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_479_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_288_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53341_ _77310_/Q _53341_/B VGND VGND VPWR VPWR _53341_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_384_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65327_ _65505_/A _65327_/B _65327_/C VGND VGND VPWR VPWR _65328_/B sky130_fd_sc_hd__nor3_2
+XPHY_1907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38275_ _38279_/A _38279_/B _80418_/Q VGND VGND VPWR VPWR _38275_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_557_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50553_ _62659_/A _50548_/B VGND VGND VPWR VPWR _50556_/A sky130_fd_sc_hd__nand2_2
+X_62539_ _62070_/A _62533_/Y _62539_/C VGND VGND VPWR VPWR _62539_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_411_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81373_ _81435_/CLK _81373_/D VGND VGND VPWR VPWR _66772_/A sky130_fd_sc_hd__dfxtp_4
+X_69095_ _69095_/A _69235_/B VGND VGND VPWR VPWR _69095_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_opt_11_u_core.clock _80912_/CLK VGND VGND VPWR VPWR _80264_/CLK sky130_fd_sc_hd__clkbuf_16
+XPHY_1918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_104_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_438_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_299_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_657_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68046_ _67720_/A _68044_/Y _68046_/C VGND VGND VPWR VPWR _68051_/B sky130_fd_sc_hd__nor3_2
+XFILLER_590_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80324_ _80354_/CLK _38665_/Y VGND VGND VPWR VPWR _80324_/Q sky130_fd_sc_hd__dfxtp_4
+X_56060_ _55540_/B _56014_/X VGND VGND VPWR VPWR _56061_/C sky130_fd_sc_hd__nor2_2
+XFILLER_572_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65258_ _65258_/A _64899_/B VGND VGND VPWR VPWR _65258_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_457_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53272_ _53244_/A _53269_/B VGND VGND VPWR VPWR _53272_/X sky130_fd_sc_hd__or2_2
+XFILLER_37_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_594_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_590_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50484_ _50426_/X _50484_/B VGND VGND VPWR VPWR _50484_/X sky130_fd_sc_hd__or2_2
+XFILLER_618_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_672_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55011_ _54983_/A _55011_/B VGND VGND VPWR VPWR _55012_/B sky130_fd_sc_hd__or2_2
+XFILLER_136_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52223_ _52222_/X VGND VGND VPWR VPWR _52223_/X sky130_fd_sc_hd__buf_1
+XFILLER_555_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64209_ _66083_/A VGND VGND VPWR VPWR _69313_/A sky130_fd_sc_hd__buf_1
+XPHY_23209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_178_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_670_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80255_ _80597_/CLK _38924_/Y VGND VGND VPWR VPWR _80255_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_121_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65189_ _65363_/A _65189_/B _65189_/C VGND VGND VPWR VPWR _65194_/B sky130_fd_sc_hd__nor3_2
+XFILLER_378_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_585_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_85_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_87_1619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_352_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52154_ _52758_/A VGND VGND VPWR VPWR _52156_/A sky130_fd_sc_hd__buf_1
+XFILLER_498_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_102_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_699_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80186_ _80187_/CLK _39201_/Y VGND VGND VPWR VPWR _66365_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_276_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_590_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69997_ _69997_/A VGND VGND VPWR VPWR _70657_/A sky130_fd_sc_hd__inv_8
+XPHY_12007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_541_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_513_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_2959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_666_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51105_ _51019_/A _51108_/B VGND VGND VPWR VPWR _51105_/X sky130_fd_sc_hd__or2_2
+XFILLER_412_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59750_ _60069_/A _59750_/B _59750_/C VGND VGND VPWR VPWR _59754_/B sky130_fd_sc_hd__nor3_2
+XFILLER_159_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52085_ _52057_/A _52082_/B VGND VGND VPWR VPWR _52085_/X sky130_fd_sc_hd__or2_2
+X_56962_ _56962_/A _56633_/B VGND VGND VPWR VPWR _56963_/C sky130_fd_sc_hd__nor2_2
+XFILLER_334_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68948_ _68948_/A VGND VGND VPWR VPWR _68948_/X sky130_fd_sc_hd__buf_1
+XPHY_21829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_2_1_2_u_core.clock clkbuf_2_1_2_u_core.clock/A VGND VGND VPWR VPWR clkbuf_2_1_2_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_173_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_522_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_352_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_412_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58701_ _58701_/A _58835_/B VGND VGND VPWR VPWR _58701_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_317_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51036_ _51036_/A _51054_/B VGND VGND VPWR VPWR _51036_/X sky130_fd_sc_hd__or2_2
+XFILLER_43_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55913_ _55913_/A _55888_/A VGND VGND VPWR VPWR _55913_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_63_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59681_ _54121_/A _59844_/B VGND VGND VPWR VPWR _59681_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_271_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56893_ _65473_/A _56643_/B VGND VGND VPWR VPWR _56893_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_8_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68879_ _68551_/A _68877_/Y _68879_/C VGND VGND VPWR VPWR _68879_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_628_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_655_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_28_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_49_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58632_ _58699_/A _58630_/Y _58632_/C VGND VGND VPWR VPWR _58636_/B sky130_fd_sc_hd__nor3_2
+XFILLER_289_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_70910_ _70456_/X VGND VGND VPWR VPWR _71457_/A sky130_fd_sc_hd__inv_8
+XPHY_10638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55844_ _55844_/A _55844_/B VGND VGND VPWR VPWR _55844_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_493_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_293_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_54_0_u_core.clock clkbuf_7_55_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_54_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+X_71890_ _71890_/A _71890_/B _65490_/A VGND VGND VPWR VPWR _71890_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_486_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_428_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_643_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_213_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_44_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_131_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_562_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_385_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39729_ _39247_/A _39617_/A VGND VGND VPWR VPWR _39731_/A sky130_fd_sc_hd__or2_2
+XFILLER_609_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58563_ _41412_/C _58563_/B VGND VGND VPWR VPWR _58563_/Y sky130_fd_sc_hd__nor2_2
+X_70841_ _70840_/X VGND VGND VPWR VPWR _70842_/A sky130_fd_sc_hd__buf_1
+XFILLER_527_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_447_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55775_ _55773_/Y _55775_/B VGND VGND VPWR VPWR _76780_/D sky130_fd_sc_hd__nand2_2
+XFILLER_605_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52987_ _77399_/Q _52993_/B VGND VGND VPWR VPWR _52987_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_449_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_547_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_467_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_18_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_226_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57514_ _80253_/Q _57514_/B VGND VGND VPWR VPWR _57515_/C sky130_fd_sc_hd__nor2_2
+XFILLER_484_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_45_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_363_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_5223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42740_ _45629_/A VGND VGND VPWR VPWR _65553_/A sky130_fd_sc_hd__inv_8
+XFILLER_635_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54726_ _54724_/Y _54725_/X VGND VGND VPWR VPWR _76942_/D sky130_fd_sc_hd__nand2_2
+XFILLER_273_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73560_ _73923_/A _73560_/B VGND VGND VPWR VPWR _73560_/X sky130_fd_sc_hd__or2_2
+XFILLER_462_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_664_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51938_ _60667_/A _51935_/B VGND VGND VPWR VPWR _51940_/A sky130_fd_sc_hd__nand2_2
+XPHY_19614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_308_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58494_ _58569_/A _58494_/B _58493_/Y VGND VGND VPWR VPWR _58494_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_131_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70772_ _70772_/A _70059_/A VGND VGND VPWR VPWR _70772_/X sky130_fd_sc_hd__or2_2
+XFILLER_100_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_701_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_385_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_699_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_402 _54231_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_79_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_1106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37004 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_597_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_406_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72511_ _72509_/X _72521_/B _67927_/A VGND VGND VPWR VPWR _72512_/B sky130_fd_sc_hd__nand3_2
+XFILLER_379_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_413 _56304_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_37_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37015 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57445_ _56244_/X VGND VGND VPWR VPWR _57445_/X sky130_fd_sc_hd__buf_1
+XFILLER_360_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42671_ _42562_/A VGND VGND VPWR VPWR _42702_/A sky130_fd_sc_hd__buf_1
+XFILLER_677_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54657_ _59455_/A _54641_/X VGND VGND VPWR VPWR _54659_/A sky130_fd_sc_hd__nand2_2
+XANTENNA_424 _58461_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_73491_ _74944_/A _73494_/B _81170_/Q VGND VGND VPWR VPWR _73493_/A sky130_fd_sc_hd__nand3_2
+XFILLER_35_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37026 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_183 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51869_ _51720_/A VGND VGND VPWR VPWR _51930_/A sky130_fd_sc_hd__buf_1
+XPHY_18924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_435 _59110_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_3810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37037 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_446 _63745_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37048 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44410_ _44402_/A _44372_/X VGND VGND VPWR VPWR _44410_/X sky130_fd_sc_hd__and2_2
+XPHY_4566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75230_ _75230_/A _75230_/B VGND VGND VPWR VPWR _75230_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_603_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41622_ _41622_/A _41622_/B VGND VGND VPWR VPWR _41622_/Y sky130_fd_sc_hd__nand2_2
+XANTENNA_457 _59266_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53608_ _77238_/Q _53608_/B VGND VGND VPWR VPWR _53610_/A sky130_fd_sc_hd__nand2_2
+XPHY_3832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37059 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72442_ _72806_/A _72442_/B VGND VGND VPWR VPWR _72445_/A sky130_fd_sc_hd__or2_2
+XFILLER_421_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45390_ _45086_/A _45384_/Y _45389_/Y VGND VGND VPWR VPWR _45391_/B sky130_fd_sc_hd__or3_2
+XFILLER_198_430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_468 _64495_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_57376_ _79739_/Q _57218_/X VGND VGND VPWR VPWR _57378_/B sky130_fd_sc_hd__nor2_2
+XPHY_36325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_3843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_700_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54588_ _54645_/A _54600_/B VGND VGND VPWR VPWR _54588_/X sky130_fd_sc_hd__or2_2
+XFILLER_204_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_479 _64141_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_25_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59115_ _59115_/A VGND VGND VPWR VPWR _61445_/A sky130_fd_sc_hd__buf_1
+XFILLER_638_2639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44341_ _44459_/A VGND VGND VPWR VPWR _44344_/B sky130_fd_sc_hd__inv_8
+XFILLER_575_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56327_ _80943_/Q _56541_/B VGND VGND VPWR VPWR _56328_/C sky130_fd_sc_hd__nor2_2
+XFILLER_341_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75161_ _75161_/A _75160_/Y VGND VGND VPWR VPWR _80757_/D sky130_fd_sc_hd__nand2_2
+XFILLER_718_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41553_ _41553_/A _41552_/Y VGND VGND VPWR VPWR _79589_/D sky130_fd_sc_hd__nand2_2
+XFILLER_246_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53539_ _53539_/A VGND VGND VPWR VPWR _53549_/B sky130_fd_sc_hd__buf_1
+XFILLER_57_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72373_ _72195_/A _72362_/B VGND VGND VPWR VPWR _72373_/X sky130_fd_sc_hd__or2_2
+XPHY_3887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_196_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_693_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_348_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_126_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_70_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74112_ _74112_/A _74127_/B VGND VGND VPWR VPWR _74114_/A sky130_fd_sc_hd__or2_2
+XFILLER_400_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_556_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40504_ _40502_/X _40504_/B VGND VGND VPWR VPWR _40504_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_536_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71324_ _70295_/X _71323_/Y VGND VGND VPWR VPWR _71336_/B sky130_fd_sc_hd__nor2_2
+X_47060_ _47060_/A _47060_/B VGND VGND VPWR VPWR _47061_/B sky130_fd_sc_hd__and2_2
+XFILLER_548_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59046_ _76861_/Q _59678_/B VGND VGND VPWR VPWR _59047_/C sky130_fd_sc_hd__nor2_2
+XFILLER_70_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44272_ _44272_/A _44272_/B VGND VGND VPWR VPWR _44273_/C sky130_fd_sc_hd__nor2_2
+XFILLER_122_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56258_ _56258_/A VGND VGND VPWR VPWR _56453_/A sky130_fd_sc_hd__buf_1
+X_75092_ _75103_/A _75096_/B _68359_/A VGND VGND VPWR VPWR _75093_/B sky130_fd_sc_hd__nand3_2
+XFILLER_658_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41484_ _41484_/A _41483_/Y VGND VGND VPWR VPWR _79607_/D sky130_fd_sc_hd__nand2_2
+XPHY_34934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_146_0_u_core.clock clkbuf_8_73_0_u_core.clock/X VGND VGND VPWR VPWR _78277_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_35679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_654_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46011_ _46064_/A _46009_/Y _46010_/Y VGND VGND VPWR VPWR _79045_/D sky130_fd_sc_hd__nor3_2
+XFILLER_142_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43223_ _79406_/Q _47240_/A VGND VGND VPWR VPWR _43225_/B sky130_fd_sc_hd__nor2_2
+X_55209_ _55209_/A _55209_/B VGND VGND VPWR VPWR _55209_/Y sky130_fd_sc_hd__nor2_2
+X_74043_ _74043_/A _74042_/Y VGND VGND VPWR VPWR _81029_/D sky130_fd_sc_hd__nand2_2
+XFILLER_259_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78920_ _78919_/CLK _78920_/D VGND VGND VPWR VPWR _47016_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_34967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40435_ _40433_/X _40435_/B VGND VGND VPWR VPWR _40435_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_259_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_34_0_u_core.clock clkbuf_8_35_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_69_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_71255_ _71416_/A _71017_/Y VGND VGND VPWR VPWR _71256_/B sky130_fd_sc_hd__nor2_2
+XFILLER_354_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56189_ _56084_/A _56189_/B VGND VGND VPWR VPWR _56190_/B sky130_fd_sc_hd__nor2_2
+XFILLER_532_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_516_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_517_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_255_0_u_core.clock clkbuf_8_254_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_511_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_24477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_396_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70206_ _70206_/A _70299_/B _70299_/C VGND VGND VPWR VPWR _70207_/C sky130_fd_sc_hd__nor3_2
+XFILLER_678_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_174_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43154_ _43153_/Y _43149_/A VGND VGND VPWR VPWR _43154_/X sky130_fd_sc_hd__or2_2
+XFILLER_154_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78851_ _78867_/CLK _78851_/D VGND VGND VPWR VPWR _63916_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_339_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40366_ _40364_/X _40366_/B VGND VGND VPWR VPWR _40366_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_276_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71186_ _39757_/A VGND VGND VPWR VPWR _71187_/B sky130_fd_sc_hd__buf_1
+XPHY_13231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_688_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_64_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_159_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42105_ _42105_/A VGND VGND VPWR VPWR _57022_/A sky130_fd_sc_hd__buf_1
+X_77802_ _77790_/CLK _51480_/Y VGND VGND VPWR VPWR _77802_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_343_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_512_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_589_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70137_ _70136_/Y _70260_/C _70124_/A _70109_/A VGND VGND VPWR VPWR _70137_/X sky130_fd_sc_hd__o22a_4
+XFILLER_218_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47962_ _47962_/A _47962_/B VGND VGND VPWR VPWR _47964_/A sky130_fd_sc_hd__nand2_2
+XFILLER_452_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43085_ _78961_/Q VGND VGND VPWR VPWR _43085_/X sky130_fd_sc_hd__buf_1
+XFILLER_155_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59948_ _59636_/A _59948_/B _59948_/C VGND VGND VPWR VPWR _59949_/C sky130_fd_sc_hd__nor3_2
+XFILLER_135_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78782_ _78251_/CLK _47692_/Y VGND VGND VPWR VPWR _78782_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_13275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40297_ _40295_/X _40297_/B VGND VGND VPWR VPWR _79906_/D sky130_fd_sc_hd__nand2_2
+XFILLER_272_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_237_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75994_ _75992_/X _75993_/Y VGND VGND VPWR VPWR _75994_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_430_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_159_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_567_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49701_ _78270_/Q _49704_/B VGND VGND VPWR VPWR _49701_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_649_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_415_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_370_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42036_ _42035_/Y _42036_/B VGND VGND VPWR VPWR _42037_/B sky130_fd_sc_hd__nor2_2
+X_46913_ _46913_/A _46913_/B VGND VGND VPWR VPWR _46918_/A sky130_fd_sc_hd__or2_2
+XFILLER_674_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77733_ _77211_/CLK _51738_/Y VGND VGND VPWR VPWR _77733_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_123_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74945_ _74963_/A VGND VGND VPWR VPWR _75054_/B sky130_fd_sc_hd__buf_1
+X_70068_ _70067_/X VGND VGND VPWR VPWR _70891_/A sky130_fd_sc_hd__buf_2
+XFILLER_231_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_647_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47893_ _47893_/A _47896_/B VGND VGND VPWR VPWR _47893_/Y sky130_fd_sc_hd__nand2_2
+X_59879_ _62237_/A VGND VGND VPWR VPWR _60039_/A sky130_fd_sc_hd__buf_1
+XFILLER_430_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_269_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_658_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_643_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_444_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61910_ _61910_/A _61756_/B VGND VGND VPWR VPWR _61910_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_313_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49632_ _49632_/A _49625_/X VGND VGND VPWR VPWR _49632_/X sky130_fd_sc_hd__or2_2
+XFILLER_528_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46844_ _46844_/A _46843_/X VGND VGND VPWR VPWR _78940_/D sky130_fd_sc_hd__nor2_2
+X_77664_ _77634_/CLK _51991_/Y VGND VGND VPWR VPWR _77664_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_231_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_155_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62890_ _59416_/A VGND VGND VPWR VPWR _62890_/X sky130_fd_sc_hd__buf_1
+XPHY_11884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74876_ _74738_/A _74876_/B VGND VGND VPWR VPWR _74876_/X sky130_fd_sc_hd__or2_2
+XFILLER_463_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_682_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_567_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_429_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_673_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_430_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79403_ _79501_/CLK _43236_/Y VGND VGND VPWR VPWR _43234_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_426_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_27_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76615_ VGND VGND VPWR VPWR _76615_/HI la_data_out[111] sky130_fd_sc_hd__conb_1
+XFILLER_7_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61841_ _61221_/A _61841_/B _61841_/C VGND VGND VPWR VPWR _61842_/C sky130_fd_sc_hd__nor3_2
+X_49563_ _62733_/A _49573_/B VGND VGND VPWR VPWR _49563_/Y sky130_fd_sc_hd__nand2_2
+X_73827_ _73947_/A _73817_/X VGND VGND VPWR VPWR _73827_/X sky130_fd_sc_hd__or2_2
+XFILLER_387_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_565_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46775_ _46770_/B _46775_/B _46772_/C VGND VGND VPWR VPWR _46775_/Y sky130_fd_sc_hd__nor3_2
+X_77595_ _77880_/CLK _77595_/D VGND VGND VPWR VPWR _61378_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_348_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43987_ _43669_/A _43986_/Y VGND VGND VPWR VPWR _43988_/B sky130_fd_sc_hd__or2_2
+XFILLER_23_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_444_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48514_ _48514_/A _48514_/B VGND VGND VPWR VPWR _78574_/D sky130_fd_sc_hd__nand2_2
+XFILLER_48_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79334_ _78919_/CLK _79334_/D VGND VGND VPWR VPWR _72127_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_313_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45726_ _70485_/X VGND VGND VPWR VPWR _45726_/Y sky130_fd_sc_hd__inv_8
+XFILLER_599_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64560_ _74148_/C _64560_/B VGND VGND VPWR VPWR _64561_/C sky130_fd_sc_hd__nor2_2
+XFILLER_348_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_283_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76546_ VGND VGND VPWR VPWR _76546_/HI la_data_out[42] sky130_fd_sc_hd__conb_1
+X_42938_ _42938_/A _42938_/B _57760_/A VGND VGND VPWR VPWR _42939_/B sky130_fd_sc_hd__nand3_2
+XFILLER_287_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61772_ _61772_/A _61458_/B VGND VGND VPWR VPWR _61772_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_252_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73758_ _73638_/A _73750_/B VGND VGND VPWR VPWR _73758_/X sky130_fd_sc_hd__or2_2
+XFILLER_292_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49494_ _49494_/A VGND VGND VPWR VPWR _49800_/A sky130_fd_sc_hd__buf_1
+XFILLER_608_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_76_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_114_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_723_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_428_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_40_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_660_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_326_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63511_ _63511_/A _63359_/X VGND VGND VPWR VPWR _63511_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_602_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_14_0_u_core.clock clkbuf_8_7_0_u_core.clock/X VGND VGND VPWR VPWR _78545_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_346_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72709_ _72709_/A _74276_/B VGND VGND VPWR VPWR _72712_/A sky130_fd_sc_hd__or2_2
+X_60723_ _60097_/A _60719_/Y _60722_/Y VGND VGND VPWR VPWR _60723_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_291_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48445_ _63124_/A _48448_/B VGND VGND VPWR VPWR _48445_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_184_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79265_ _79271_/CLK _44108_/Y VGND VGND VPWR VPWR _79265_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_188_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_706_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45657_ _42433_/B VGND VGND VPWR VPWR _45657_/X sky130_fd_sc_hd__buf_1
+XPHY_38250 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64491_ _65057_/A _64487_/Y _64491_/C VGND VGND VPWR VPWR _64491_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_251_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_561_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76477_ VGND VGND VPWR VPWR _76477_/HI io_oeb[37] sky130_fd_sc_hd__conb_1
+XFILLER_444_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42869_ _42830_/Y _42868_/X VGND VGND VPWR VPWR _42870_/C sky130_fd_sc_hd__and2_2
+XFILLER_248_2696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73689_ _73689_/A _73688_/X _81119_/Q VGND VGND VPWR VPWR _73689_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_406_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38261 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_598_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_166_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38272 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66230_ _66716_/A _66230_/B _66229_/Y VGND VGND VPWR VPWR _66249_/A sky130_fd_sc_hd__nor3_2
+XFILLER_17_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38283 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78216_ _77689_/CLK _78216_/D VGND VGND VPWR VPWR _49900_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44608_ _72959_/A _44608_/B VGND VGND VPWR VPWR _44609_/C sky130_fd_sc_hd__nor2_2
+XFILLER_251_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_440_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_51_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_63442_ _63979_/A _63440_/Y _63442_/C VGND VGND VPWR VPWR _63443_/C sky130_fd_sc_hd__nor3_2
+XFILLER_248_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75428_ _75410_/X _75444_/B _75428_/C VGND VGND VPWR VPWR _75428_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_381_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38294 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60654_ _60005_/A _60654_/B _60653_/Y VGND VGND VPWR VPWR _60728_/A sky130_fd_sc_hd__nor3_2
+X_48376_ _48306_/A _48375_/X VGND VGND VPWR VPWR _48376_/X sky130_fd_sc_hd__or2_2
+XFILLER_719_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_264_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_251_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79196_ _76664_/CLK _44764_/Y VGND VGND VPWR VPWR _44648_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_444_1186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_422_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45588_ _45496_/A VGND VGND VPWR VPWR _45599_/A sky130_fd_sc_hd__buf_1
+XPHY_37560 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37571 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_601_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_109_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37582 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47327_ _49240_/A VGND VGND VPWR VPWR _47440_/A sky130_fd_sc_hd__buf_1
+XFILLER_222_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66161_ _66144_/Y _66161_/B VGND VGND VPWR VPWR _66161_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_539_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78147_ _78138_/CLK _50162_/Y VGND VGND VPWR VPWR _62704_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_260_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37593 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44539_ _44539_/A VGND VGND VPWR VPWR _44571_/A sky130_fd_sc_hd__buf_1
+X_63373_ _63373_/A _62743_/X VGND VGND VPWR VPWR _63373_/Y sky130_fd_sc_hd__nor2_2
+X_75359_ _74738_/A _75366_/B VGND VGND VPWR VPWR _75359_/X sky130_fd_sc_hd__or2_2
+XFILLER_637_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_394_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_147_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60585_ _60585_/A VGND VGND VPWR VPWR _60738_/B sky130_fd_sc_hd__buf_1
+XPHY_27081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36870 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_20_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36881 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65112_ _65112_/A _65112_/B _65111_/Y VGND VGND VPWR VPWR _65199_/B sky130_fd_sc_hd__nor3_2
+XFILLER_320_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_359_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36892 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62324_ _61980_/X _62324_/B VGND VGND VPWR VPWR _62362_/B sky130_fd_sc_hd__nor2_2
+XFILLER_554_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47258_ _47256_/X _47258_/B VGND VGND VPWR VPWR _47455_/A sky130_fd_sc_hd__nand2_2
+X_66092_ _66250_/A _66092_/B VGND VGND VPWR VPWR _66126_/B sky130_fd_sc_hd__nor2_2
+XFILLER_591_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78078_ _78070_/CLK _78078_/D VGND VGND VPWR VPWR _61895_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_305_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_619_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_31_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_18_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_1545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_650_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46209_ _46302_/A VGND VGND VPWR VPWR _46243_/A sky130_fd_sc_hd__buf_1
+XFILLER_195_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65043_ _65043_/A _65043_/B VGND VGND VPWR VPWR _65043_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_474_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69920_ _69920_/A _69920_/B VGND VGND VPWR VPWR _71718_/B sky130_fd_sc_hd__or2_2
+XFILLER_439_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77029_ _77029_/CLK _77029_/D VGND VGND VPWR VPWR _54400_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62255_ _61583_/X _62255_/B VGND VGND VPWR VPWR _62289_/B sky130_fd_sc_hd__nor2_2
+XFILLER_290_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_347_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_177_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47189_ _46400_/A _47189_/B VGND VGND VPWR VPWR _47189_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_203_1567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_103_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_689_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_173_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61206_ _61206_/A _61206_/B VGND VGND VPWR VPWR _61206_/Y sky130_fd_sc_hd__nor2_2
+X_80040_ _79944_/CLK _39771_/Y VGND VGND VPWR VPWR _39770_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_372_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_333_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_523_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69851_ _38618_/A VGND VGND VPWR VPWR _75825_/A sky130_fd_sc_hd__inv_8
+XFILLER_362_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_47_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62186_ _61550_/A _62186_/B _62185_/Y VGND VGND VPWR VPWR _62186_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_511_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_632_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_615_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_86_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_474_1894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68802_ _66333_/A VGND VGND VPWR VPWR _68972_/A sky130_fd_sc_hd__buf_1
+XFILLER_133_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_156_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61137_ _61137_/A _60820_/B VGND VGND VPWR VPWR _61137_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_455_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38962_ _38590_/X _38965_/B VGND VGND VPWR VPWR _38964_/A sky130_fd_sc_hd__or2_2
+XFILLER_333_165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69782_ _69781_/Y VGND VGND VPWR VPWR _69812_/B sky130_fd_sc_hd__buf_1
+XFILLER_172_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66994_ _64138_/A VGND VGND VPWR VPWR _67659_/A sky130_fd_sc_hd__buf_1
+XFILLER_523_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_515_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68733_ _68902_/A _68733_/B _68732_/Y VGND VGND VPWR VPWR _68737_/B sky130_fd_sc_hd__nor3_2
+XFILLER_259_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_494_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_9_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65945_ _65102_/A VGND VGND VPWR VPWR _66117_/B sky130_fd_sc_hd__buf_1
+X_61068_ _60284_/A VGND VGND VPWR VPWR _61228_/A sky130_fd_sc_hd__buf_1
+XFILLER_86_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38893_ _38900_/A _38900_/B _80263_/Q VGND VGND VPWR VPWR _38893_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_487_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_233_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_116_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_354_91 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60019_ _77194_/Q _59866_/B VGND VGND VPWR VPWR _60019_/Y sky130_fd_sc_hd__nor2_2
+X_52910_ _52906_/Y _52910_/B VGND VGND VPWR VPWR _77420_/D sky130_fd_sc_hd__nand2_2
+XFILLER_288_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80942_ _80911_/CLK _80942_/D VGND VGND VPWR VPWR _80942_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_475_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68664_ _65364_/X VGND VGND VPWR VPWR _68665_/B sky130_fd_sc_hd__buf_1
+XFILLER_692_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53890_ _77163_/Q _53885_/X VGND VGND VPWR VPWR _53890_/Y sky130_fd_sc_hd__nand2_2
+X_65876_ _66699_/A VGND VGND VPWR VPWR _66250_/A sky130_fd_sc_hd__buf_1
+XFILLER_429_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_1018 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_42_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67615_ _64282_/A VGND VGND VPWR VPWR _68271_/B sky130_fd_sc_hd__buf_1
+XFILLER_665_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_151_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52841_ _77438_/Q _52841_/B VGND VGND VPWR VPWR _52843_/A sky130_fd_sc_hd__nand2_2
+XFILLER_288_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_64827_ _79825_/Q _69408_/B VGND VGND VPWR VPWR _64829_/B sky130_fd_sc_hd__nor2_2
+XFILLER_468_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_228_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80873_ _80725_/CLK _80873_/D VGND VGND VPWR VPWR _80873_/Q sky130_fd_sc_hd__dfxtp_4
+X_68595_ _67939_/A _68595_/B _68595_/C VGND VGND VPWR VPWR _68674_/B sky130_fd_sc_hd__nor3_2
+XFILLER_724_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_435_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_186_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_386_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_366_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_25_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39514_ _39512_/X _39513_/Y VGND VGND VPWR VPWR _80106_/D sky130_fd_sc_hd__nand2_2
+XFILLER_27_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_3198 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_167_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_364_3065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67546_ _67720_/A _67543_/Y _67546_/C VGND VGND VPWR VPWR _67546_/Y sky130_fd_sc_hd__nor3_2
+X_55560_ _55607_/A _55559_/X VGND VGND VPWR VPWR _55560_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_661_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64758_ _64758_/A _69366_/B VGND VGND VPWR VPWR _64761_/B sky130_fd_sc_hd__nor2_2
+X_52772_ _52772_/A VGND VGND VPWR VPWR _52833_/A sky130_fd_sc_hd__buf_1
+XFILLER_58_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_82_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_325_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_283_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_563_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_404_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_58_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54511_ _59334_/A _54511_/B VGND VGND VPWR VPWR _54513_/A sky130_fd_sc_hd__nand2_2
+XFILLER_269_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_632_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51723_ _51723_/A _51710_/B VGND VGND VPWR VPWR _51726_/A sky130_fd_sc_hd__nand2_2
+XFILLER_446_1963 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39445_ _39190_/A _39445_/B VGND VGND VPWR VPWR _39445_/X sky130_fd_sc_hd__or2_2
+XFILLER_404_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_642_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63709_ _78506_/Q _63709_/B VGND VGND VPWR VPWR _63710_/C sky130_fd_sc_hd__nor2_2
+XFILLER_282_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_605_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55491_ _71619_/A _55451_/B VGND VGND VPWR VPWR _55508_/A sky130_fd_sc_hd__or2_2
+X_67477_ _66654_/A VGND VGND VPWR VPWR _67650_/A sky130_fd_sc_hd__buf_1
+XFILLER_55_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_145_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_416_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_329_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_265_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64689_ _64668_/Y _64689_/B VGND VGND VPWR VPWR _64690_/B sky130_fd_sc_hd__nor2_2
+XPHY_3106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_600_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57230_ _56416_/A VGND VGND VPWR VPWR _57386_/A sky130_fd_sc_hd__buf_1
+XFILLER_262_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_93_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_243_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69216_ _79596_/Q _69354_/B VGND VGND VPWR VPWR _69216_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_702_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_149_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54442_ _54433_/A VGND VGND VPWR VPWR _54455_/B sky130_fd_sc_hd__buf_1
+XFILLER_325_1625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66428_ _66251_/X _66421_/Y _66428_/C VGND VGND VPWR VPWR _66428_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_403_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_54_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51654_ _51652_/Y _51653_/X VGND VGND VPWR VPWR _77755_/D sky130_fd_sc_hd__nand2_2
+XFILLER_230_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39376_ _39254_/A _39384_/B VGND VGND VPWR VPWR _39380_/A sky130_fd_sc_hd__or2_2
+XPHY_17519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_221_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_303_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50605_ _50661_/A _50602_/B VGND VGND VPWR VPWR _50605_/X sky130_fd_sc_hd__or2_2
+X_38327_ _38327_/A _38327_/B VGND VGND VPWR VPWR _38327_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_325_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81425_ _81425_/CLK _81425_/D VGND VGND VPWR VPWR _64768_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_399_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69147_ _69147_/A _69144_/Y _69146_/Y VGND VGND VPWR VPWR _69147_/X sky130_fd_sc_hd__or3_2
+X_57161_ _57161_/A _56997_/B VGND VGND VPWR VPWR _57161_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_196_923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54373_ _54464_/A _54396_/B VGND VGND VPWR VPWR _54374_/B sky130_fd_sc_hd__or2_2
+XFILLER_601_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66359_ _66515_/A _66356_/Y _66358_/Y VGND VGND VPWR VPWR _66359_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_694_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_52_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51585_ _51585_/A _51584_/X VGND VGND VPWR VPWR _77774_/D sky130_fd_sc_hd__nand2_2
+XFILLER_32_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56112_ _45373_/A _56086_/B VGND VGND VPWR VPWR _56113_/B sky130_fd_sc_hd__nor2_2
+XFILLER_555_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53324_ _53448_/A _53344_/B VGND VGND VPWR VPWR _53325_/B sky130_fd_sc_hd__or2_2
+XFILLER_225_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_659_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38258_ _38277_/A VGND VGND VPWR VPWR _38271_/B sky130_fd_sc_hd__buf_1
+XFILLER_303_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_50_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50536_ _50536_/A _50536_/B VGND VGND VPWR VPWR _50536_/Y sky130_fd_sc_hd__nand2_2
+X_57092_ _39067_/C _57261_/B VGND VGND VPWR VPWR _57094_/B sky130_fd_sc_hd__nor2_2
+XFILLER_479_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_655_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81356_ _81393_/CLK _81356_/D VGND VGND VPWR VPWR _81356_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_397_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69078_ _69218_/A _69076_/Y _69078_/C VGND VGND VPWR VPWR _69078_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_538_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_221_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_338_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_338_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_260_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_206_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56043_ _56039_/X _56043_/B VGND VGND VPWR VPWR _56043_/X sky130_fd_sc_hd__and2_2
+X_68029_ _80677_/Q _67526_/B VGND VGND VPWR VPWR _68030_/C sky130_fd_sc_hd__nor2_2
+XFILLER_592_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80307_ _80279_/CLK _38726_/Y VGND VGND VPWR VPWR _80307_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_574_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53255_ _77331_/Q _53258_/B VGND VGND VPWR VPWR _53255_/Y sky130_fd_sc_hd__nand2_2
+Xclkbuf_5_4_0_u_core.clock clkbuf_5_5_0_u_core.clock/A VGND VGND VPWR VPWR ANTENNA_555/DIODE
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_33529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50467_ _62679_/A _50462_/X VGND VGND VPWR VPWR _50469_/A sky130_fd_sc_hd__nand2_2
+XFILLER_457_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81287_ _79395_/CLK _81287_/D VGND VGND VPWR VPWR _73016_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_108_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_336_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40220_ _40207_/A _40207_/B _40220_/C VGND VGND VPWR VPWR _40220_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_336_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52206_ _52203_/Y _52206_/B VGND VGND VPWR VPWR _77610_/D sky130_fd_sc_hd__nand2_2
+XFILLER_30_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71040_ _79509_/Q VGND VGND VPWR VPWR _71045_/A sky130_fd_sc_hd__inv_8
+XFILLER_535_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80238_ _80238_/CLK _38987_/Y VGND VGND VPWR VPWR _80238_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_256_1708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_430 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53186_ _53186_/A _53185_/Y VGND VGND VPWR VPWR _53186_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_354_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_178_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_32839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_390_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50398_ _50401_/A VGND VGND VPWR VPWR _50404_/B sky130_fd_sc_hd__buf_1
+XFILLER_434_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_2619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_703_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_59802_ _59643_/A _59802_/B _59801_/Y VGND VGND VPWR VPWR _59802_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_514_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_380_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_324_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_40151_ _40140_/A VGND VGND VPWR VPWR _40188_/A sky130_fd_sc_hd__buf_1
+XFILLER_633_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52137_ _52137_/A _52137_/B VGND VGND VPWR VPWR _77625_/D sky130_fd_sc_hd__nand2_2
+XPHY_21604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_69_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_470_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_8_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80169_ _80200_/CLK _39279_/Y VGND VGND VPWR VPWR _80169_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_21615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_151_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57994_ _67800_/A _57915_/B VGND VGND VPWR VPWR _57994_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_69_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_306_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_232_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_1607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59733_ _59733_/A _59732_/Y VGND VGND VPWR VPWR _59734_/B sky130_fd_sc_hd__nor2_2
+XPHY_21648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56945_ _38834_/C _56945_/B VGND VGND VPWR VPWR _56946_/C sky130_fd_sc_hd__nor2_2
+X_40082_ _39827_/X _40079_/B VGND VGND VPWR VPWR _40084_/A sky130_fd_sc_hd__or2_2
+XFILLER_332_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52068_ _52068_/A VGND VGND VPWR VPWR _52087_/B sky130_fd_sc_hd__buf_1
+XPHY_21659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72991_ _72154_/B _73102_/B VGND VGND VPWR VPWR _72992_/B sky130_fd_sc_hd__or2_2
+XPHY_20925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_81_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_152_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_431_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_674_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_278_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43910_ _75021_/A _43931_/B VGND VGND VPWR VPWR _43911_/C sky130_fd_sc_hd__nor2_2
+XFILLER_172_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51019_ _51019_/A _51019_/B VGND VGND VPWR VPWR _51019_/X sky130_fd_sc_hd__or2_2
+XFILLER_63_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74730_ _74739_/A _74720_/X _80866_/Q VGND VGND VPWR VPWR _74731_/B sky130_fd_sc_hd__nand3_2
+XFILLER_584_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_59664_ _64021_/A _59664_/B _59664_/C VGND VGND VPWR VPWR _59673_/B sky130_fd_sc_hd__nor3_2
+X_71942_ _69939_/X _71931_/Y _71933_/Y _71941_/X VGND VGND VPWR VPWR _42776_/B sky130_fd_sc_hd__o22a_4
+XFILLER_666_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_584_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44890_ _75052_/A _44836_/X _44882_/Y _44889_/Y VGND VGND VPWR VPWR _44891_/C sky130_fd_sc_hd__o22a_4
+XFILLER_8_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56876_ _56780_/A _56874_/Y _56875_/Y VGND VGND VPWR VPWR _56882_/B sky130_fd_sc_hd__nor3_2
+XFILLER_330_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_130_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_443_0_u_core.clock clkbuf_9_443_0_u_core.clock/A VGND VGND VPWR VPWR _80094_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_10457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_389_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_292_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_4_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_447_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_131_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_24_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58615_ _80971_/Q _58472_/B VGND VGND VPWR VPWR _58616_/C sky130_fd_sc_hd__nor2_2
+XFILLER_219_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_662_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43841_ _43849_/B VGND VGND VPWR VPWR _43861_/B sky130_fd_sc_hd__inv_8
+XFILLER_213_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55827_ _49230_/A _55830_/B VGND VGND VPWR VPWR _55828_/B sky130_fd_sc_hd__or2_2
+XFILLER_644_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74661_ _74659_/X _74661_/B VGND VGND VPWR VPWR _74661_/Y sky130_fd_sc_hd__nand2_2
+XPHY_10479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_510_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59595_ _53179_/A _59595_/B VGND VGND VPWR VPWR _59599_/B sky130_fd_sc_hd__nor2_2
+X_71873_ _71867_/X _71873_/B _71870_/Y _71872_/Y VGND VGND VPWR VPWR _71873_/X sky130_fd_sc_hd__or4_2
+XFILLER_210_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_382_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_58_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_111_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_527_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76400_ _76397_/A _76397_/B _67232_/A VGND VGND VPWR VPWR _76401_/B sky130_fd_sc_hd__nand3_2
+XFILLER_643_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73612_ _73609_/X _73611_/Y VGND VGND VPWR VPWR _81139_/D sky130_fd_sc_hd__nand2_2
+XFILLER_482_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_133_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46560_ _46558_/Y _46560_/B VGND VGND VPWR VPWR _79001_/D sky130_fd_sc_hd__and2_2
+X_70824_ _70824_/A _70823_/X VGND VGND VPWR VPWR _70824_/X sky130_fd_sc_hd__and2_2
+XFILLER_581_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58546_ _58342_/X _58524_/Y _58546_/C VGND VGND VPWR VPWR _58546_/X sky130_fd_sc_hd__or3_2
+XFILLER_98_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77380_ _77379_/CLK _77380_/D VGND VGND VPWR VPWR _61621_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_464_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43772_ _43668_/B VGND VGND VPWR VPWR _43772_/X sky130_fd_sc_hd__buf_1
+XPHY_5020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_625_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55758_ _59466_/A _55761_/B VGND VGND VPWR VPWR _55760_/A sky130_fd_sc_hd__nand2_2
+XFILLER_347_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74592_ _74205_/A _74582_/B VGND VGND VPWR VPWR _74594_/A sky130_fd_sc_hd__or2_2
+XFILLER_481_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40984_ _40497_/X _40984_/B VGND VGND VPWR VPWR _40984_/X sky130_fd_sc_hd__or2_2
+XFILLER_720_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_556_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_73_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_76_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45511_ _69687_/A _45521_/B VGND VGND VPWR VPWR _45515_/B sky130_fd_sc_hd__nor2_2
+XFILLER_707_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76331_ _76318_/A _76321_/X _80466_/Q VGND VGND VPWR VPWR _76331_/Y sky130_fd_sc_hd__nand3_2
+XPHY_19433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42723_ _43856_/A VGND VGND VPWR VPWR _42724_/A sky130_fd_sc_hd__buf_1
+XFILLER_226_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_562_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54709_ _54794_/A _54727_/B VGND VGND VPWR VPWR _54710_/B sky130_fd_sc_hd__or2_2
+XFILLER_273_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_185_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73543_ _73539_/A _73531_/B _81158_/Q VGND VGND VPWR VPWR _73543_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_445_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_5064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_603_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46491_ _46490_/A _46570_/A VGND VGND VPWR VPWR _46492_/C sky130_fd_sc_hd__and2_2
+X_58477_ _58753_/A _58475_/Y _58477_/C VGND VGND VPWR VPWR _58477_/Y sky130_fd_sc_hd__nor3_2
+X_70755_ _70754_/X VGND VGND VPWR VPWR _70766_/A sky130_fd_sc_hd__inv_8
+XANTENNA_210 _76155_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_29978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55689_ _55707_/A _55687_/Y _55688_/Y VGND VGND VPWR VPWR _55689_/X sky130_fd_sc_hd__or3_2
+XPHY_19455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_221 _76089_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_284_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_163_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_232 _76140_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_48230_ _48229_/X VGND VGND VPWR VPWR _48230_/X sky130_fd_sc_hd__buf_1
+XFILLER_363_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_4352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_265_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79050_ _79095_/CLK _79050_/D VGND VGND VPWR VPWR _41705_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_523_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_243 _38498_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_265_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45442_ _45442_/A VGND VGND VPWR VPWR _45467_/A sky130_fd_sc_hd__buf_1
+XPHY_36100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57428_ _66633_/A _57427_/X VGND VGND VPWR VPWR _57428_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_406_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76262_ _76280_/A VGND VGND VPWR VPWR _76277_/A sky130_fd_sc_hd__buf_1
+XFILLER_363_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42654_ _42576_/A VGND VGND VPWR VPWR _42654_/X sky130_fd_sc_hd__buf_1
+XFILLER_233_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_254 _38581_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_430_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73474_ _73400_/A VGND VGND VPWR VPWR _73485_/B sky130_fd_sc_hd__buf_1
+XPHY_36111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70686_ _70685_/X VGND VGND VPWR VPWR _70686_/Y sky130_fd_sc_hd__inv_8
+XFILLER_261_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_265 _40417_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_720_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_3640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_276 _41919_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_183_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78001_ _77998_/CLK _78001_/D VGND VGND VPWR VPWR _62330_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75213_ _75213_/A _75213_/B VGND VGND VPWR VPWR _75213_/X sky130_fd_sc_hd__or2_2
+XFILLER_603_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_18_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41605_ _41116_/X _41615_/B VGND VGND VPWR VPWR _41607_/A sky130_fd_sc_hd__or2_2
+XFILLER_109_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_287 _55690_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_163_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_180_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48161_ _48161_/A _48160_/X VGND VGND VPWR VPWR _48161_/Y sky130_fd_sc_hd__nand2_2
+X_72425_ _72443_/A VGND VGND VPWR VPWR _72429_/B sky130_fd_sc_hd__buf_1
+XFILLER_324_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45373_ _45373_/A _45059_/A VGND VGND VPWR VPWR _45373_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_243_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57359_ _57194_/A _57357_/Y _57359_/C VGND VGND VPWR VPWR _57363_/B sky130_fd_sc_hd__nor3_2
+XANTENNA_298 _47417_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_76_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76193_ _76146_/A VGND VGND VPWR VPWR _76194_/B sky130_fd_sc_hd__buf_1
+XPHY_3673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42585_ _67854_/A _42575_/B VGND VGND VPWR VPWR _42594_/A sky130_fd_sc_hd__nor2_2
+XFILLER_419_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47112_ _46402_/Y _47112_/B VGND VGND VPWR VPWR _47113_/B sky130_fd_sc_hd__or2_2
+XFILLER_70_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44324_ _44324_/A VGND VGND VPWR VPWR _44567_/A sky130_fd_sc_hd__buf_1
+XFILLER_458_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75144_ _75384_/A _75137_/B VGND VGND VPWR VPWR _75144_/X sky130_fd_sc_hd__or2_2
+XFILLER_536_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2779 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_186_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41536_ _41512_/X VGND VGND VPWR VPWR _41536_/X sky130_fd_sc_hd__buf_1
+XFILLER_92_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48092_ _48092_/A _48092_/B VGND VGND VPWR VPWR _48092_/Y sky130_fd_sc_hd__nand2_2
+X_60370_ _59893_/A _60368_/Y _60369_/Y VGND VGND VPWR VPWR _60370_/Y sky130_fd_sc_hd__nor3_2
+XPHY_2972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72356_ _72170_/A _72463_/B VGND VGND VPWR VPWR _72359_/A sky130_fd_sc_hd__or2_2
+XFILLER_493_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_419_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_89_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_564_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_5_12_0_u_core.clock clkbuf_4_6_1_u_core.clock/X VGND VGND VPWR VPWR clkbuf_5_12_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_35487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_298_2082 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_100_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47043_ _47043_/A _47041_/Y _47043_/C VGND VGND VPWR VPWR _47043_/X sky130_fd_sc_hd__or3_2
+X_59029_ _59029_/A VGND VGND VPWR VPWR _59348_/B sky130_fd_sc_hd__buf_1
+X_71307_ _71385_/A _71307_/B VGND VGND VPWR VPWR _71307_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_376_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_221_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44255_ _44269_/A _44255_/B _44255_/C VGND VGND VPWR VPWR _79247_/D sky130_fd_sc_hd__nor3_2
+XFILLER_278_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75075_ _75115_/A VGND VGND VPWR VPWR _75090_/B sky130_fd_sc_hd__buf_1
+XFILLER_552_828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79952_ _79921_/CLK _79952_/D VGND VGND VPWR VPWR _64657_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_362_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41467_ _41093_/X _41478_/B VGND VGND VPWR VPWR _41467_/X sky130_fd_sc_hd__or2_2
+XPHY_34764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72287_ _74109_/A VGND VGND VPWR VPWR _72940_/A sky130_fd_sc_hd__buf_1
+XPHY_24241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_328_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_419_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_452_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43206_ _43206_/A _43170_/X _43129_/X _43205_/Y VGND VGND VPWR VPWR _43206_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_220_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74026_ _74015_/A _74026_/B _68574_/A VGND VGND VPWR VPWR _74026_/Y sky130_fd_sc_hd__nand3_2
+X_78903_ _78903_/CLK _47149_/Y VGND VGND VPWR VPWR _46331_/B sky130_fd_sc_hd__dfxtp_4
+X_62040_ _59675_/A VGND VGND VPWR VPWR _62060_/A sky130_fd_sc_hd__buf_1
+XPHY_34797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40418_ _40417_/X _40423_/B VGND VGND VPWR VPWR _40418_/X sky130_fd_sc_hd__or2_2
+XFILLER_295_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_155_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71238_ _71357_/A _71237_/Y VGND VGND VPWR VPWR _71238_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_166_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44186_ _44186_/A VGND VGND VPWR VPWR _44263_/A sky130_fd_sc_hd__buf_1
+XFILLER_435_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79883_ _80010_/CLK _40388_/Y VGND VGND VPWR VPWR _69116_/A sky130_fd_sc_hd__dfxtp_4
+X_41398_ _41401_/A _41401_/B _79630_/Q VGND VGND VPWR VPWR _41398_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_573_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_29_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_315_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_610_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_512_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_532_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_452_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43137_ _45130_/A _46145_/B VGND VGND VPWR VPWR _43163_/A sky130_fd_sc_hd__nor2_2
+XFILLER_712_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78834_ _78403_/CLK _47470_/Y VGND VGND VPWR VPWR _63792_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_678_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40349_ _40342_/A _40352_/B _65321_/A VGND VGND VPWR VPWR _40350_/B sky130_fd_sc_hd__nand3_2
+XFILLER_371_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71169_ _70178_/X _71169_/B VGND VGND VPWR VPWR _71169_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_157_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48994_ _63680_/A _48987_/B VGND VGND VPWR VPWR _48994_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_272_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_649_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_155_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_250_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_688_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_46_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47945_ _47945_/A _47886_/B VGND VGND VPWR VPWR _49913_/A sky130_fd_sc_hd__or2_2
+X_43068_ _43065_/B VGND VGND VPWR VPWR _43069_/B sky130_fd_sc_hd__inv_8
+XFILLER_155_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78765_ _77387_/CLK _78765_/D VGND VGND VPWR VPWR _78765_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_12360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_1915 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63991_ _63991_/A _63989_/Y _63991_/C VGND VGND VPWR VPWR _63991_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_619_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75977_ _75615_/A _75961_/X VGND VGND VPWR VPWR _75979_/A sky130_fd_sc_hd__or2_2
+XFILLER_569_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_312_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_708_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_96_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42019_ _79538_/Q VGND VGND VPWR VPWR _45412_/A sky130_fd_sc_hd__buf_1
+X_65730_ _65892_/A _65730_/B _65729_/Y VGND VGND VPWR VPWR _65730_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_123_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77716_ _77716_/CLK _77716_/D VGND VGND VPWR VPWR _51797_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_25_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_135_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62942_ _62787_/A _62940_/Y _62942_/C VGND VGND VPWR VPWR _62946_/B sky130_fd_sc_hd__nor3_2
+XFILLER_370_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74928_ _74915_/A _74919_/B _80818_/Q VGND VGND VPWR VPWR _74929_/B sky130_fd_sc_hd__nand3_2
+XFILLER_489_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_123_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47876_ _47876_/A _47876_/B VGND VGND VPWR VPWR _78736_/D sky130_fd_sc_hd__nand2_2
+XFILLER_649_2598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78696_ _78202_/CLK _48032_/Y VGND VGND VPWR VPWR _78696_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_541_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_506_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49615_ _53437_/A _49679_/B VGND VGND VPWR VPWR _49615_/X sky130_fd_sc_hd__or2_2
+XFILLER_228_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_500_493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46827_ _46827_/A _46768_/C VGND VGND VPWR VPWR _78942_/D sky130_fd_sc_hd__nor2_2
+XFILLER_472_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65661_ _64326_/X VGND VGND VPWR VPWR _65661_/X sky130_fd_sc_hd__buf_1
+XFILLER_725_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77647_ _77195_/CLK _52058_/Y VGND VGND VPWR VPWR _77647_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_3_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62873_ _50064_/A _62401_/B VGND VGND VPWR VPWR _62875_/B sky130_fd_sc_hd__nor2_2
+X_74859_ _74877_/A VGND VGND VPWR VPWR _74874_/A sky130_fd_sc_hd__buf_1
+XFILLER_4_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_283_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_42_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_682_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_411_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_3030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_133_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67400_ _81057_/Q _67742_/B VGND VGND VPWR VPWR _67400_/Y sky130_fd_sc_hd__nor2_2
+XPHY_10991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_272_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64612_ _64218_/A VGND VGND VPWR VPWR _64633_/A sky130_fd_sc_hd__buf_1
+XFILLER_260_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_114_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_7_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_209_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61824_ _61669_/A _61823_/Y VGND VGND VPWR VPWR _61825_/C sky130_fd_sc_hd__nor2_2
+X_49546_ _49632_/A _49552_/B VGND VGND VPWR VPWR _49546_/X sky130_fd_sc_hd__or2_2
+XFILLER_633_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68380_ _80455_/Q _67733_/B VGND VGND VPWR VPWR _68381_/C sky130_fd_sc_hd__nor2_2
+XFILLER_111_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46758_ _46738_/A VGND VGND VPWR VPWR _46768_/C sky130_fd_sc_hd__buf_1
+X_65592_ _65029_/X _65592_/B VGND VGND VPWR VPWR _65633_/B sky130_fd_sc_hd__nor2_2
+XFILLER_168_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_426_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77578_ _78535_/CLK _77578_/D VGND VGND VPWR VPWR _52319_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_64_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_265_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_89_0_u_core.clock clkbuf_9_89_0_u_core.clock/A VGND VGND VPWR VPWR _77029_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_643_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67331_ _67004_/A _67331_/B _67330_/Y VGND VGND VPWR VPWR _67331_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_0_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79317_ _78919_/CLK _43613_/Y VGND VGND VPWR VPWR _71616_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_224_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45709_ _45891_/A VGND VGND VPWR VPWR _46009_/B sky130_fd_sc_hd__buf_1
+X_64543_ _67746_/A VGND VGND VPWR VPWR _64562_/A sky130_fd_sc_hd__buf_1
+XFILLER_526_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76529_ VGND VGND VPWR VPWR _76529_/HI la_data_out[21] sky130_fd_sc_hd__conb_1
+XFILLER_77_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61755_ _59381_/A VGND VGND VPWR VPWR _61756_/B sky130_fd_sc_hd__buf_1
+XFILLER_424_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49477_ _49353_/X _49477_/B VGND VGND VPWR VPWR _49477_/X sky130_fd_sc_hd__or2_2
+XFILLER_599_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_621_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46689_ _46686_/A VGND VGND VPWR VPWR _46689_/X sky130_fd_sc_hd__buf_1
+XFILLER_94_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_97_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39230_ _39230_/A VGND VGND VPWR VPWR _39231_/A sky130_fd_sc_hd__buf_1
+XFILLER_0_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_90_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60706_ _60073_/A _60706_/B _60706_/C VGND VGND VPWR VPWR _60707_/C sky130_fd_sc_hd__nor3_2
+X_48428_ _48428_/A _48428_/B VGND VGND VPWR VPWR _78596_/D sky130_fd_sc_hd__nand2_2
+XFILLER_20_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67262_ _67092_/X _67262_/B _67261_/Y VGND VGND VPWR VPWR _67262_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_36_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79248_ _79248_/CLK _79248_/D VGND VGND VPWR VPWR _79248_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_97_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38080 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64474_ _65048_/A VGND VGND VPWR VPWR _66056_/A sky130_fd_sc_hd__buf_1
+XFILLER_340_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61686_ _61221_/A _61682_/Y _61685_/Y VGND VGND VPWR VPWR _61687_/C sky130_fd_sc_hd__nor3_2
+XFILLER_17_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38091 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_587_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1000 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_697_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_205_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_224_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69001_ _69001_/A _68846_/Y _68851_/X _69000_/Y VGND VGND VPWR VPWR _76712_/D sky130_fd_sc_hd__or4_2
+XFILLER_413_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_695_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_630_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66213_ _65384_/A VGND VGND VPWR VPWR _66293_/A sky130_fd_sc_hd__buf_1
+XFILLER_146_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39161_ _76131_/A VGND VGND VPWR VPWR _39162_/A sky130_fd_sc_hd__buf_1
+XFILLER_283_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63425_ _63571_/A _63425_/B _63424_/Y VGND VGND VPWR VPWR _63426_/B sky130_fd_sc_hd__nor3_2
+XFILLER_33_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_719_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60637_ _60637_/A _59985_/B VGND VGND VPWR VPWR _60637_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_264_1082 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48359_ _48417_/A _48353_/B VGND VGND VPWR VPWR _48359_/X sky130_fd_sc_hd__or2_2
+XFILLER_691_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67193_ _67025_/A _67193_/B VGND VGND VPWR VPWR _67194_/C sky130_fd_sc_hd__nor2_2
+XFILLER_222_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_568_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79179_ _79175_/CLK _79179_/D VGND VGND VPWR VPWR _44874_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_55_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_51_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_178_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_37390 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_528_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_504_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_225_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_441_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_127_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_177_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81210_ _81206_/CLK _81210_/D VGND VGND VPWR VPWR _66272_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_189_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_500_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_14_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66144_ _65488_/A _66136_/Y _66143_/Y VGND VGND VPWR VPWR _66144_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_539_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51370_ _77828_/Q _51369_/X VGND VGND VPWR VPWR _51370_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_32_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39092_ _39089_/A _39079_/B _39092_/C VGND VGND VPWR VPWR _39093_/B sky130_fd_sc_hd__nand3_2
+X_63356_ _63645_/A _63356_/B _63355_/Y VGND VGND VPWR VPWR _63368_/B sky130_fd_sc_hd__nor3_2
+XFILLER_138_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_222_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_3058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_105_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60568_ _60568_/A _60092_/B VGND VGND VPWR VPWR _60570_/B sky130_fd_sc_hd__nor2_2
+XFILLER_324_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_277_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50321_ _50311_/X VGND VGND VPWR VPWR _50334_/B sky130_fd_sc_hd__buf_1
+XFILLER_619_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_566_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62307_ _62139_/X _62299_/Y _62307_/C VGND VGND VPWR VPWR _62307_/Y sky130_fd_sc_hd__nor3_2
+X_81141_ _81081_/CLK _73605_/Y VGND VGND VPWR VPWR _73604_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_554_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_474_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_338_279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66075_ _66897_/A VGND VGND VPWR VPWR _66726_/A sky130_fd_sc_hd__buf_1
+XFILLER_527_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63287_ _62977_/A _63285_/Y _63287_/C VGND VGND VPWR VPWR _63287_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_574_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60499_ _60477_/Y _60498_/Y VGND VGND VPWR VPWR _60499_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_375_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53040_ _53040_/A _53040_/B VGND VGND VPWR VPWR _53042_/A sky130_fd_sc_hd__nand2_2
+XFILLER_669_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65026_ _43709_/Y _64859_/B VGND VGND VPWR VPWR _65028_/B sky130_fd_sc_hd__nor2_2
+X_69903_ _69902_/Y VGND VGND VPWR VPWR _69904_/A sky130_fd_sc_hd__buf_1
+XFILLER_179_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50252_ _62553_/A _50241_/B VGND VGND VPWR VPWR _50257_/A sky130_fd_sc_hd__nand2_2
+XFILLER_14_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62238_ _62238_/A VGND VGND VPWR VPWR _62553_/B sky130_fd_sc_hd__buf_1
+XFILLER_307_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81072_ _80809_/CLK _73864_/Y VGND VGND VPWR VPWR _64539_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_542_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_292_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_435_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_336_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_355_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_146_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_689_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80023_ _80027_/CLK _80023_/D VGND VGND VPWR VPWR _80023_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_372_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_270_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69834_ _69644_/B _69768_/X VGND VGND VPWR VPWR _69835_/B sky130_fd_sc_hd__nor2_2
+XFILLER_474_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_138_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50183_ _50208_/B VGND VGND VPWR VPWR _50195_/B sky130_fd_sc_hd__buf_1
+X_62169_ _59807_/A VGND VGND VPWR VPWR _62169_/X sky130_fd_sc_hd__buf_1
+XFILLER_133_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_582_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39994_ _39994_/A _39997_/B VGND VGND VPWR VPWR _39994_/X sky130_fd_sc_hd__or2_2
+XFILLER_362_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_710_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_638_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_568_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_711_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38945_ _38945_/A _38944_/Y VGND VGND VPWR VPWR _38945_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_511_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69765_ _69764_/X VGND VGND VPWR VPWR _70356_/B sky130_fd_sc_hd__buf_1
+XPHY_8618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54991_ _54991_/A _54935_/B VGND VGND VPWR VPWR _55001_/A sky130_fd_sc_hd__or2_2
+X_66977_ _67147_/A _66977_/B _66977_/C VGND VGND VPWR VPWR _66977_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_331_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_82_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_656_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_648_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56730_ _56730_/A _56402_/B VGND VGND VPWR VPWR _56730_/Y sky130_fd_sc_hd__nor2_2
+X_68716_ _68216_/A _68712_/Y _68716_/C VGND VGND VPWR VPWR _68717_/C sky130_fd_sc_hd__nor3_2
+XFILLER_331_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53942_ _53942_/A _53934_/B VGND VGND VPWR VPWR _53942_/X sky130_fd_sc_hd__or2_2
+XFILLER_236_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65928_ _65928_/A _65763_/B VGND VGND VPWR VPWR _65930_/B sky130_fd_sc_hd__nor2_2
+XFILLER_47_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_287_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38876_ _38913_/A VGND VGND VPWR VPWR _38876_/X sky130_fd_sc_hd__buf_1
+XFILLER_667_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_476_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_69696_ _69696_/A _69676_/Y _69696_/C VGND VGND VPWR VPWR _69853_/A sky130_fd_sc_hd__or3_2
+XPHY_7928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_546_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_2959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_186_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_25_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_47_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_112_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80925_ _80923_/CLK _74481_/Y VGND VGND VPWR VPWR _66749_/A sky130_fd_sc_hd__dfxtp_4
+X_56661_ _58470_/A VGND VGND VPWR VPWR _56917_/A sky130_fd_sc_hd__buf_1
+X_68647_ _68647_/A _68311_/B VGND VGND VPWR VPWR _68647_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_288_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65859_ _66194_/A _65857_/Y _65859_/C VGND VGND VPWR VPWR _65863_/B sky130_fd_sc_hd__nor3_2
+X_53873_ _53871_/Y _53873_/B VGND VGND VPWR VPWR _77168_/D sky130_fd_sc_hd__nand2_2
+XPHY_39709 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_8_1_0_u_core.clock clkbuf_8_1_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_3_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_28_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_86_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58400_ _56242_/X VGND VGND VPWR VPWR _58699_/A sky130_fd_sc_hd__buf_1
+XFILLER_60_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_274_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55612_ _55301_/X _55612_/B VGND VGND VPWR VPWR _55612_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_661_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_210_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_249_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52824_ _52821_/Y _52824_/B VGND VGND VPWR VPWR _77444_/D sky130_fd_sc_hd__nand2_2
+XPHY_29219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59380_ _59115_/A VGND VGND VPWR VPWR _59381_/A sky130_fd_sc_hd__buf_1
+XFILLER_463_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56592_ _57401_/A VGND VGND VPWR VPWR _56611_/A sky130_fd_sc_hd__buf_1
+XFILLER_309_2811 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80856_ _80862_/CLK _80856_/D VGND VGND VPWR VPWR _80856_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_524_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68578_ _81192_/Q _68908_/B VGND VGND VPWR VPWR _68580_/B sky130_fd_sc_hd__nor2_2
+XFILLER_99_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_77_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_432_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_186_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58331_ _68440_/A _58331_/B VGND VGND VPWR VPWR _58331_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_309_2844 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_578_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_524_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55543_ _55710_/A _55516_/A _55660_/C VGND VGND VPWR VPWR _55543_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_164_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67529_ _80738_/Q _67364_/B VGND VGND VPWR VPWR _67529_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_444_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_216_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52755_ _54666_/A _52791_/B VGND VGND VPWR VPWR _52789_/B sky130_fd_sc_hd__or2_2
+XFILLER_271_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_16_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_360_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80787_ _81301_/CLK _80787_/D VGND VGND VPWR VPWR _65043_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_405_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_284_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_4_7_1_u_core.clock clkbuf_4_7_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_4_7_1_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_58_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_325_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_76_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_1578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_167_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_83_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_149_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_522_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51706_ _51706_/A VGND VGND VPWR VPWR _51708_/A sky130_fd_sc_hd__buf_1
+XFILLER_420_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39428_ _39431_/A _39431_/B _80129_/Q VGND VGND VPWR VPWR _39429_/B sky130_fd_sc_hd__nand3_2
+XFILLER_442_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70540_ _70537_/X _70514_/B _70515_/B VGND VGND VPWR VPWR _70540_/X sky130_fd_sc_hd__a21o_4
+XFILLER_600_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58262_ _58339_/A _58262_/B _58261_/Y VGND VGND VPWR VPWR _58262_/X sky130_fd_sc_hd__or3_2
+XFILLER_110_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55474_ _71543_/A _55451_/B VGND VGND VPWR VPWR _55484_/A sky130_fd_sc_hd__or2_2
+XFILLER_145_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52686_ _60896_/A _52671_/X VGND VGND VPWR VPWR _52688_/A sky130_fd_sc_hd__nand2_2
+XFILLER_271_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_540_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_505_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_227_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_19_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_501_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57213_ _66141_/A _57213_/B VGND VGND VPWR VPWR _57213_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_659_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54425_ _54425_/A _54425_/B VGND VGND VPWR VPWR _54425_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_498_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39359_ _39346_/A _39366_/B _65007_/A VGND VGND VPWR VPWR _39359_/Y sky130_fd_sc_hd__nand3_2
+X_51637_ _77759_/Q _51643_/B VGND VGND VPWR VPWR _51637_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_702_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58193_ _68308_/A _58357_/B VGND VGND VPWR VPWR _58193_/Y sky130_fd_sc_hd__nor2_2
+XPHY_17349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70471_ _70471_/A _70470_/X VGND VGND VPWR VPWR _70478_/A sky130_fd_sc_hd__nand2_2
+XPHY_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_243_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_282_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72210_ _72875_/A VGND VGND VPWR VPWR _72211_/A sky130_fd_sc_hd__buf_1
+XPHY_2257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_169_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57144_ _56200_/A VGND VGND VPWR VPWR _57144_/X sky130_fd_sc_hd__buf_1
+XFILLER_204_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81408_ _81378_/CLK _81408_/D VGND VGND VPWR VPWR _67268_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_54_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54356_ _59670_/A _54353_/B VGND VGND VPWR VPWR _54356_/Y sky130_fd_sc_hd__nand2_2
+XPHY_16648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42370_ _42370_/A _42370_/B VGND VGND VPWR VPWR _79489_/D sky130_fd_sc_hd__nor2_2
+XFILLER_494_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73190_ _43872_/A VGND VGND VPWR VPWR _75007_/A sky130_fd_sc_hd__buf_1
+XFILLER_715_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51568_ _51568_/A _51567_/X VGND VGND VPWR VPWR _51569_/B sky130_fd_sc_hd__or2_2
+XPHY_16659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_233_0_u_core.clock clkbuf_9_233_0_u_core.clock/A VGND VGND VPWR VPWR _79670_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_2279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_690_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_459_2877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_32_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_329_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_546_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_183_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41321_ _41263_/A VGND VGND VPWR VPWR _41321_/X sky130_fd_sc_hd__buf_1
+XPHY_34049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53307_ _53307_/A _53278_/X VGND VGND VPWR VPWR _53312_/A sky130_fd_sc_hd__or2_2
+X_72141_ _72141_/A _72138_/Y _72140_/Y VGND VGND VPWR VPWR _72141_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_295_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_372_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_344_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50519_ _50518_/X VGND VGND VPWR VPWR _50519_/X sky130_fd_sc_hd__buf_1
+X_81339_ _81338_/CLK _81339_/D VGND VGND VPWR VPWR _66449_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_384_374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57075_ _66011_/A _56837_/X VGND VGND VPWR VPWR _57075_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_723_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54287_ _54344_/A _54290_/B VGND VGND VPWR VPWR _54287_/X sky130_fd_sc_hd__or2_2
+XFILLER_372_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51499_ _51522_/B VGND VGND VPWR VPWR _51518_/B sky130_fd_sc_hd__buf_1
+XPHY_1589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_414_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_123_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_195_46 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44040_ _65210_/A _44272_/A _44272_/B VGND VGND VPWR VPWR _44265_/B sky130_fd_sc_hd__or3_4
+XFILLER_613_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56026_ _56009_/X _56024_/Y _56026_/C VGND VGND VPWR VPWR _56027_/C sky130_fd_sc_hd__nor3_2
+XFILLER_183_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_557_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41252_ _41252_/A _41252_/B VGND VGND VPWR VPWR _41252_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_88_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53238_ _53210_/A _53238_/B VGND VGND VPWR VPWR _53238_/X sky130_fd_sc_hd__or2_2
+XFILLER_633_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72072_ _72087_/A VGND VGND VPWR VPWR _72073_/B sky130_fd_sc_hd__inv_8
+XFILLER_148_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_1497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_614_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40203_ _40201_/X _40203_/B VGND VGND VPWR VPWR _79931_/D sky130_fd_sc_hd__nand2_2
+XFILLER_164_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75900_ _75898_/X _75900_/B VGND VGND VPWR VPWR _75900_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_551_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71023_ _71016_/Y _71022_/Y VGND VGND VPWR VPWR _71023_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_158_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41183_ _41038_/X _41168_/B VGND VGND VPWR VPWR _41183_/X sky130_fd_sc_hd__or2_2
+XFILLER_69_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76880_ _77252_/CLK _54953_/Y VGND VGND VPWR VPWR _59744_/A sky130_fd_sc_hd__dfxtp_4
+X_53169_ _46665_/A _53148_/X _41833_/Y _53149_/X VGND VGND VPWR VPWR _53332_/A sky130_fd_sc_hd__o22a_4
+XFILLER_136_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_736 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_9_349_0_u_core.clock clkbuf_9_349_0_u_core.clock/A VGND VGND VPWR VPWR _78138_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_65_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_88_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40134_ _40254_/A _40127_/X VGND VGND VPWR VPWR _40136_/A sky130_fd_sc_hd__or2_2
+XFILLER_332_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75831_ _75841_/A VGND VGND VPWR VPWR _75937_/B sky130_fd_sc_hd__buf_1
+XFILLER_516_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45991_ _45984_/X _45989_/Y _45991_/C VGND VGND VPWR VPWR _79050_/D sky130_fd_sc_hd__nor3_2
+XFILLER_675_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57977_ _57815_/A _57977_/B _57977_/C VGND VGND VPWR VPWR _57978_/C sky130_fd_sc_hd__nor3_2
+XPHY_31979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_486_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_646_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47730_ _47730_/A _53529_/A VGND VGND VPWR VPWR _47756_/B sky130_fd_sc_hd__or2_2
+XFILLER_234_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59716_ _62080_/A VGND VGND VPWR VPWR _60051_/A sky130_fd_sc_hd__buf_1
+XFILLER_300_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78550_ _78545_/CLK _78550_/D VGND VGND VPWR VPWR _78550_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_529_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40065_ _40069_/A _40065_/B _79968_/Q VGND VGND VPWR VPWR _40065_/Y sky130_fd_sc_hd__nand3_2
+X_44942_ _44939_/X _44942_/B VGND VGND VPWR VPWR _45053_/A sky130_fd_sc_hd__nor2_2
+XFILLER_239_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56928_ _57083_/A _56927_/Y VGND VGND VPWR VPWR _56928_/Y sky130_fd_sc_hd__nor2_2
+XPHY_20744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75762_ _75497_/A _75772_/B VGND VGND VPWR VPWR _75764_/A sky130_fd_sc_hd__or2_2
+XPHY_21489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_644_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_384_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72974_ _73740_/A _72974_/B VGND VGND VPWR VPWR _72976_/A sky130_fd_sc_hd__or2_2
+XPHY_9897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_267_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_215_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_375 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_77501_ _77434_/CLK _52605_/Y VGND VGND VPWR VPWR _60462_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_369_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_43_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74713_ _74713_/A _74712_/Y VGND VGND VPWR VPWR _80870_/D sky130_fd_sc_hd__nand2_2
+XPHY_10254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47661_ _47730_/A _53465_/A VGND VGND VPWR VPWR _47661_/X sky130_fd_sc_hd__or2_2
+X_71925_ _76794_/Q VGND VGND VPWR VPWR _71926_/A sky130_fd_sc_hd__inv_8
+XFILLER_584_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59647_ _59647_/A VGND VGND VPWR VPWR _59648_/B sky130_fd_sc_hd__buf_1
+XFILLER_82_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78481_ _78464_/CLK _78481_/D VGND VGND VPWR VPWR _78481_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_43_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44873_ _44897_/A _44873_/B VGND VGND VPWR VPWR _44873_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_466_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56859_ _56684_/A _56856_/Y _56859_/C VGND VGND VPWR VPWR _56860_/C sky130_fd_sc_hd__nor3_2
+XFILLER_310_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_681_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75693_ _75680_/A _75684_/B _75693_/C VGND VGND VPWR VPWR _75694_/B sky130_fd_sc_hd__nand3_2
+XPHY_20799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_2440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_609_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_605_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_130_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_384_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49400_ _49399_/X VGND VGND VPWR VPWR _49401_/B sky130_fd_sc_hd__buf_1
+XFILLER_707_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_232_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_3011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46612_ _41768_/A _46611_/X VGND VGND VPWR VPWR _46613_/B sky130_fd_sc_hd__or2_2
+XPHY_10298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_651 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_703_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77432_ _76779_/CLK _52865_/Y VGND VGND VPWR VPWR _60911_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_310_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43824_ _43824_/A _43805_/Y _44273_/B VGND VGND VPWR VPWR _43824_/X sky130_fd_sc_hd__and3_2
+XFILLER_605_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_615_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_549_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_74644_ _74647_/A _74654_/B _74644_/C VGND VGND VPWR VPWR _74644_/Y sky130_fd_sc_hd__nand3_2
+X_47592_ _47621_/A _47582_/X VGND VGND VPWR VPWR _47592_/X sky130_fd_sc_hd__or2_2
+XFILLER_662_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59578_ _59578_/A _59578_/B _59577_/Y VGND VGND VPWR VPWR _59586_/B sky130_fd_sc_hd__nor3_2
+X_71856_ _70952_/A _71855_/B VGND VGND VPWR VPWR _71856_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_20_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_308_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_130_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_581_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_408_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49331_ _49331_/A VGND VGND VPWR VPWR _49331_/X sky130_fd_sc_hd__buf_1
+XPHY_29742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_206_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_640_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46543_ _46543_/A _46543_/B VGND VGND VPWR VPWR _79005_/D sky130_fd_sc_hd__nand2_2
+XFILLER_609_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58529_ _58451_/A _58529_/B _58528_/Y VGND VGND VPWR VPWR _58534_/B sky130_fd_sc_hd__nor3_2
+X_70807_ _69969_/A _70804_/X _70033_/A _70806_/X VGND VGND VPWR VPWR _70807_/X sky130_fd_sc_hd__o22a_4
+XFILLER_630_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77363_ _76762_/CLK _53116_/Y VGND VGND VPWR VPWR _77363_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_207_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_37_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43755_ _43834_/A _43727_/X _43754_/X VGND VGND VPWR VPWR _43757_/B sky130_fd_sc_hd__and3_2
+X_74575_ _74575_/A _74574_/Y VGND VGND VPWR VPWR _80903_/D sky130_fd_sc_hd__nand2_2
+XFILLER_74_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40967_ _40967_/A _40975_/B _79736_/Q VGND VGND VPWR VPWR _40967_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_720_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71787_ _71787_/A _71785_/X _71786_/Y VGND VGND VPWR VPWR _71787_/Y sky130_fd_sc_hd__nor3_2
+XPHY_19241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_241_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_365_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79102_ _79074_/CLK _79102_/D VGND VGND VPWR VPWR _79102_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_111_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76314_ _76312_/X _76314_/B VGND VGND VPWR VPWR _76314_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_343_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_18_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42706_ _42628_/X _42705_/X _70597_/Y _42685_/X VGND VGND VPWR VPWR _42706_/X sky130_fd_sc_hd__o22a_4
+X_73526_ _73531_/A _73523_/B _68891_/A VGND VGND VPWR VPWR _73527_/B sky130_fd_sc_hd__nand3_2
+XFILLER_460_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61540_ _60923_/A _61540_/B _61539_/Y VGND VGND VPWR VPWR _61541_/C sky130_fd_sc_hd__nor3_2
+X_49262_ _49233_/A _49259_/B VGND VGND VPWR VPWR _49263_/B sky130_fd_sc_hd__or2_2
+XFILLER_76_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_445_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46474_ _46482_/A _46472_/Y _43506_/C VGND VGND VPWR VPWR _46475_/C sky130_fd_sc_hd__and3_2
+XFILLER_160_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70738_ _70737_/X VGND VGND VPWR VPWR _71840_/A sky130_fd_sc_hd__buf_1
+XFILLER_245_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_248_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_77294_ _77294_/CLK _53403_/Y VGND VGND VPWR VPWR _59429_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_185_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43686_ _43686_/A VGND VGND VPWR VPWR _43686_/Y sky130_fd_sc_hd__inv_8
+XPHY_4160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40898_ _40901_/A _40889_/B _58640_/A VGND VGND VPWR VPWR _40899_/B sky130_fd_sc_hd__nand3_2
+XFILLER_597_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_143_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48213_ _63623_/A _48201_/X VGND VGND VPWR VPWR _48215_/A sky130_fd_sc_hd__nand2_2
+XFILLER_403_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79033_ _79557_/CLK _46232_/X VGND VGND VPWR VPWR _43508_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_699_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_57_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45425_ _45424_/Y _45425_/B VGND VGND VPWR VPWR _45425_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_395_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76245_ _76245_/A _76245_/B VGND VGND VPWR VPWR _80490_/D sky130_fd_sc_hd__nand2_2
+XPHY_4193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42637_ _42631_/Y _42637_/B _42636_/X _42594_/D VGND VGND VPWR VPWR _42637_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_523_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61471_ _61471_/A _61471_/B _61470_/Y VGND VGND VPWR VPWR _61471_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_265_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49193_ _49193_/A _49193_/B VGND VGND VPWR VPWR _49195_/A sky130_fd_sc_hd__nand2_2
+XFILLER_679_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73457_ _75014_/A _73467_/B VGND VGND VPWR VPWR _73458_/B sky130_fd_sc_hd__or2_2
+XFILLER_37_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_19_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70669_ _70669_/A _70667_/X _70669_/C VGND VGND VPWR VPWR _70669_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_695_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_558_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_2600 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_223_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_406_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63210_ _78846_/Q _62900_/B VGND VGND VPWR VPWR _63212_/B sky130_fd_sc_hd__nor2_2
+XFILLER_653_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_60422_ _60422_/A _60421_/X VGND VGND VPWR VPWR _60422_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_296_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48144_ _48141_/Y _48144_/B VGND VGND VPWR VPWR _78667_/D sky130_fd_sc_hd__nand2_2
+X_72408_ _72408_/A _72408_/B VGND VGND VPWR VPWR _81439_/D sky130_fd_sc_hd__nand2_2
+XPHY_17872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45356_ _42853_/A _45102_/B _79405_/Q _45304_/X VGND VGND VPWR VPWR _55655_/B sky130_fd_sc_hd__o22a_4
+X_76176_ _76176_/A _76171_/B _80505_/Q VGND VGND VPWR VPWR _76176_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_537_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64190_ _38222_/C _64190_/B VGND VGND VPWR VPWR _64191_/C sky130_fd_sc_hd__nor2_2
+XFILLER_180_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_17883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42568_ _68178_/A _42500_/B VGND VGND VPWR VPWR _42570_/B sky130_fd_sc_hd__nor2_2
+XFILLER_638_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73388_ _73385_/A VGND VGND VPWR VPWR _73497_/B sky130_fd_sc_hd__buf_1
+XFILLER_565_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_222_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_525_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_54_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_186_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44307_ _44261_/A _44307_/B VGND VGND VPWR VPWR _44307_/X sky130_fd_sc_hd__and2_2
+XFILLER_536_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63141_ _63141_/A _63141_/B VGND VGND VPWR VPWR _63141_/Y sky130_fd_sc_hd__nor2_2
+X_75127_ _75120_/A _75124_/B _75127_/C VGND VGND VPWR VPWR _75128_/B sky130_fd_sc_hd__nand3_2
+X_41519_ _41008_/A _41514_/B VGND VGND VPWR VPWR _41521_/A sky130_fd_sc_hd__or2_2
+XFILLER_50_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60353_ _77180_/Q _60353_/B VGND VGND VPWR VPWR _60355_/B sky130_fd_sc_hd__nor2_2
+X_48075_ _48075_/A _48089_/B VGND VGND VPWR VPWR _48078_/A sky130_fd_sc_hd__nand2_2
+XFILLER_557_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_509_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72339_ _73503_/A VGND VGND VPWR VPWR _72832_/A sky130_fd_sc_hd__buf_1
+XFILLER_321_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45287_ _45133_/X _45287_/B _45286_/Y VGND VGND VPWR VPWR _45287_/Y sky130_fd_sc_hd__nor3_2
+XPHY_34550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42499_ _45549_/A VGND VGND VPWR VPWR _69142_/A sky130_fd_sc_hd__inv_8
+XPHY_34561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_536_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_83_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47026_ _47001_/A _47026_/B _47026_/C VGND VGND VPWR VPWR _47027_/B sky130_fd_sc_hd__or3_2
+XFILLER_31_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44238_ _43668_/B VGND VGND VPWR VPWR _44239_/B sky130_fd_sc_hd__buf_1
+XFILLER_319_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63072_ _47424_/A VGND VGND VPWR VPWR _63606_/A sky130_fd_sc_hd__buf_1
+XFILLER_278_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_157_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75058_ _75058_/A _75058_/B VGND VGND VPWR VPWR _75058_/X sky130_fd_sc_hd__or2_2
+X_79935_ _80031_/CLK _79935_/D VGND VGND VPWR VPWR _79935_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_174_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60284_ _60284_/A VGND VGND VPWR VPWR _60289_/A sky130_fd_sc_hd__buf_1
+XFILLER_354_3064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_419_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_200_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_94 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66900_ _66900_/A _66898_/Y _66900_/C VGND VGND VPWR VPWR _66901_/C sky130_fd_sc_hd__nor3_2
+XFILLER_436_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_376_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62023_ _59657_/A VGND VGND VPWR VPWR _62023_/X sky130_fd_sc_hd__buf_1
+XFILLER_651_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_33882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74009_ _73999_/A _74015_/B _81035_/Q VGND VGND VPWR VPWR _74010_/B sky130_fd_sc_hd__nand3_2
+XFILLER_317_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_505_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44169_ _72893_/A _44235_/B VGND VGND VPWR VPWR _44170_/C sky130_fd_sc_hd__nor2_2
+XFILLER_115_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_67880_ _67720_/A _67878_/Y _67880_/C VGND VGND VPWR VPWR _67884_/B sky130_fd_sc_hd__nor3_2
+XPHY_23370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79866_ _79805_/CLK _79866_/D VGND VGND VPWR VPWR _57240_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_135_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_288_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_100_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_217_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_436_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_192_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66831_ _66831_/A _67485_/B VGND VGND VPWR VPWR _66832_/C sky130_fd_sc_hd__nor2_2
+XFILLER_303_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78817_ _78823_/CLK _78817_/D VGND VGND VPWR VPWR _63664_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_291_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_288_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_192_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_304_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_414_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48977_ _48977_/A _48977_/B VGND VGND VPWR VPWR _48977_/Y sky130_fd_sc_hd__nand2_2
+XPHY_22680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79797_ _79798_/CLK _79797_/D VGND VGND VPWR VPWR _56845_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_638_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_582_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_139_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_170_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_586_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_38730_ _38604_/A _38624_/X VGND VGND VPWR VPWR _38730_/X sky130_fd_sc_hd__or2_2
+XFILLER_335_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_6_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69550_ _64599_/X _69548_/Y _69550_/C VGND VGND VPWR VPWR _69551_/C sky130_fd_sc_hd__nor3_2
+XFILLER_69_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_97_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47928_ _47925_/Y _47928_/B VGND VGND VPWR VPWR _78722_/D sky130_fd_sc_hd__nand2_2
+XFILLER_174_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66762_ _66762_/A _66276_/X VGND VGND VPWR VPWR _66762_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_257_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78748_ _78739_/CLK _78748_/D VGND VGND VPWR VPWR _78748_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_446_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63974_ _63974_/A _59312_/B VGND VGND VPWR VPWR _63976_/B sky130_fd_sc_hd__nor2_2
+XFILLER_135_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_111_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_211_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68501_ _67846_/A _68501_/B _68500_/Y VGND VGND VPWR VPWR _68502_/C sky130_fd_sc_hd__nor3_2
+XFILLER_29_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1193 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_190_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_113_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_3059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65713_ _65713_/A _65713_/B _65712_/Y VGND VGND VPWR VPWR _65869_/C sky130_fd_sc_hd__or3_2
+XFILLER_256_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_387_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_649_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38661_ _38658_/X _38660_/Y VGND VGND VPWR VPWR _38661_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_285_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62925_ _62925_/A _62769_/X VGND VGND VPWR VPWR _62926_/C sky130_fd_sc_hd__nor2_2
+XFILLER_674_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69481_ _69481_/A _64213_/B VGND VGND VPWR VPWR _69482_/C sky130_fd_sc_hd__nor2_2
+XFILLER_57_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47859_ _47884_/B VGND VGND VPWR VPWR _47860_/B sky130_fd_sc_hd__buf_1
+XFILLER_430_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_506_3012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66693_ _66693_/A _66039_/X VGND VGND VPWR VPWR _66860_/A sky130_fd_sc_hd__nor2_2
+XFILLER_257_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78679_ _78121_/CLK _78679_/D VGND VGND VPWR VPWR _48092_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_271_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_61_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_68_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_586_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_3113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_661_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_313_1392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_284_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_111_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80710_ _80736_/CLK _80710_/D VGND VGND VPWR VPWR _80710_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_627_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_365_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68432_ _68934_/A _68428_/Y _68431_/Y VGND VGND VPWR VPWR _68444_/B sky130_fd_sc_hd__nor3_2
+XFILLER_582_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65644_ _65644_/A _65642_/Y _65643_/Y VGND VGND VPWR VPWR _65648_/B sky130_fd_sc_hd__nor3_2
+X_50870_ _50985_/A _50857_/X VGND VGND VPWR VPWR _50871_/B sky130_fd_sc_hd__or2_2
+X_38592_ _38659_/A VGND VGND VPWR VPWR _38605_/A sky130_fd_sc_hd__buf_1
+X_62856_ _50007_/A _62856_/B VGND VGND VPWR VPWR _62858_/B sky130_fd_sc_hd__nor2_2
+XFILLER_725_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_42_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_506_2322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_721_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_181_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61807_ _61807_/A _61177_/B VGND VGND VPWR VPWR _61807_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_146_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80641_ _80641_/CLK _75641_/Y VGND VGND VPWR VPWR _67360_/A sky130_fd_sc_hd__dfxtp_4
+X_68363_ _67876_/A _68363_/B _68362_/Y VGND VGND VPWR VPWR _68384_/A sky130_fd_sc_hd__nor3_2
+X_49529_ _53351_/A _49557_/B VGND VGND VPWR VPWR _49539_/A sky130_fd_sc_hd__or2_2
+XFILLER_563_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_59_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65575_ _65575_/A _65732_/B VGND VGND VPWR VPWR _65575_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_380_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_697_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62787_ _62787_/A _62787_/B _62787_/C VGND VGND VPWR VPWR _62791_/B sky130_fd_sc_hd__nor3_2
+XFILLER_446_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_309_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_463_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_55_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67314_ _66982_/A _67303_/Y _67314_/C VGND VGND VPWR VPWR _67315_/B sky130_fd_sc_hd__nor3_2
+XFILLER_402_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_501_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52540_ _60771_/A _52546_/B VGND VGND VPWR VPWR _52540_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_623_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64526_ _64739_/A VGND VGND VPWR VPWR _64527_/B sky130_fd_sc_hd__buf_1
+XFILLER_266_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61738_ _61738_/A _61113_/X VGND VGND VPWR VPWR _61739_/C sky130_fd_sc_hd__nor2_2
+X_80572_ _80543_/CLK _75900_/Y VGND VGND VPWR VPWR _80572_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_380_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68294_ _67774_/X _68294_/B VGND VGND VPWR VPWR _68294_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_262_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_441_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_253 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_227_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_678_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_253_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_244_1420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39213_ _39213_/A _39212_/X VGND VGND VPWR VPWR _39213_/X sky130_fd_sc_hd__or2_2
+XFILLER_386_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_604_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67245_ _68894_/A VGND VGND VPWR VPWR _67742_/B sky130_fd_sc_hd__buf_1
+XFILLER_212_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_421_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52471_ _52560_/A _52487_/B VGND VGND VPWR VPWR _52472_/B sky130_fd_sc_hd__or2_2
+X_64457_ _74812_/C _65040_/B VGND VGND VPWR VPWR _64457_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_498_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_498_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_0_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61669_ _61669_/A _61668_/Y VGND VGND VPWR VPWR _61670_/C sky130_fd_sc_hd__nor2_2
+XFILLER_107_1803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54210_ _77079_/Q _54210_/B VGND VGND VPWR VPWR _54210_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_574_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_37_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39144_ _39148_/A _39148_/B _68329_/A VGND VGND VPWR VPWR _39145_/B sky130_fd_sc_hd__nand3_2
+X_63408_ _60011_/A VGND VGND VPWR VPWR _63408_/X sky130_fd_sc_hd__buf_1
+X_51422_ _52022_/A VGND VGND VPWR VPWR _51485_/A sky130_fd_sc_hd__buf_1
+XFILLER_162_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55190_ _55228_/C _55189_/X _41670_/C _55209_/B VGND VGND VPWR VPWR _76833_/D sky130_fd_sc_hd__o22a_4
+X_67176_ _67176_/A _67173_/Y _67175_/Y VGND VGND VPWR VPWR _67176_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_378_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_303_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_124_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64388_ _64141_/A VGND VGND VPWR VPWR _67498_/A sky130_fd_sc_hd__buf_1
+XFILLER_719_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54141_ _54141_/A _54141_/B VGND VGND VPWR VPWR _77099_/D sky130_fd_sc_hd__nand2_2
+XFILLER_220_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66127_ _64250_/A VGND VGND VPWR VPWR _66528_/A sky130_fd_sc_hd__buf_1
+XFILLER_292_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39075_ _39075_/A _39075_/B _80214_/Q VGND VGND VPWR VPWR _39075_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_382_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51353_ _51353_/A _51352_/X VGND VGND VPWR VPWR _51353_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_326_205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63339_ _48190_/A _63178_/B VGND VGND VPWR VPWR _63339_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_279_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_539_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_716_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_366_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_222_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_543_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50304_ _50302_/Y _50304_/B VGND VGND VPWR VPWR _50304_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_492_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_81124_ _81156_/CLK _73672_/Y VGND VGND VPWR VPWR _81124_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_418_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_688_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66058_ _80729_/Q _66219_/B VGND VGND VPWR VPWR _66058_/Y sky130_fd_sc_hd__nor2_2
+X_54072_ _54072_/A _54100_/B VGND VGND VPWR VPWR _54072_/X sky130_fd_sc_hd__or2_2
+XFILLER_296_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_355_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51284_ _61649_/A _51283_/X VGND VGND VPWR VPWR _51287_/A sky130_fd_sc_hd__nand2_2
+XFILLER_359_1573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_476_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_4_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_517_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_175_1503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57900_ _57102_/A VGND VGND VPWR VPWR _58222_/B sky130_fd_sc_hd__buf_1
+XFILLER_457_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65009_ _65693_/A _65009_/B _65008_/Y VGND VGND VPWR VPWR _65017_/B sky130_fd_sc_hd__nor3_2
+X_53023_ _53023_/A _53022_/X VGND VGND VPWR VPWR _53023_/Y sky130_fd_sc_hd__nand2_2
+XPHY_31209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_192_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_165_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50235_ _50233_/Y _50235_/B VGND VGND VPWR VPWR _50235_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_333_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81055_ _81060_/CLK _73932_/Y VGND VGND VPWR VPWR _73931_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_453_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58880_ _60007_/A VGND VGND VPWR VPWR _58881_/A sky130_fd_sc_hd__buf_1
+XFILLER_118_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_47_0_u_core.clock clkbuf_7_47_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_94_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_292_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_145_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_1869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80006_ _80039_/CLK _39924_/Y VGND VGND VPWR VPWR _80006_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_612_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57831_ _57672_/X _57831_/B _57831_/C VGND VGND VPWR VPWR _57831_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_255_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_2234 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_470_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69817_ _69806_/X _55913_/A _55930_/C VGND VGND VPWR VPWR _69818_/D sky130_fd_sc_hd__and3_2
+XPHY_9138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50166_ _50163_/Y _50166_/B VGND VGND VPWR VPWR _50166_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_9_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_27_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39977_ _39977_/A _39977_/B VGND VGND VPWR VPWR _39977_/X sky130_fd_sc_hd__or2_2
+XPHY_20007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_7_0_u_core.clock clkbuf_7_7_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_7_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_530_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_468_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38928_ _38688_/A _38920_/B VGND VGND VPWR VPWR _38930_/A sky130_fd_sc_hd__or2_2
+XFILLER_530_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57762_ _57598_/A _57762_/B _57761_/Y VGND VGND VPWR VPWR _57763_/B sky130_fd_sc_hd__nor3_2
+X_69748_ _69746_/X _42137_/A VGND VGND VPWR VPWR _69810_/B sky130_fd_sc_hd__nor2_2
+XFILLER_216_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50097_ _50097_/A _50091_/X VGND VGND VPWR VPWR _50097_/Y sky130_fd_sc_hd__nand2_2
+X_54974_ _54974_/A _54980_/B VGND VGND VPWR VPWR _54974_/X sky130_fd_sc_hd__or2_2
+XFILLER_331_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_413_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_44_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59501_ _64021_/A _59501_/B _59501_/C VGND VGND VPWR VPWR _59512_/B sky130_fd_sc_hd__nor3_2
+XFILLER_251_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_60_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56713_ _56359_/A _56713_/B _56712_/Y VGND VGND VPWR VPWR _56713_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_476_481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_388_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53925_ _53913_/A VGND VGND VPWR VPWR _53934_/B sky130_fd_sc_hd__buf_1
+XFILLER_628_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_102_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38859_ _38859_/A _74157_/B VGND VGND VPWR VPWR _38875_/A sky130_fd_sc_hd__or2_2
+XFILLER_448_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57693_ _57693_/A _57525_/X VGND VGND VPWR VPWR _57695_/B sky130_fd_sc_hd__nor2_2
+X_69679_ _69687_/A VGND VGND VPWR VPWR _69690_/A sky130_fd_sc_hd__inv_8
+XFILLER_581_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_88_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39506 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39517 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_437_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59432_ _59912_/A _59432_/B _59432_/C VGND VGND VPWR VPWR _59450_/A sky130_fd_sc_hd__nor3_2
+X_71710_ _70855_/Y _71710_/B VGND VGND VPWR VPWR _71711_/B sky130_fd_sc_hd__or2_2
+XPHY_39528 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56644_ _56375_/A _56641_/Y _56644_/C VGND VGND VPWR VPWR _56644_/Y sky130_fd_sc_hd__nor3_2
+X_80908_ _81005_/CLK _74555_/Y VGND VGND VPWR VPWR _80908_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_546_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53856_ _53855_/X VGND VGND VPWR VPWR _53856_/X sky130_fd_sc_hd__buf_1
+X_41870_ _41887_/A VGND VGND VPWR VPWR _42384_/A sky130_fd_sc_hd__buf_1
+XPHY_39539 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72690_ _72688_/X _72689_/Y VGND VGND VPWR VPWR _81364_/D sky130_fd_sc_hd__nand2_2
+XFILLER_524_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38805 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_132_0_u_core.clock clkbuf_7_66_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_265_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_491_473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_112_1542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38816 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_327_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_625_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_192_0_u_core.clock clkbuf_8_96_0_u_core.clock/X VGND VGND VPWR VPWR _78929_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_29038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_147_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38827 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_661_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_344_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40821_ _40817_/X _40821_/B VGND VGND VPWR VPWR _40821_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_612_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71641_ _71639_/X _71641_/B VGND VGND VPWR VPWR _81501_/D sky130_fd_sc_hd__nand2_2
+X_52807_ _60900_/A _52804_/B VGND VGND VPWR VPWR _52807_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_429_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_409_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59363_ _59363_/A _59361_/Y _59363_/C VGND VGND VPWR VPWR _59363_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_622_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38838 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80839_ _80725_/CLK _80839_/D VGND VGND VPWR VPWR _68356_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_524_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56575_ _64986_/A _56223_/X VGND VGND VPWR VPWR _56576_/C sky130_fd_sc_hd__nor2_2
+XFILLER_229_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53787_ _59545_/A _53791_/B VGND VGND VPWR VPWR _53787_/Y sky130_fd_sc_hd__nand2_2
+XPHY_38849 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_284_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_16_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_604_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_246_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50999_ _50999_/A _50999_/B VGND VGND VPWR VPWR _50999_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_43_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_80_0_u_core.clock clkbuf_7_40_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_80_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_600_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_77_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_58314_ _68457_/A _58234_/X VGND VGND VPWR VPWR _58314_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_305_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43540_ _72113_/Y _43539_/X VGND VGND VPWR VPWR _43540_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_700_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55526_ _55525_/X _55526_/B VGND VGND VPWR VPWR _55526_/Y sky130_fd_sc_hd__nor2_2
+X_74360_ _74625_/A _74348_/X VGND VGND VPWR VPWR _74360_/X sky130_fd_sc_hd__or2_2
+X_40752_ _40509_/X _40755_/B VGND VGND VPWR VPWR _40752_/X sky130_fd_sc_hd__or2_2
+XFILLER_231_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_578_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52738_ _52738_/A _52750_/B VGND VGND VPWR VPWR _52739_/B sky130_fd_sc_hd__or2_2
+XFILLER_309_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_227_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_28359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59294_ _59294_/A _58919_/B VGND VGND VPWR VPWR _59294_/Y sky130_fd_sc_hd__nor2_2
+X_71572_ _76805_/Q VGND VGND VPWR VPWR _71573_/A sky130_fd_sc_hd__inv_8
+XFILLER_164_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_139_0_u_core.clock clkbuf_8_69_0_u_core.clock/X VGND VGND VPWR VPWR _79119_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_600_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_245_1228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_167_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73311_ _74989_/A _73308_/B VGND VGND VPWR VPWR _73312_/B sky130_fd_sc_hd__or2_2
+XFILLER_262_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_579_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58245_ _56247_/X VGND VGND VPWR VPWR _58564_/B sky130_fd_sc_hd__buf_1
+X_70523_ _70523_/A _70523_/B VGND VGND VPWR VPWR _70523_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_376_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_8_27_0_u_core.clock clkbuf_8_27_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_55_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_26913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43471_ _43471_/A _43470_/Y VGND VGND VPWR VPWR _79340_/D sky130_fd_sc_hd__nand2_2
+XPHY_2010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55457_ _55457_/A _55456_/Y VGND VGND VPWR VPWR _55458_/C sky130_fd_sc_hd__nor2_2
+X_74291_ _74291_/A VGND VGND VPWR VPWR _74307_/B sky130_fd_sc_hd__buf_1
+XPHY_17135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_344_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40683_ _40680_/X _40683_/B VGND VGND VPWR VPWR _79812_/D sky130_fd_sc_hd__nand2_2
+XFILLER_566_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52669_ _52669_/A _52668_/X VGND VGND VPWR VPWR _52669_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_262_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_674_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_2433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_8_248_0_u_core.clock clkbuf_7_124_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_497_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_26946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45210_ _45210_/A VGND VGND VPWR VPWR _45322_/A sky130_fd_sc_hd__buf_1
+X_76030_ _76020_/A _76027_/B _80537_/Q VGND VGND VPWR VPWR _76031_/B sky130_fd_sc_hd__nand3_2
+XPHY_2043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42422_ _43657_/A VGND VGND VPWR VPWR _46071_/B sky130_fd_sc_hd__inv_8
+XFILLER_494_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54408_ _54464_/A _54426_/B VGND VGND VPWR VPWR _54409_/B sky130_fd_sc_hd__or2_2
+XFILLER_169_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_540_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73242_ _71945_/A VGND VGND VPWR VPWR _75046_/A sky130_fd_sc_hd__buf_1
+XFILLER_399_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46190_ _46189_/A _46190_/B VGND VGND VPWR VPWR _46191_/C sky130_fd_sc_hd__or2_2
+XFILLER_90_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58176_ _58015_/X _58176_/B _58175_/Y VGND VGND VPWR VPWR _58177_/C sky130_fd_sc_hd__nor3_2
+XFILLER_30_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70454_ _70454_/A VGND VGND VPWR VPWR _70454_/X sky130_fd_sc_hd__buf_1
+XPHY_765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55388_ _55399_/A _55387_/Y VGND VGND VPWR VPWR _55388_/X sky130_fd_sc_hd__or2_2
+XFILLER_205_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_670_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45141_ _45085_/A _45141_/B VGND VGND VPWR VPWR _45141_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_518_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57127_ _43449_/C _57126_/X VGND VGND VPWR VPWR _57130_/B sky130_fd_sc_hd__nor2_2
+XPHY_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_652_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_401_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42353_ _46558_/A _42353_/B _42353_/C VGND VGND VPWR VPWR _42359_/A sky130_fd_sc_hd__nor3_2
+XPHY_2098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54339_ _54488_/A VGND VGND VPWR VPWR _54340_/B sky130_fd_sc_hd__buf_1
+XFILLER_715_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73173_ _74992_/A _73154_/X VGND VGND VPWR VPWR _73173_/X sky130_fd_sc_hd__or2_2
+XFILLER_211_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_297_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_11_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70385_ _70385_/A _70384_/X VGND VGND VPWR VPWR _70385_/X sky130_fd_sc_hd__or2_2
+XFILLER_562_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_32_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_240_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_373_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_652_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_317_238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_41304_ _41304_/A _41297_/B _68440_/A VGND VGND VPWR VPWR _41304_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_193_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_72124_ _72124_/A _72708_/A VGND VGND VPWR VPWR _73625_/A sky130_fd_sc_hd__or2_2
+XFILLER_10_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45072_ _45222_/B VGND VGND VPWR VPWR _45412_/B sky130_fd_sc_hd__buf_1
+XFILLER_172_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_33145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57058_ _56729_/A _57056_/Y _57057_/Y VGND VGND VPWR VPWR _57058_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_533_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42284_ _47245_/B VGND VGND VPWR VPWR _42285_/A sky130_fd_sc_hd__inv_8
+XFILLER_522_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77981_ _77987_/CLK _77981_/D VGND VGND VPWR VPWR _61677_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_158_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_256_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_373_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_273_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_197_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44023_ _44023_/A _66697_/A VGND VGND VPWR VPWR _44046_/C sky130_fd_sc_hd__or2_2
+X_48900_ _48842_/A _48900_/B VGND VGND VPWR VPWR _48900_/X sky130_fd_sc_hd__or2_2
+XFILLER_256_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56009_ _56118_/A VGND VGND VPWR VPWR _56009_/X sky130_fd_sc_hd__buf_1
+X_79720_ _79635_/CLK _79720_/D VGND VGND VPWR VPWR _58418_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_124_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41235_ _41242_/A _41247_/B _66141_/A VGND VGND VPWR VPWR _41236_/B sky130_fd_sc_hd__nand3_2
+XPHY_32444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76932_ _76947_/CLK _76932_/D VGND VGND VPWR VPWR _54760_/A sky130_fd_sc_hd__dfxtp_4
+X_72055_ _72055_/A _70381_/B VGND VGND VPWR VPWR _72058_/B sky130_fd_sc_hd__nor2_2
+XFILLER_535_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49880_ _49878_/Y _49879_/X VGND VGND VPWR VPWR _78222_/D sky130_fd_sc_hd__nand2_2
+XFILLER_67_1617 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_611_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_313_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_295_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_234_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_31732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71006_ _71005_/X VGND VGND VPWR VPWR _71006_/Y sky130_fd_sc_hd__inv_8
+XFILLER_87_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_530_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_351_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48831_ _48889_/A _54581_/A VGND VGND VPWR VPWR _48832_/A sky130_fd_sc_hd__or2_2
+XPHY_21220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_10_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79651_ _79716_/CLK _79651_/D VGND VGND VPWR VPWR _58013_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_125_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41166_ _41154_/A VGND VGND VPWR VPWR _41240_/A sky130_fd_sc_hd__buf_1
+XPHY_32499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76863_ _78386_/CLK _55012_/Y VGND VGND VPWR VPWR _76863_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_390_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_9_60_0_u_core.clock clkbuf_9_61_0_u_core.clock/A VGND VGND VPWR VPWR _78867_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_551_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_390_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_152_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_273_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78602_ _78599_/CLK _48406_/Y VGND VGND VPWR VPWR _78602_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_112_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40117_ _39997_/A _40114_/B VGND VGND VPWR VPWR _40119_/A sky130_fd_sc_hd__or2_2
+XFILLER_333_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_1010 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_75814_ _75810_/X _75811_/B _75814_/C VGND VGND VPWR VPWR _75814_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_512_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_21264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_607_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_43_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48762_ _48257_/A _48576_/X VGND VGND VPWR VPWR _54517_/A sky130_fd_sc_hd__or2_2
+XFILLER_332_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79582_ _79584_/CLK _79582_/D VGND VGND VPWR VPWR _66955_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_646_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45974_ _45974_/A _45974_/B VGND VGND VPWR VPWR _45976_/B sky130_fd_sc_hd__nor2_2
+X_41097_ _44224_/A VGND VGND VPWR VPWR _41097_/X sky130_fd_sc_hd__buf_1
+XFILLER_675_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76794_ _76791_/CLK _76794_/D VGND VGND VPWR VPWR _76794_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_9683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_151_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47713_ _63640_/A _47706_/B VGND VGND VPWR VPWR _47715_/A sky130_fd_sc_hd__nand2_2
+XFILLER_234_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78533_ _78525_/CLK _78533_/D VGND VGND VPWR VPWR _78533_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40048_ _40288_/A _40041_/X VGND VGND VPWR VPWR _40051_/A sky130_fd_sc_hd__or2_2
+XPHY_8971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44925_ _44925_/A VGND VGND VPWR VPWR _44978_/B sky130_fd_sc_hd__buf_1
+XPHY_20574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75745_ _75737_/X _75734_/B _80613_/Q VGND VGND VPWR VPWR _75745_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_646_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_113_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60971_ _61438_/A _60971_/B _60970_/Y VGND VGND VPWR VPWR _60971_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_62_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48693_ _48693_/A _48693_/B VGND VGND VPWR VPWR _78528_/D sky130_fd_sc_hd__nand2_2
+XFILLER_690_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72957_ _72957_/A _72957_/B _81300_/Q VGND VGND VPWR VPWR _72957_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_447_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_332_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62710_ _62710_/A _62397_/B VGND VGND VPWR VPWR _62710_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_384_3079 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47644_ _47644_/A _47653_/B VGND VGND VPWR VPWR _47644_/X sky130_fd_sc_hd__or2_2
+X_71908_ _71899_/A _71908_/B VGND VGND VPWR VPWR _71909_/C sky130_fd_sc_hd__nor2_2
+XFILLER_48_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_624_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_607_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78464_ _78464_/CLK _78464_/D VGND VGND VPWR VPWR _78464_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_43_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44856_ _44840_/X _44856_/B VGND VGND VPWR VPWR _44856_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_644_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75676_ _75662_/A _75665_/X _75676_/C VGND VGND VPWR VPWR _75677_/B sky130_fd_sc_hd__nand3_2
+X_63690_ _63821_/A _63688_/Y _63690_/C VGND VGND VPWR VPWR _63694_/B sky130_fd_sc_hd__nor3_2
+XFILLER_232_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_722_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72888_ _72888_/A _72888_/B VGND VGND VPWR VPWR _72888_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_709_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_opt_2_u_core.clock _79500_/CLK VGND VGND VPWR VPWR _79018_/CLK sky130_fd_sc_hd__clkbuf_16
+XFILLER_21_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_542_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77415_ _77060_/CLK _77415_/D VGND VGND VPWR VPWR _60757_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_286_2788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1190 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43807_ _43697_/A _43807_/B _43806_/X VGND VGND VPWR VPWR _43808_/B sky130_fd_sc_hd__and3_2
+XFILLER_620_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62641_ _62641_/A _62172_/X VGND VGND VPWR VPWR _62641_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_253_237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_24_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74627_ _74625_/X _74627_/B VGND VGND VPWR VPWR _74627_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_284_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47575_ _47572_/X VGND VGND VPWR VPWR _47597_/B sky130_fd_sc_hd__buf_1
+X_71839_ _71839_/A _71839_/B VGND VGND VPWR VPWR _71840_/B sky130_fd_sc_hd__nor2_2
+X_78395_ _78758_/CLK _49205_/Y VGND VGND VPWR VPWR _63905_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_280_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44787_ _44772_/X _44786_/X VGND VGND VPWR VPWR _44787_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_228_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41999_ _41998_/Y _42014_/B VGND VGND VPWR VPWR _41999_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_624_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_59_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49314_ _49455_/A VGND VGND VPWR VPWR _55795_/A sky130_fd_sc_hd__buf_1
+XPHY_29572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46526_ _41801_/B _46525_/X VGND VGND VPWR VPWR _46527_/B sky130_fd_sc_hd__or2_2
+XFILLER_678_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65360_ _64169_/A VGND VGND VPWR VPWR _65863_/A sky130_fd_sc_hd__buf_1
+XFILLER_245_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_659_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77346_ _77252_/CLK _77346_/D VGND VGND VPWR VPWR _53202_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_37_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_29583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43738_ _43669_/A VGND VGND VPWR VPWR _43905_/A sky130_fd_sc_hd__buf_1
+XFILLER_411_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62572_ _49773_/A _62259_/B VGND VGND VPWR VPWR _62574_/B sky130_fd_sc_hd__nor2_2
+X_74558_ _74173_/A _74562_/B VGND VGND VPWR VPWR _74558_/X sky130_fd_sc_hd__or2_2
+XFILLER_507_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_0_87 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_95_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_679_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_460_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_2928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64311_ _80943_/Q _64799_/B VGND VGND VPWR VPWR _64316_/B sky130_fd_sc_hd__nor2_2
+XFILLER_182_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_19093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_61523_ _76781_/Q _61218_/X VGND VGND VPWR VPWR _61524_/C sky130_fd_sc_hd__nor2_2
+XFILLER_94_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_73509_ _73506_/X VGND VGND VPWR VPWR _73509_/X sky130_fd_sc_hd__buf_1
+XPHY_28882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49245_ _63343_/A _49251_/B VGND VGND VPWR VPWR _49247_/A sky130_fd_sc_hd__nand2_2
+XFILLER_597_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46457_ _43187_/Y _46445_/A _46447_/X _46456_/Y VGND VGND VPWR VPWR _46457_/X sky130_fd_sc_hd__o22a_4
+XFILLER_245_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65291_ _65112_/A _65291_/B _65290_/Y VGND VGND VPWR VPWR _65376_/B sky130_fd_sc_hd__nor3_2
+X_77277_ _77278_/CLK _53464_/Y VGND VGND VPWR VPWR _77277_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_181_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43669_ _43669_/A VGND VGND VPWR VPWR _43943_/A sky130_fd_sc_hd__inv_8
+XFILLER_304_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74489_ _74486_/X _74489_/B VGND VGND VPWR VPWR _74489_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_62_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_34_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_72_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_556_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_597_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79016_ _79016_/CLK _79016_/D VGND VGND VPWR VPWR _43506_/C sky130_fd_sc_hd__dfxtp_4
+X_67030_ _67030_/A _67517_/B VGND VGND VPWR VPWR _67030_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_203_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_520_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45408_ _45328_/A _45408_/B _45407_/Y VGND VGND VPWR VPWR _45408_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_575_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_509_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64242_ _69487_/A _64225_/Y _64242_/C VGND VGND VPWR VPWR _64242_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_250_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76228_ _76333_/B VGND VGND VPWR VPWR _76244_/B sky130_fd_sc_hd__buf_1
+XFILLER_321_2351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61454_ _52071_/A _61301_/B VGND VGND VPWR VPWR _61455_/C sky130_fd_sc_hd__nor2_2
+X_49176_ _49176_/A _49176_/B VGND VGND VPWR VPWR _49176_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_657_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46388_ _46388_/A _46387_/X VGND VGND VPWR VPWR _46388_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_198_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_438_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48127_ _48037_/A _48121_/B VGND VGND VPWR VPWR _48128_/B sky130_fd_sc_hd__or2_2
+X_60405_ _59763_/A _60399_/Y _60404_/Y VGND VGND VPWR VPWR _60405_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_106_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_3028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45339_ _45064_/A _45339_/B _45338_/Y VGND VGND VPWR VPWR _45340_/B sky130_fd_sc_hd__or3_2
+XPHY_35070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64173_ _64497_/A VGND VGND VPWR VPWR _64174_/A sky130_fd_sc_hd__buf_1
+XFILLER_102_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76159_ _76159_/A VGND VGND VPWR VPWR _76161_/A sky130_fd_sc_hd__buf_1
+XFILLER_536_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61385_ _77755_/Q _61231_/B VGND VGND VPWR VPWR _61387_/B sky130_fd_sc_hd__nor2_2
+XFILLER_376_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_1468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_302_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_89_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63124_ _63124_/A _63123_/X VGND VGND VPWR VPWR _63124_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_137_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_200_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48058_ _48058_/A _48058_/B VGND VGND VPWR VPWR _48058_/Y sky130_fd_sc_hd__nand2_2
+X_60336_ _59695_/A _60336_/B _60335_/Y VGND VGND VPWR VPWR _60336_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_518_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_391_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_204_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68981_ _68981_/A _68981_/B VGND VGND VPWR VPWR _68983_/B sky130_fd_sc_hd__nor2_2
+XFILLER_141_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_575_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_122_1170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_305_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_3036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_540_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39900_ _39900_/A _39900_/B VGND VGND VPWR VPWR _80012_/D sky130_fd_sc_hd__nand2_2
+X_47009_ _70564_/X _47009_/B VGND VGND VPWR VPWR _47010_/C sky130_fd_sc_hd__nor2_2
+XFILLER_174_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67932_ _67932_/A VGND VGND VPWR VPWR _68419_/B sky130_fd_sc_hd__buf_1
+X_63055_ _63055_/A _62743_/X VGND VGND VPWR VPWR _63055_/Y sky130_fd_sc_hd__nor2_2
+X_79918_ _79907_/CLK _40253_/Y VGND VGND VPWR VPWR _58825_/A sky130_fd_sc_hd__dfxtp_4
+X_60267_ _60267_/A _60267_/B _60266_/Y VGND VGND VPWR VPWR _60267_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_278_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_588_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_651_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_48_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50020_ _50018_/Y _50019_/X VGND VGND VPWR VPWR _78185_/D sky130_fd_sc_hd__nand2_2
+XFILLER_350_2013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_28_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62006_ _62310_/A _62006_/B _62006_/C VGND VGND VPWR VPWR _62010_/B sky130_fd_sc_hd__nor3_2
+XFILLER_686_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_531_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39831_ _76168_/A VGND VGND VPWR VPWR _39831_/X sky130_fd_sc_hd__buf_1
+XFILLER_119_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67863_ _66217_/A VGND VGND VPWR VPWR _67864_/B sky130_fd_sc_hd__buf_1
+XFILLER_514_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79849_ _80010_/CLK _79849_/D VGND VGND VPWR VPWR _58439_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_135_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60198_ _59713_/A _60198_/B _60198_/C VGND VGND VPWR VPWR _60199_/C sky130_fd_sc_hd__nor3_2
+XFILLER_389_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_547_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_1470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_477_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69602_ _69602_/A _69601_/X VGND VGND VPWR VPWR _69662_/B sky130_fd_sc_hd__nand2_2
+XFILLER_256_1891 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_153_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_667_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66814_ _66814_/A VGND VGND VPWR VPWR _66815_/B sky130_fd_sc_hd__buf_1
+XFILLER_331_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39762_ _40148_/A _39753_/X VGND VGND VPWR VPWR _39766_/A sky130_fd_sc_hd__or2_2
+XFILLER_83_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_493_716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67794_ _74323_/C _68281_/B VGND VGND VPWR VPWR _67796_/B sky130_fd_sc_hd__nor2_2
+XFILLER_413_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_628_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38713_ _38581_/X _38709_/X VGND VGND VPWR VPWR _38713_/X sky130_fd_sc_hd__or2_2
+XFILLER_285_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_96_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69533_ _58790_/A _64392_/B VGND VGND VPWR VPWR _69535_/B sky130_fd_sc_hd__nor2_2
+XFILLER_189_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_44_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66745_ _66427_/A _66745_/B _66745_/C VGND VGND VPWR VPWR _66746_/C sky130_fd_sc_hd__nor3_2
+XFILLER_487_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51971_ _51969_/Y _51971_/B VGND VGND VPWR VPWR _77669_/D sky130_fd_sc_hd__nand2_2
+XFILLER_69_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39693_ _39689_/A _39692_/X _80058_/Q VGND VGND VPWR VPWR _39693_/Y sky130_fd_sc_hd__nand3_2
+X_63957_ _78764_/Q _59104_/B VGND VGND VPWR VPWR _63959_/B sky130_fd_sc_hd__nor2_2
+XPHY_6309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_22_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_229_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_269_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_257_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53710_ _53739_/A _53728_/B VGND VGND VPWR VPWR _53710_/X sky130_fd_sc_hd__or2_2
+XFILLER_419_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_606_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_61_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_699_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38644_ _38634_/A VGND VGND VPWR VPWR _38682_/A sky130_fd_sc_hd__buf_1
+X_50922_ _50922_/A _50928_/B VGND VGND VPWR VPWR _50922_/X sky130_fd_sc_hd__or2_2
+X_62908_ _59357_/A VGND VGND VPWR VPWR _62909_/B sky130_fd_sc_hd__buf_1
+XFILLER_434_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69464_ _65045_/A _69464_/B _69464_/C VGND VGND VPWR VPWR _69464_/Y sky130_fd_sc_hd__nor3_2
+XPHY_5608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54690_ _54690_/A _54694_/B VGND VGND VPWR VPWR _54693_/A sky130_fd_sc_hd__nand2_2
+XFILLER_300_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66676_ _66676_/A _67009_/B VGND VGND VPWR VPWR _66676_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_406_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_645_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63888_ _78635_/Q _63757_/B VGND VGND VPWR VPWR _63889_/C sky130_fd_sc_hd__nor2_2
+XFILLER_168_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_465_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68415_ _65102_/A VGND VGND VPWR VPWR _69063_/B sky130_fd_sc_hd__buf_1
+XFILLER_328_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53641_ _53638_/Y _53640_/X VGND VGND VPWR VPWR _53641_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_443_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65627_ _65627_/A _65949_/B VGND VGND VPWR VPWR _65628_/C sky130_fd_sc_hd__nor2_2
+XPHY_4907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_72_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38575_ _38573_/X _38574_/Y VGND VGND VPWR VPWR _80344_/D sky130_fd_sc_hd__nand2_2
+X_50853_ _50972_/A _50876_/B VGND VGND VPWR VPWR _50854_/B sky130_fd_sc_hd__or2_2
+XFILLER_547_1798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_96_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62839_ _63304_/A _62836_/Y _62838_/Y VGND VGND VPWR VPWR _62839_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_465_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69395_ _64389_/X _69393_/Y _69394_/Y VGND VGND VPWR VPWR _69395_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_129_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_705_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_309_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_701_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_225_451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56360_ _56476_/A _56360_/B _56359_/Y VGND VGND VPWR VPWR _56361_/B sky130_fd_sc_hd__nor3_2
+XFILLER_361_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_344_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80624_ _80685_/CLK _75701_/Y VGND VGND VPWR VPWR _75700_/C sky130_fd_sc_hd__dfxtp_4
+X_68346_ _64427_/A VGND VGND VPWR VPWR _68719_/A sky130_fd_sc_hd__buf_1
+XFILLER_341_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_306_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_563_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53572_ _53572_/A _53571_/X VGND VGND VPWR VPWR _53572_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_77_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1816 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65558_ _66381_/A VGND VGND VPWR VPWR _66221_/A sky130_fd_sc_hd__buf_1
+XFILLER_587_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2027 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50784_ _61677_/A _50766_/B VGND VGND VPWR VPWR _50784_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_44_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_460_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_263_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_603_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55311_ _55325_/A VGND VGND VPWR VPWR _55393_/A sky130_fd_sc_hd__buf_1
+XFILLER_213_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_693_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_126_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64509_ _64594_/A VGND VGND VPWR VPWR _65251_/A sky130_fd_sc_hd__buf_1
+XFILLER_80_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52523_ _52523_/A _52522_/X VGND VGND VPWR VPWR _52523_/Y sky130_fd_sc_hd__nand2_2
+XPHY_26209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_414 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_358_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_2869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80555_ _80584_/CLK _80555_/D VGND VGND VPWR VPWR _80555_/Q sky130_fd_sc_hd__dfxtp_4
+X_56291_ _56291_/A _56278_/Y _56291_/C VGND VGND VPWR VPWR _56291_/Y sky130_fd_sc_hd__nor3_2
+X_68277_ _65808_/A VGND VGND VPWR VPWR _68277_/X sky130_fd_sc_hd__buf_1
+XFILLER_678_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65489_ _65489_/A _65311_/B VGND VGND VPWR VPWR _65489_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_421_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_658_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_80_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_201_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58030_ _40171_/C _57947_/B VGND VGND VPWR VPWR _58031_/C sky130_fd_sc_hd__nor2_2
+XFILLER_107_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55242_ _41696_/Y _55115_/Y VGND VGND VPWR VPWR _55242_/Y sky130_fd_sc_hd__nor2_2
+X_67228_ _67228_/A _67726_/B VGND VGND VPWR VPWR _67228_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_90_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52454_ _60474_/A _52451_/B VGND VGND VPWR VPWR _52454_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_656_2152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_636_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_374_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_181_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_320_0_u_core.clock clkbuf_9_321_0_u_core.clock/A VGND VGND VPWR VPWR _76975_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_100_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80486_ _80436_/CLK _80486_/D VGND VGND VPWR VPWR _80486_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_304_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_556_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_257_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_21_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_142_1535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_339_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_60_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39127_ _39127_/A _39138_/B VGND VGND VPWR VPWR _39127_/X sky130_fd_sc_hd__or2_2
+X_51405_ _51405_/A VGND VGND VPWR VPWR _51711_/A sky130_fd_sc_hd__buf_1
+XFILLER_617_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_373_119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_224_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_456_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_500_1061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_374_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67159_ _79935_/Q _66990_/B VGND VGND VPWR VPWR _67161_/B sky130_fd_sc_hd__nor2_2
+XFILLER_166_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55173_ _55173_/A _55173_/B VGND VGND VPWR VPWR _55174_/B sky130_fd_sc_hd__and2_2
+XFILLER_142_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_33_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52385_ _61117_/A _52373_/X VGND VGND VPWR VPWR _52385_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_719_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_14306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_296_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_88_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_120_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_634_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_555_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54124_ _77103_/Q _54124_/B VGND VGND VPWR VPWR _54126_/A sky130_fd_sc_hd__nand2_2
+XFILLER_472_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39058_ _39058_/A _39057_/Y VGND VGND VPWR VPWR _39058_/Y sky130_fd_sc_hd__nand2_2
+X_51336_ _51394_/A _51336_/B VGND VGND VPWR VPWR _51336_/X sky130_fd_sc_hd__or2_2
+XFILLER_126_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70170_ _70170_/A VGND VGND VPWR VPWR _70408_/B sky130_fd_sc_hd__buf_1
+XPHY_14339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59981_ _59510_/A _59981_/B _59980_/Y VGND VGND VPWR VPWR _59981_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_652_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_705_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_101_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_257_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_158_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_194_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_570_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81107_ _81106_/CLK _73733_/Y VGND VGND VPWR VPWR _65071_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_415_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58932_ _58931_/X VGND VGND VPWR VPWR _63892_/B sky130_fd_sc_hd__buf_1
+X_54055_ _77118_/Q _54037_/B VGND VGND VPWR VPWR _54057_/A sky130_fd_sc_hd__nand2_2
+XFILLER_530_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51267_ _51273_/A _51358_/A VGND VGND VPWR VPWR _51267_/X sky130_fd_sc_hd__or2_2
+XFILLER_27_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_552_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_355_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_238_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_436_0_u_core.clock clkbuf_9_437_0_u_core.clock/A VGND VGND VPWR VPWR _80239_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_172_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53006_ _53022_/B VGND VGND VPWR VPWR _53019_/B sky130_fd_sc_hd__buf_1
+X_41020_ _41010_/A _41010_/B _58641_/A VGND VGND VPWR VPWR _41021_/B sky130_fd_sc_hd__nand3_2
+XFILLER_307_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50218_ _50189_/A _50233_/B VGND VGND VPWR VPWR _50219_/B sky130_fd_sc_hd__or2_2
+XFILLER_313_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81038_ _81004_/CLK _73996_/Y VGND VGND VPWR VPWR _69469_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_66_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58863_ _58866_/B VGND VGND VPWR VPWR _58869_/B sky130_fd_sc_hd__inv_8
+XFILLER_496_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_415_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_411_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51198_ _51197_/X VGND VGND VPWR VPWR _51198_/X sky130_fd_sc_hd__buf_1
+XFILLER_46_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_701_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_587_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_489_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_122_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57814_ _80289_/Q _57666_/B VGND VGND VPWR VPWR _57815_/C sky130_fd_sc_hd__nor2_2
+XFILLER_470_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_322_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50149_ _50120_/A _50149_/B VGND VGND VPWR VPWR _50149_/X sky130_fd_sc_hd__or2_2
+XFILLER_251_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73860_ _73866_/A _73860_/B _81073_/Q VGND VGND VPWR VPWR _73860_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_669_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58794_ _56488_/A _58794_/B _58794_/C VGND VGND VPWR VPWR _58794_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_685_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_213_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_311_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_251_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72811_ _72792_/X VGND VGND VPWR VPWR _72812_/A sky130_fd_sc_hd__buf_1
+XPHY_8267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57745_ _57417_/A _57741_/Y _57745_/C VGND VGND VPWR VPWR _57746_/B sky130_fd_sc_hd__nor3_2
+XFILLER_276_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_672_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54957_ _59418_/A _54954_/B VGND VGND VPWR VPWR _54957_/Y sky130_fd_sc_hd__nand2_2
+X_42971_ _71828_/B _42971_/B VGND VGND VPWR VPWR _42971_/X sky130_fd_sc_hd__or2_2
+X_73791_ _73784_/A _73791_/B _73791_/C VGND VGND VPWR VPWR _73791_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_487_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_114_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_723_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39303 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44710_ _44700_/B _44708_/Y _44513_/C _44709_/Y VGND VGND VPWR VPWR _44710_/X sky130_fd_sc_hd__o22a_4
+XFILLER_275_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75530_ _75527_/X _75529_/Y VGND VGND VPWR VPWR _80666_/D sky130_fd_sc_hd__nand2_2
+X_41922_ _41921_/X VGND VGND VPWR VPWR _41922_/Y sky130_fd_sc_hd__inv_8
+XPHY_39314 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53908_ _53908_/A _53907_/X VGND VGND VPWR VPWR _53908_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_385_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72742_ _72740_/X _72741_/Y VGND VGND VPWR VPWR _72742_/Y sky130_fd_sc_hd__nand2_2
+XPHY_7577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45690_ _45684_/X _45685_/Y _45690_/C VGND VGND VPWR VPWR _79107_/D sky130_fd_sc_hd__nor3_2
+XFILLER_626_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57676_ _56878_/A VGND VGND VPWR VPWR _57830_/A sky130_fd_sc_hd__buf_1
+XFILLER_388_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39325 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_131_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54888_ _60081_/A _54895_/B VGND VGND VPWR VPWR _54888_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_229_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_208_429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39336 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_437_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39347 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38602 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59415_ _64005_/A _59415_/B _59415_/C VGND VGND VPWR VPWR _59420_/B sky130_fd_sc_hd__nor3_2
+XPHY_38613 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39358 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44641_ _44628_/A VGND VGND VPWR VPWR _44696_/B sky130_fd_sc_hd__buf_1
+XPHY_6876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56627_ _75814_/C _56346_/B VGND VGND VPWR VPWR _56629_/B sky130_fd_sc_hd__nor2_2
+XFILLER_264_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_75461_ _75458_/X _75460_/Y VGND VGND VPWR VPWR _80680_/D sky130_fd_sc_hd__nand2_2
+XFILLER_424_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41853_ _41820_/A VGND VGND VPWR VPWR _41853_/X sky130_fd_sc_hd__buf_1
+XPHY_38624 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53839_ _53839_/A _53838_/X VGND VGND VPWR VPWR _53839_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_451_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39369 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72673_ _72673_/A _72673_/B VGND VGND VPWR VPWR _72673_/X sky130_fd_sc_hd__or2_2
+XPHY_6887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_229_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_327_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38635 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_327_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_963 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_480_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37901 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38646 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_3074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_141_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_542_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77200_ _77230_/CLK _53753_/Y VGND VGND VPWR VPWR _53751_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_84_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37912 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74412_ _74167_/A _74412_/B VGND VGND VPWR VPWR _74412_/X sky130_fd_sc_hd__or2_2
+XFILLER_366_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38657 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40804_ _40565_/A _40807_/B VGND VGND VPWR VPWR _40804_/X sky130_fd_sc_hd__or2_2
+XFILLER_141_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59346_ _59346_/A _59344_/Y _59346_/C VGND VGND VPWR VPWR _59346_/Y sky130_fd_sc_hd__nor3_2
+X_71624_ _70915_/A _71624_/B VGND VGND VPWR VPWR _71624_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_92_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47360_ _47359_/X VGND VGND VPWR VPWR _47365_/B sky130_fd_sc_hd__buf_1
+X_78180_ _78173_/CLK _78180_/D VGND VGND VPWR VPWR _50035_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_37923 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_305_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38668 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44572_ _44572_/A _44564_/Y _44571_/Y VGND VGND VPWR VPWR _44572_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_249_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75392_ _75204_/A VGND VGND VPWR VPWR _75482_/A sky130_fd_sc_hd__buf_1
+XPHY_28145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56558_ _56375_/A _56556_/Y _56558_/C VGND VGND VPWR VPWR _56558_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_622_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_389_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41784_ _41782_/Y _41774_/X _41783_/Y _41776_/X VGND VGND VPWR VPWR _41785_/B sky130_fd_sc_hd__o22a_4
+XFILLER_125_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37934 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38679 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_362_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37945 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46311_ _46667_/A _46311_/B _46311_/C VGND VGND VPWR VPWR _79017_/D sky130_fd_sc_hd__nor3_2
+XPHY_37956 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77131_ _77629_/CLK _54012_/Y VGND VGND VPWR VPWR _77131_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_245_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43523_ _76852_/Q _43523_/B VGND VGND VPWR VPWR _43524_/B sky130_fd_sc_hd__nor2_2
+XFILLER_598_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55509_ _55594_/A VGND VGND VPWR VPWR _55536_/B sky130_fd_sc_hd__buf_1
+XFILLER_204_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37967 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_74343_ _74338_/X _74339_/X _66970_/A VGND VGND VPWR VPWR _74344_/B sky130_fd_sc_hd__nand3_2
+XFILLER_309_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_281_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40735_ _40681_/A VGND VGND VPWR VPWR _40750_/B sky130_fd_sc_hd__buf_1
+XFILLER_203_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47291_ _42188_/Y _47259_/X _42189_/Y _47303_/A VGND VGND VPWR VPWR _47291_/X sky130_fd_sc_hd__o22a_4
+XFILLER_700_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59277_ _63467_/A VGND VGND VPWR VPWR _59461_/A sky130_fd_sc_hd__buf_1
+XFILLER_379_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71555_ _71090_/X _71554_/Y _71285_/X _70996_/A VGND VGND VPWR VPWR _71556_/B sky130_fd_sc_hd__o22a_4
+XFILLER_164_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37978 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56489_ _64624_/A _56402_/B VGND VGND VPWR VPWR _56489_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_245_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_461_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_37989 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_160_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_717_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49030_ _63413_/A _49016_/B VGND VGND VPWR VPWR _49030_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_696_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46242_ _46242_/A _46242_/B _46242_/C VGND VGND VPWR VPWR _46243_/C sky130_fd_sc_hd__nor3_2
+XFILLER_129_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58228_ _68278_/A _58227_/X VGND VGND VPWR VPWR _58229_/C sky130_fd_sc_hd__nor2_2
+XFILLER_305_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70506_ _69958_/A _70505_/X VGND VGND VPWR VPWR _70506_/X sky130_fd_sc_hd__or2_2
+X_77062_ _77061_/CLK _77062_/D VGND VGND VPWR VPWR _54277_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_676_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43454_ _43454_/A _43453_/Y VGND VGND VPWR VPWR _79345_/D sky130_fd_sc_hd__nand2_2
+XFILLER_54_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_34_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74274_ _74274_/A _74167_/B _64211_/A VGND VGND VPWR VPWR _74275_/B sky130_fd_sc_hd__nand3_2
+XFILLER_305_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40666_ _40400_/A _40658_/B VGND VGND VPWR VPWR _40666_/X sky130_fd_sc_hd__or2_2
+XPHY_551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_26754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71486_ _46947_/A VGND VGND VPWR VPWR _71487_/B sky130_fd_sc_hd__inv_8
+XFILLER_420_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_537_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_519_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76013_ _76011_/X _76012_/Y VGND VGND VPWR VPWR _76013_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_674_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42405_ _42405_/A VGND VGND VPWR VPWR _42405_/X sky130_fd_sc_hd__buf_1
+XFILLER_600_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_364_119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73225_ _73225_/A _73224_/X VGND VGND VPWR VPWR _73225_/Y sky130_fd_sc_hd__nand2_2
+XPHY_584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_639_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46173_ _43180_/A _46173_/B VGND VGND VPWR VPWR _46173_/Y sky130_fd_sc_hd__nor2_2
+X_70437_ _70425_/A _70437_/B VGND VGND VPWR VPWR _70437_/X sky130_fd_sc_hd__xor2_2
+X_58159_ _58159_/A _57916_/B VGND VGND VPWR VPWR _58160_/C sky130_fd_sc_hd__nor2_2
+XPHY_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_43385_ _43362_/X VGND VGND VPWR VPWR _43385_/X sky130_fd_sc_hd__buf_1
+XFILLER_345_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_157_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40597_ _40597_/A _40589_/X VGND VGND VPWR VPWR _40597_/X sky130_fd_sc_hd__or2_2
+XFILLER_201_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_507_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_670_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45124_ _45095_/A _45118_/Y _45123_/Y VGND VGND VPWR VPWR _45125_/B sky130_fd_sc_hd__or3_2
+XPHY_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_572_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42336_ _42336_/A _42335_/Y VGND VGND VPWR VPWR _79492_/D sky130_fd_sc_hd__nor2_2
+X_61170_ _61170_/A _61485_/B VGND VGND VPWR VPWR _61170_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_86_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73156_ _73156_/A _73155_/X VGND VGND VPWR VPWR _81254_/D sky130_fd_sc_hd__nand2_2
+XFILLER_184_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_201_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_617_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_357_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70368_ _70325_/X _70328_/B _70328_/Y VGND VGND VPWR VPWR _70368_/X sky130_fd_sc_hd__a21o_4
+XFILLER_195_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_84_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_193_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60121_ _53861_/A _59476_/B VGND VGND VPWR VPWR _60122_/C sky130_fd_sc_hd__nor2_2
+XFILLER_392_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72107_ _70075_/A _72106_/Y VGND VGND VPWR VPWR _72107_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_537_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49932_ _78207_/Q _49932_/B VGND VGND VPWR VPWR _49932_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_522_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45055_ _45210_/A VGND VGND VPWR VPWR _45056_/A sky130_fd_sc_hd__buf_1
+XPHY_32230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42267_ _42264_/A _42265_/A VGND VGND VPWR VPWR _42268_/B sky130_fd_sc_hd__nor2_2
+XFILLER_416_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_73087_ _71918_/B _73090_/B VGND VGND VPWR VPWR _73088_/B sky130_fd_sc_hd__or2_2
+X_77964_ _77956_/CLK _77964_/D VGND VGND VPWR VPWR _77964_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_67_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70299_ _70299_/A _70299_/B _70299_/C VGND VGND VPWR VPWR _70299_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_687_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44006_ _44064_/A VGND VGND VPWR VPWR _44071_/A sky130_fd_sc_hd__inv_8
+XFILLER_531_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79703_ _79703_/CLK _79703_/D VGND VGND VPWR VPWR _65799_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_436_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41218_ _41218_/A _41217_/Y VGND VGND VPWR VPWR _79678_/D sky130_fd_sc_hd__nand2_2
+XFILLER_613_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60052_ _60052_/A _60052_/B VGND VGND VPWR VPWR _60053_/B sky130_fd_sc_hd__nor2_2
+XFILLER_390_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76915_ _77353_/CLK _54825_/Y VGND VGND VPWR VPWR _76915_/Q sky130_fd_sc_hd__dfxtp_4
+X_72038_ _71224_/A _72024_/X _71240_/A _43657_/A VGND VGND VPWR VPWR _72039_/A sky130_fd_sc_hd__o22a_4
+XFILLER_648_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_514_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49863_ _49920_/A _49860_/B VGND VGND VPWR VPWR _49863_/X sky130_fd_sc_hd__or2_2
+XFILLER_124_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_668_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42198_ _42198_/A VGND VGND VPWR VPWR _42198_/Y sky130_fd_sc_hd__inv_8
+XFILLER_668_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_436_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77895_ _78794_/CLK _51106_/Y VGND VGND VPWR VPWR _77895_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_32296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_570_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_214_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_488_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_711_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_433_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_152_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48814_ _48845_/A _48810_/X VGND VGND VPWR VPWR _48815_/B sky130_fd_sc_hd__or2_2
+XFILLER_533_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79634_ _79694_/CLK _41382_/Y VGND VGND VPWR VPWR _64953_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_80_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41149_ _41149_/A VGND VGND VPWR VPWR _41158_/A sky130_fd_sc_hd__buf_1
+XPHY_31584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64860_ _64860_/A _65027_/B VGND VGND VPWR VPWR _64860_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_488_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76846_ _76841_/CLK _76846_/D VGND VGND VPWR VPWR _41669_/B sky130_fd_sc_hd__dfxtp_4
+XFILLER_636_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49794_ _62849_/A _49812_/B VGND VGND VPWR VPWR _49794_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_680_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_49_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_312_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_9491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63811_ _63811_/A _59307_/B VGND VGND VPWR VPWR _63811_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_230_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_152_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_171_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48745_ _48745_/A _48744_/X VGND VGND VPWR VPWR _48745_/Y sky130_fd_sc_hd__nand2_2
+X_79565_ _79565_/CLK _79565_/D _41737_/X VGND VGND VPWR VPWR _79565_/Q sky130_fd_sc_hd__dfrtp_4
+XFILLER_665_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45957_ _45960_/A _45957_/B _45957_/C VGND VGND VPWR VPWR _79059_/D sky130_fd_sc_hd__nor3_2
+XPHY_30894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76777_ _77422_/CLK _76777_/D VGND VGND VPWR VPWR _76777_/Q sky130_fd_sc_hd__dfxtp_4
+X_64791_ _64631_/A _64791_/B _64791_/C VGND VGND VPWR VPWR _64791_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_114_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_79_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73989_ _75705_/B VGND VGND VPWR VPWR _76343_/A sky130_fd_sc_hd__buf_1
+XFILLER_6_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_332_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_490_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_20393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78516_ _78514_/CLK _48738_/Y VGND VGND VPWR VPWR _63967_/A sky130_fd_sc_hd__dfxtp_4
+X_66530_ _66530_/A _66376_/Y _66530_/C _66530_/D VGND VGND VPWR VPWR _76697_/D sky130_fd_sc_hd__or4_2
+XFILLER_94_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_254_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_3230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44908_ _44909_/A VGND VGND VPWR VPWR _44938_/A sky130_fd_sc_hd__inv_8
+X_63742_ _78746_/Q _63873_/B VGND VGND VPWR VPWR _63743_/C sky130_fd_sc_hd__nor2_2
+XFILLER_427_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_75728_ _75607_/A _75725_/B VGND VGND VPWR VPWR _75732_/A sky130_fd_sc_hd__or2_2
+XFILLER_605_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60954_ _60788_/A _60954_/B _60954_/C VGND VGND VPWR VPWR _60954_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_93_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48676_ _48675_/X VGND VGND VPWR VPWR _48681_/B sky130_fd_sc_hd__buf_1
+XFILLER_724_1828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_67_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_15_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79496_ _79411_/CLK _79496_/D VGND VGND VPWR VPWR _42264_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_364_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45888_ _45668_/X VGND VGND VPWR VPWR _45888_/X sky130_fd_sc_hd__buf_1
+XFILLER_152_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_362_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_94_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47627_ _47627_/A _47627_/B VGND VGND VPWR VPWR _47627_/X sky130_fd_sc_hd__or2_2
+XFILLER_188_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66461_ _57366_/A _66461_/B VGND VGND VPWR VPWR _66461_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_588_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78447_ _77506_/CLK _49006_/Y VGND VGND VPWR VPWR _49004_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_583_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_561_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44839_ _44891_/A _44839_/B _44839_/C VGND VGND VPWR VPWR _44839_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_93_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63673_ _48930_/A _59263_/A VGND VGND VPWR VPWR _63675_/B sky130_fd_sc_hd__nor2_2
+XFILLER_722_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75659_ _75657_/X _75659_/B VGND VGND VPWR VPWR _75659_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_212_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_542_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60885_ _61040_/A _60885_/B _60884_/Y VGND VGND VPWR VPWR _60885_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_81_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_681_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_564_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_286_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_207_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68200_ _67876_/A _68200_/B _68200_/C VGND VGND VPWR VPWR _68200_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_604_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65412_ _65412_/A VGND VGND VPWR VPWR _65907_/B sky130_fd_sc_hd__buf_1
+XFILLER_542_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_482_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_447_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38360_ _75110_/A VGND VGND VPWR VPWR _38361_/A sky130_fd_sc_hd__buf_1
+XFILLER_323_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62624_ _58884_/X VGND VGND VPWR VPWR _62624_/X sky130_fd_sc_hd__buf_1
+XFILLER_208_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69180_ _81132_/Q _69180_/B VGND VGND VPWR VPWR _69182_/B sky130_fd_sc_hd__nor2_2
+XFILLER_56_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_470_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47558_ _47621_/A _47548_/X VGND VGND VPWR VPWR _47559_/B sky130_fd_sc_hd__or2_2
+X_66392_ _66225_/A _66390_/Y _66392_/C VGND VGND VPWR VPWR _66396_/B sky130_fd_sc_hd__nor3_2
+XFILLER_620_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78378_ _78840_/CLK _78378_/D VGND VGND VPWR VPWR _78378_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_694_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_282_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_260_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_52_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_507_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_403_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68131_ _58158_/A _68131_/B VGND VGND VPWR VPWR _68132_/C sky130_fd_sc_hd__nor2_2
+XFILLER_620_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46509_ _46497_/B _46509_/B VGND VGND VPWR VPWR _46509_/X sky130_fd_sc_hd__and2_2
+XFILLER_223_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_679_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65343_ _56754_/A _65839_/B VGND VGND VPWR VPWR _65343_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_440_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_440_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77329_ _77333_/CLK _77329_/D VGND VGND VPWR VPWR _53262_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_222_432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_38291_ _38289_/X _38290_/Y VGND VGND VPWR VPWR _38291_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_584_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62555_ _62242_/A _62553_/Y _62555_/C VGND VGND VPWR VPWR _62555_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_503_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47489_ _47489_/A VGND VGND VPWR VPWR _58962_/A sky130_fd_sc_hd__buf_1
+XFILLER_17_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_182_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49228_ _64026_/A _49242_/B VGND VGND VPWR VPWR _49231_/A sky130_fd_sc_hd__nand2_2
+X_61506_ _61506_/A _61505_/Y VGND VGND VPWR VPWR _61507_/B sky130_fd_sc_hd__nor2_2
+X_80340_ _80346_/CLK _38594_/Y VGND VGND VPWR VPWR _65245_/A sky130_fd_sc_hd__dfxtp_4
+X_68062_ _66231_/A VGND VGND VPWR VPWR _68738_/A sky130_fd_sc_hd__buf_1
+XFILLER_147_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_182_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_594_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_585_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65274_ _81172_/Q _64919_/B VGND VGND VPWR VPWR _65274_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_421_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62486_ _62158_/X _62486_/B _62486_/C VGND VGND VPWR VPWR _62487_/B sky130_fd_sc_hd__nor3_2
+XFILLER_201_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_52_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_597_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67013_ _64169_/A VGND VGND VPWR VPWR _67013_/X sky130_fd_sc_hd__buf_1
+XFILLER_349_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_473_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64225_ _64172_/X _64221_/Y _64224_/Y VGND VGND VPWR VPWR _64225_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_383_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_125_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61437_ _61437_/A _60806_/B VGND VGND VPWR VPWR _61437_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_223_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49159_ _49099_/A _49156_/B VGND VGND VPWR VPWR _49160_/B sky130_fd_sc_hd__or2_2
+XFILLER_575_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_571_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80271_ _80332_/CLK _38858_/Y VGND VGND VPWR VPWR _38857_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_536_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_258_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_121_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_176_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52170_ _51416_/A VGND VGND VPWR VPWR _52772_/A sky130_fd_sc_hd__buf_1
+X_64156_ _69172_/A _64156_/B _64155_/Y VGND VGND VPWR VPWR _64157_/C sky130_fd_sc_hd__nor3_2
+XFILLER_536_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_714_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61368_ _60587_/A VGND VGND VPWR VPWR _61520_/B sky130_fd_sc_hd__buf_1
+XFILLER_631_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_571_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_102_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_163_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_175_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_334_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_618_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51121_ _51119_/Y _51120_/X VGND VGND VPWR VPWR _51121_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_552_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63107_ _49306_/A _62963_/B VGND VGND VPWR VPWR _63108_/C sky130_fd_sc_hd__nor2_2
+XFILLER_634_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60319_ _77084_/Q _59985_/B VGND VGND VPWR VPWR _60319_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_512_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68964_ _68943_/Y _68964_/B VGND VGND VPWR VPWR _68965_/B sky130_fd_sc_hd__nor2_2
+XFILLER_317_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64087_ _64087_/A _64117_/A _64472_/A VGND VGND VPWR VPWR _64087_/X sky130_fd_sc_hd__or3_2
+XFILLER_219_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_239_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61299_ _62080_/A VGND VGND VPWR VPWR _61472_/A sky130_fd_sc_hd__buf_1
+XFILLER_239_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_116_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_571_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51052_ _51052_/A _51049_/B VGND VGND VPWR VPWR _51052_/X sky130_fd_sc_hd__or2_2
+XFILLER_63_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67915_ _67915_/A _67915_/B _67914_/Y VGND VGND VPWR VPWR _67937_/A sky130_fd_sc_hd__nor3_2
+X_63038_ _78773_/Q _63038_/B VGND VGND VPWR VPWR _63039_/C sky130_fd_sc_hd__nor2_2
+XFILLER_176_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_271_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68895_ _68895_/A _69461_/B VGND VGND VPWR VPWR _68895_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_521_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_334_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_118_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50003_ _50003_/A _49980_/A VGND VGND VPWR VPWR _50003_/X sky130_fd_sc_hd__or2_2
+XFILLER_104_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39814_ _39951_/A _39819_/B VGND VGND VPWR VPWR _39814_/X sky130_fd_sc_hd__or2_2
+XFILLER_236_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_137_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55860_ _46497_/A _46497_/B _79012_/Q VGND VGND VPWR VPWR _55860_/X sky130_fd_sc_hd__and3_2
+XFILLER_89_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_232_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67846_ _67846_/A _67846_/B _67846_/C VGND VGND VPWR VPWR _67847_/C sky130_fd_sc_hd__nor3_2
+XFILLER_24_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_119_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_118_1047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_135_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_660_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_217_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54811_ _54811_/A _54810_/X VGND VGND VPWR VPWR _54811_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_549_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_330_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_110_0_u_core.clock clkbuf_8_55_0_u_core.clock/X VGND VGND VPWR VPWR _77387_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_315_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_252_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39745_ _39744_/X _39738_/X _39745_/C VGND VGND VPWR VPWR _39745_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_63_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_83_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55791_ _55789_/Y _55790_/X VGND VGND VPWR VPWR _55791_/Y sky130_fd_sc_hd__nand2_2
+X_67777_ _67777_/A _67777_/B _67777_/C VGND VGND VPWR VPWR _67782_/B sky130_fd_sc_hd__nor3_2
+XFILLER_130_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64989_ _65677_/A _64989_/B _64988_/Y VGND VGND VPWR VPWR _64989_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_97_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_258_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_607_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_257_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57530_ _57444_/X _57524_/Y _57529_/Y VGND VGND VPWR VPWR _57539_/A sky130_fd_sc_hd__nor3_2
+X_69516_ _38867_/C _69516_/B VGND VGND VPWR VPWR _69518_/B sky130_fd_sc_hd__nor2_2
+XPHY_6128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54742_ _54742_/A _54742_/B VGND VGND VPWR VPWR _54742_/Y sky130_fd_sc_hd__nand2_2
+X_66728_ _65905_/A VGND VGND VPWR VPWR _66731_/A sky130_fd_sc_hd__buf_1
+XFILLER_527_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_447_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_96_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39676_ _39673_/X _39675_/Y VGND VGND VPWR VPWR _39676_/Y sky130_fd_sc_hd__nand2_2
+X_51954_ _51954_/A VGND VGND VPWR VPWR _51954_/X sky130_fd_sc_hd__buf_1
+XPHY_6139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_44_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_527_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_680_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_22_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_462_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_73_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_183_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_642_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_382_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_543_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38627_ _38623_/X _38626_/Y VGND VGND VPWR VPWR _80334_/D sky130_fd_sc_hd__nand2_2
+XFILLER_473_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50905_ _61681_/A _50899_/B VGND VGND VPWR VPWR _50907_/A sky130_fd_sc_hd__nand2_2
+XFILLER_273_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57461_ _57223_/A _57457_/Y _57461_/C VGND VGND VPWR VPWR _57462_/B sky130_fd_sc_hd__nor3_2
+XFILLER_690_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69447_ _69307_/A _69443_/Y _69447_/C VGND VGND VPWR VPWR _69455_/B sky130_fd_sc_hd__nor3_2
+XFILLER_462_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_54673_ _54673_/A _54682_/B VGND VGND VPWR VPWR _54673_/Y sky130_fd_sc_hd__nand2_2
+X_66659_ _66992_/A _66656_/Y _66659_/C VGND VGND VPWR VPWR _66660_/C sky130_fd_sc_hd__nor3_2
+XFILLER_265_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_57_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51885_ _51736_/A VGND VGND VPWR VPWR _51942_/A sky130_fd_sc_hd__buf_1
+XFILLER_408_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_285_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59200_ _61600_/A VGND VGND VPWR VPWR _63880_/B sky130_fd_sc_hd__buf_1
+XFILLER_268_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_521_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37208 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56412_ _56412_/A VGND VGND VPWR VPWR _56413_/A sky130_fd_sc_hd__buf_1
+XFILLER_55_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_265_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_2_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_599_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53624_ _53624_/A _53623_/X VGND VGND VPWR VPWR _77235_/D sky130_fd_sc_hd__nand2_2
+XFILLER_406_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37219 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38558_ _38558_/A _38558_/B VGND VGND VPWR VPWR _38558_/X sky130_fd_sc_hd__or2_2
+X_50836_ _50836_/A _50826_/X VGND VGND VPWR VPWR _50836_/X sky130_fd_sc_hd__or2_2
+XFILLER_96_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57392_ _66667_/A _57239_/X VGND VGND VPWR VPWR _57392_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_604_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69378_ _64561_/A _69378_/B _69378_/C VGND VGND VPWR VPWR _69379_/C sky130_fd_sc_hd__nor3_2
+XFILLER_462_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_226_0_u_core.clock clkbuf_9_227_0_u_core.clock/A VGND VGND VPWR VPWR _79641_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_341_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_560_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_705_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_74_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_129_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_224_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_25_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59131_ _59130_/X VGND VGND VPWR VPWR _60847_/A sky130_fd_sc_hd__buf_1
+XFILLER_265_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_225_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_148_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56343_ _57111_/A VGND VGND VPWR VPWR _56350_/A sky130_fd_sc_hd__buf_1
+XFILLER_423_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80607_ _80259_/CLK _80607_/D VGND VGND VPWR VPWR _75767_/C sky130_fd_sc_hd__dfxtp_4
+X_68329_ _68329_/A _67842_/B VGND VGND VPWR VPWR _68329_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_326_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53555_ _53555_/A _53539_/A VGND VGND VPWR VPWR _53555_/X sky130_fd_sc_hd__or2_2
+XFILLER_430_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50767_ _50767_/A _50766_/X VGND VGND VPWR VPWR _50767_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_207_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38489_ _38474_/X _38489_/B _68877_/A VGND VGND VPWR VPWR _38490_/B sky130_fd_sc_hd__nand3_2
+XFILLER_53_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_41_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_599_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_587_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_241_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_697_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_309_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_306_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_404_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40520_ _40519_/X VGND VGND VPWR VPWR _40526_/B sky130_fd_sc_hd__buf_1
+XFILLER_35_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52506_ _77529_/Q _52494_/X VGND VGND VPWR VPWR _52508_/A sky130_fd_sc_hd__nand2_2
+XPHY_26039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59062_ _59073_/A VGND VGND VPWR VPWR _59196_/A sky130_fd_sc_hd__buf_1
+X_71340_ _70353_/B _71339_/Y VGND VGND VPWR VPWR _71340_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_502_1145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_306_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_33_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_395_0_u_core.clock clkbuf_9_395_0_u_core.clock/A VGND VGND VPWR VPWR _79757_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_80538_ _80543_/CLK _80538_/D VGND VGND VPWR VPWR _66232_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_558_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56274_ _39372_/C _58681_/B VGND VGND VPWR VPWR _56278_/B sky130_fd_sc_hd__nor2_2
+XFILLER_359_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53486_ _53635_/A VGND VGND VPWR VPWR _53546_/A sky130_fd_sc_hd__buf_1
+XFILLER_74_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50698_ _50847_/A VGND VGND VPWR VPWR _50763_/A sky130_fd_sc_hd__buf_1
+XFILLER_671_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_3001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_718_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_298_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58013_ _58013_/A _58331_/B VGND VGND VPWR VPWR _58013_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_16_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_456_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55225_ _55225_/A _55092_/A VGND VGND VPWR VPWR _55229_/A sky130_fd_sc_hd__and2_2
+XFILLER_298_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40451_ _39184_/A VGND VGND VPWR VPWR _40586_/A sky130_fd_sc_hd__buf_1
+XFILLER_491_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52437_ _52435_/Y _52437_/B VGND VGND VPWR VPWR _77547_/D sky130_fd_sc_hd__nand2_2
+XFILLER_618_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_25349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71271_ _71271_/A _71355_/B VGND VGND VPWR VPWR _71271_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_166_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80469_ _80518_/CLK _76323_/Y VGND VGND VPWR VPWR _80469_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_529_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_495_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_328_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_519_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_573_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73010_ _73005_/A _73010_/B _68746_/A VGND VGND VPWR VPWR _73010_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_300_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_139_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70222_ _70222_/A _70180_/B VGND VGND VPWR VPWR _70224_/B sky130_fd_sc_hd__nor2_2
+XFILLER_355_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43170_ _43163_/A _43169_/Y _43170_/C _43134_/Y VGND VGND VPWR VPWR _43170_/X sky130_fd_sc_hd__or4_2
+XFILLER_712_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55156_ _55156_/A _55166_/B VGND VGND VPWR VPWR _55156_/X sky130_fd_sc_hd__and2_2
+XPHY_14125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_193_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_558_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40382_ _40380_/X _40382_/B VGND VGND VPWR VPWR _79884_/D sky130_fd_sc_hd__nand2_2
+X_52368_ _52368_/A _52367_/X VGND VGND VPWR VPWR _52368_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_495_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_382_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_339_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_554_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54107_ _77108_/Q _54118_/B VGND VGND VPWR VPWR _54107_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_181_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42121_ _70439_/A _42118_/B VGND VGND VPWR VPWR _42121_/Y sky130_fd_sc_hd__nor2_2
+XPHY_14158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51319_ _61338_/A _51311_/X VGND VGND VPWR VPWR _51319_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_14_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70153_ _70123_/B VGND VGND VPWR VPWR _70503_/B sky130_fd_sc_hd__buf_1
+XFILLER_275_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_589_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59964_ _59964_/A _59964_/B _59964_/C VGND VGND VPWR VPWR _59964_/Y sky130_fd_sc_hd__nor3_2
+X_55087_ _47094_/C _55087_/B VGND VGND VPWR VPWR _55088_/C sky130_fd_sc_hd__nor2_2
+XFILLER_610_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52299_ _77583_/Q _52305_/B VGND VGND VPWR VPWR _52299_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_276_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_12712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_532_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_433_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42052_ _42055_/A VGND VGND VPWR VPWR _42093_/A sky130_fd_sc_hd__buf_1
+XPHY_13468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54038_ _54038_/A _54038_/B VGND VGND VPWR VPWR _54038_/Y sky130_fd_sc_hd__nand2_2
+X_58915_ _59785_/A VGND VGND VPWR VPWR _58916_/B sky130_fd_sc_hd__buf_1
+XFILLER_153_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_155_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_106_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74961_ _74961_/A _74958_/B VGND VGND VPWR VPWR _74961_/X sky130_fd_sc_hd__or2_2
+XFILLER_352_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70084_ _71817_/A VGND VGND VPWR VPWR _71787_/A sky130_fd_sc_hd__buf_1
+XPHY_13479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_2773 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_218_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59895_ _60051_/A _59885_/Y _59895_/C VGND VGND VPWR VPWR _59895_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_85_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_2090 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41003_ _41000_/X VGND VGND VPWR VPWR _41147_/B sky130_fd_sc_hd__buf_1
+XFILLER_296_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76700_ _76700_/CLK _76700_/D VGND VGND VPWR VPWR _70461_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_12767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73912_ _73898_/A _73917_/B _67902_/A VGND VGND VPWR VPWR _73913_/B sky130_fd_sc_hd__nand3_2
+XPHY_30135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_512_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58846_ _56538_/A _58845_/Y VGND VGND VPWR VPWR _58846_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_313_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46860_ _46844_/A _46860_/B VGND VGND VPWR VPWR _46860_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77680_ _77686_/CLK _51934_/Y VGND VGND VPWR VPWR _77680_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_292_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_81_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74892_ _74878_/A _74882_/B _66544_/A VGND VGND VPWR VPWR _74893_/B sky130_fd_sc_hd__nand3_2
+XFILLER_429_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_511_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_175_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_586_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_1_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45811_ _45667_/X VGND VGND VPWR VPWR _45812_/A sky130_fd_sc_hd__buf_1
+XFILLER_673_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76631_ VGND VGND VPWR VPWR _76631_/HI la_data_out[127] sky130_fd_sc_hd__conb_1
+XPHY_8053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_153_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73843_ _73839_/X _73828_/X _65603_/A VGND VGND VPWR VPWR _73843_/Y sky130_fd_sc_hd__nand3_2
+XPHY_8064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46791_ _46786_/B _46791_/B _46787_/X VGND VGND VPWR VPWR _46791_/Y sky130_fd_sc_hd__nor3_2
+X_58777_ _56536_/A _58773_/Y _58777_/C VGND VGND VPWR VPWR _58778_/B sky130_fd_sc_hd__nor3_2
+XFILLER_79_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7330 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_235_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55989_ _55983_/X _55989_/B VGND VGND VPWR VPWR _55989_/X sky130_fd_sc_hd__and2_2
+XFILLER_330_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7341 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_81_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7352 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48530_ _48465_/X _48533_/B VGND VGND VPWR VPWR _48530_/X sky130_fd_sc_hd__or2_2
+XFILLER_213_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79350_ _79347_/CLK _79350_/D VGND VGND VPWR VPWR _57451_/A sky130_fd_sc_hd__dfxtp_4
+X_45742_ _46861_/A VGND VGND VPWR VPWR _45785_/A sky130_fd_sc_hd__buf_1
+XPHY_39100 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57728_ _80160_/Q _57728_/B VGND VGND VPWR VPWR _57731_/B sky130_fd_sc_hd__nor2_2
+XFILLER_425_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76562_ VGND VGND VPWR VPWR _76562_/HI la_data_out[58] sky130_fd_sc_hd__conb_1
+XPHY_7363 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42954_ _42952_/X _42954_/B VGND VGND VPWR VPWR _42954_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_188_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73774_ _73774_/A _73774_/B VGND VGND VPWR VPWR _81097_/D sky130_fd_sc_hd__nand2_2
+XFILLER_236_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39111 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_3027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7374 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_2959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70986_ _70986_/A _70311_/X VGND VGND VPWR VPWR _70986_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_209_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_208_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39122 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7385 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78301_ _78301_/CLK _49584_/Y VGND VGND VPWR VPWR _61803_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_39133 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7396 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75513_ _75513_/A _75503_/B _80669_/Q VGND VGND VPWR VPWR _75513_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_381_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41905_ wbs_sel_i[2] VGND VGND VPWR VPWR _41905_/Y sky130_fd_sc_hd__inv_8
+XPHY_39144 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72725_ _72177_/A _72728_/B VGND VGND VPWR VPWR _72725_/X sky130_fd_sc_hd__or2_2
+XFILLER_264_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48461_ _48927_/A VGND VGND VPWR VPWR _48586_/A sky130_fd_sc_hd__buf_1
+X_79281_ _79216_/CLK _79281_/D VGND VGND VPWR VPWR _43915_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_461_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_91_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45673_ _45673_/A VGND VGND VPWR VPWR _45682_/A sky130_fd_sc_hd__inv_8
+XPHY_39155 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38410 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57659_ _57807_/A _57659_/B _57658_/Y VGND VGND VPWR VPWR _57669_/A sky130_fd_sc_hd__nor3_2
+XPHY_6673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76493_ VGND VGND VPWR VPWR _76493_/HI io_out[15] sky130_fd_sc_hd__conb_1
+XFILLER_283_2736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_565_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42885_ _42994_/B VGND VGND VPWR VPWR _42898_/B sky130_fd_sc_hd__buf_1
+XFILLER_63_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_149_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_188_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38421 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39166 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_452_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_441_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38432 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39177 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_75_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47412_ _47449_/A _47399_/X VGND VGND VPWR VPWR _47412_/X sky130_fd_sc_hd__or2_2
+XFILLER_207_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38443 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78232_ _78189_/CLK _49846_/Y VGND VGND VPWR VPWR _78232_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_21_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39188 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44624_ _44322_/A _44624_/B _44624_/C VGND VGND VPWR VPWR _44625_/C sky130_fd_sc_hd__nor3_2
+XFILLER_205_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_695_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75444_ _75455_/A _75444_/B _69009_/A VGND VGND VPWR VPWR _75444_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_422_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_426_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41836_ _41836_/A _41835_/X VGND VGND VPWR VPWR _41836_/Y sky130_fd_sc_hd__nand2_2
+XPHY_38454 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60670_ _60516_/A _60670_/B _60670_/C VGND VGND VPWR VPWR _60671_/C sky130_fd_sc_hd__nor3_2
+XFILLER_385_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48392_ _48390_/Y _48392_/B VGND VGND VPWR VPWR _48392_/Y sky130_fd_sc_hd__nand2_2
+XPHY_5972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39199 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72656_ _72656_/A _72664_/B _66772_/A VGND VGND VPWR VPWR _72657_/B sky130_fd_sc_hd__nand3_2
+XFILLER_268_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_112_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37720 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38465 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_147_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37731 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38476 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_56_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37742 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38487 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47343_ _63373_/A _47343_/B VGND VGND VPWR VPWR _47344_/B sky130_fd_sc_hd__nand2_2
+XFILLER_246_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59329_ _59492_/A _59315_/Y _59329_/C VGND VGND VPWR VPWR _59329_/Y sky130_fd_sc_hd__nor3_2
+X_71607_ _71607_/A VGND VGND VPWR VPWR _76149_/A sky130_fd_sc_hd__inv_8
+XFILLER_578_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78163_ _78129_/CLK _78163_/D VGND VGND VPWR VPWR _50097_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_362_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38498 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37753 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44555_ _44364_/X VGND VGND VPWR VPWR _44568_/A sky130_fd_sc_hd__inv_8
+XFILLER_566_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75375_ _75375_/A _75375_/B _66540_/A VGND VGND VPWR VPWR _75376_/B sky130_fd_sc_hd__nand3_2
+XFILLER_92_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41767_ _41765_/Y _41731_/X _46277_/A _41733_/X VGND VGND VPWR VPWR _41767_/X sky130_fd_sc_hd__o22a_4
+XPHY_37764 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72587_ _69698_/B _72708_/B VGND VGND VPWR VPWR _72830_/B sky130_fd_sc_hd__or2_2
+XPHY_27241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37775 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37786 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77114_ _77663_/CLK _54079_/Y VGND VGND VPWR VPWR _60037_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43506_ _43506_/A _43505_/X _43506_/C VGND VGND VPWR VPWR _43506_/X sky130_fd_sc_hd__or3_2
+X_62340_ _62188_/X _62335_/Y _62340_/C VGND VGND VPWR VPWR _62340_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_567_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_74326_ _74320_/A _74320_/B _80962_/Q VGND VGND VPWR VPWR _74326_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_204_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37797 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40718_ _40707_/A _40717_/X _57245_/A VGND VGND VPWR VPWR _40719_/B sky130_fd_sc_hd__nand3_2
+XPHY_27274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71538_ _71536_/X _71538_/B VGND VGND VPWR VPWR _81504_/D sky130_fd_sc_hd__nand2_2
+X_47274_ _48101_/A VGND VGND VPWR VPWR _59087_/A sky130_fd_sc_hd__buf_1
+XFILLER_73_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78094_ _77880_/CLK _78094_/D VGND VGND VPWR VPWR _61845_/A sky130_fd_sc_hd__dfxtp_4
+X_44486_ _44486_/A _44495_/B VGND VGND VPWR VPWR _44487_/C sky130_fd_sc_hd__or2_2
+XPHY_26540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41698_ wbs_adr_i[2] _41698_/B VGND VGND VPWR VPWR _41702_/B sky130_fd_sc_hd__and2_2
+XFILLER_220_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_496_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_203_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_474_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49013_ _49072_/A _47537_/B VGND VGND VPWR VPWR _54758_/A sky130_fd_sc_hd__or2_2
+XFILLER_262_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_38_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_340_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46225_ _79106_/Q VGND VGND VPWR VPWR _46225_/Y sky130_fd_sc_hd__inv_8
+XPHY_370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77045_ _77410_/CLK _77045_/D VGND VGND VPWR VPWR _59076_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_262_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_207_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43437_ _42955_/A _43433_/X VGND VGND VPWR VPWR _43439_/A sky130_fd_sc_hd__or2_2
+XFILLER_528_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_400_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62271_ _62271_/A _62119_/B VGND VGND VPWR VPWR _62271_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_572_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74257_ _74127_/A _74260_/B VGND VGND VPWR VPWR _74257_/X sky130_fd_sc_hd__or2_2
+XFILLER_319_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40649_ _40337_/A VGND VGND VPWR VPWR _40670_/A sky130_fd_sc_hd__buf_1
+XPHY_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71469_ _70456_/X _71468_/B VGND VGND VPWR VPWR _71469_/X sky130_fd_sc_hd__and2_2
+XFILLER_559_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_508_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64010_ _48045_/A _63879_/B VGND VGND VPWR VPWR _64010_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_357_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_118_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_519_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61222_ _60577_/X _61210_/Y _61222_/C VGND VGND VPWR VPWR _61222_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_319_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73208_ _73208_/A _73226_/B _66275_/A VGND VGND VPWR VPWR _73208_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_508_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46156_ _46185_/A _46155_/Y VGND VGND VPWR VPWR _46156_/X sky130_fd_sc_hd__or2_2
+XPHY_25883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43368_ _43364_/X _43367_/Y VGND VGND VPWR VPWR _79368_/D sky130_fd_sc_hd__nand2_2
+XPHY_15360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74188_ _74168_/A VGND VGND VPWR VPWR _74189_/A sky130_fd_sc_hd__buf_1
+XFILLER_474_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_490_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_259_2974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_157_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45107_ _45107_/A _45107_/B VGND VGND VPWR VPWR _45107_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_613_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42319_ _42301_/A VGND VGND VPWR VPWR _46558_/A sky130_fd_sc_hd__buf_1
+XFILLER_86_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61153_ _60841_/A _61153_/B _61152_/Y VGND VGND VPWR VPWR _61153_/Y sky130_fd_sc_hd__nor3_2
+X_73139_ _73123_/A _73139_/B _68744_/A VGND VGND VPWR VPWR _73142_/A sky130_fd_sc_hd__nand3_2
+XFILLER_306_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_652_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_293_1129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46087_ _45087_/A _43022_/B VGND VGND VPWR VPWR _46193_/A sky130_fd_sc_hd__nor2_2
+XFILLER_236_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_2 io_in[17] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_711_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43299_ _71056_/A VGND VGND VPWR VPWR _43353_/A sky130_fd_sc_hd__buf_1
+XFILLER_201_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_691_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78996_ _79559_/CLK _78996_/D VGND VGND VPWR VPWR _46586_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_648_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_544_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_335_2840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60104_ _54673_/A _59615_/B VGND VGND VPWR VPWR _60106_/B sky130_fd_sc_hd__nor2_2
+XFILLER_550_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_12_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49915_ _62854_/A _49929_/B VGND VGND VPWR VPWR _49918_/A sky130_fd_sc_hd__nand2_2
+X_45038_ _45038_/A _41721_/A VGND VGND VPWR VPWR _45038_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_173_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_10_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61084_ _60616_/A _61083_/Y VGND VGND VPWR VPWR _61123_/B sky130_fd_sc_hd__nor2_2
+XFILLER_67_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65961_ _65301_/A _65959_/Y _65960_/Y VGND VGND VPWR VPWR _65961_/Y sky130_fd_sc_hd__nor3_2
+X_77947_ _77950_/CLK _77947_/D VGND VGND VPWR VPWR _77947_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_32071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_468_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_275_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_47_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_67700_ _80675_/Q _67526_/B VGND VGND VPWR VPWR _67701_/C sky130_fd_sc_hd__nor2_2
+XFILLER_531_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_153_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64912_ _74265_/C _65436_/B VGND VGND VPWR VPWR _64915_/B sky130_fd_sc_hd__nor2_2
+X_60035_ _77138_/Q _60673_/B VGND VGND VPWR VPWR _60036_/C sky130_fd_sc_hd__nor2_2
+XFILLER_263_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49846_ _49844_/Y _49845_/X VGND VGND VPWR VPWR _49846_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_475_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68680_ _44008_/Y _68680_/B VGND VGND VPWR VPWR _68680_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_613_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_648_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65892_ _65892_/A _65892_/B _65891_/Y VGND VGND VPWR VPWR _65892_/Y sky130_fd_sc_hd__nor3_2
+X_77878_ _77880_/CLK _51165_/Y VGND VGND VPWR VPWR _61933_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_28_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_113_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_410_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_64_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67631_ _67631_/A _67625_/Y _67631_/C VGND VGND VPWR VPWR _67641_/B sky130_fd_sc_hd__nor3_2
+XFILLER_80_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79617_ _79607_/CLK _41448_/Y VGND VGND VPWR VPWR _57845_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_410_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_80_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64843_ _65363_/A _64843_/B _64843_/C VGND VGND VPWR VPWR _64847_/B sky130_fd_sc_hd__nor3_2
+XFILLER_636_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76829_ _76841_/CLK _76829_/D VGND VGND VPWR VPWR _76829_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_663_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49777_ _78249_/Q _49765_/X VGND VGND VPWR VPWR _49777_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_583_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46989_ _46989_/A _46982_/B VGND VGND VPWR VPWR _46991_/B sky130_fd_sc_hd__nor2_2
+XFILLER_583_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39530_ _39528_/X _39529_/Y VGND VGND VPWR VPWR _80102_/D sky130_fd_sc_hd__nand2_2
+XFILLER_7_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48728_ _48728_/A _48727_/X VGND VGND VPWR VPWR _48728_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_3_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67562_ _65916_/A VGND VGND VPWR VPWR _67600_/A sky130_fd_sc_hd__buf_1
+XPHY_20190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79548_ _78988_/Q _79548_/D _41882_/X VGND VGND VPWR VPWR _45361_/A sky130_fd_sc_hd__dfstp_4
+XFILLER_691_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64774_ _69552_/A _64766_/Y _64774_/C VGND VGND VPWR VPWR _64775_/B sky130_fd_sc_hd__nor3_2
+XFILLER_288_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_269_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_709_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61986_ _58903_/A VGND VGND VPWR VPWR _61986_/X sky130_fd_sc_hd__buf_1
+XFILLER_329_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_267_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_110_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69301_ _75955_/C _69441_/B VGND VGND VPWR VPWR _69301_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_286_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_589_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66513_ _57331_/A _67009_/B VGND VGND VPWR VPWR _66513_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_329_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_672_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39461_ _39460_/X _39452_/X _57086_/A VGND VGND VPWR VPWR _39461_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_149_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63725_ _63128_/X _63723_/Y _63725_/C VGND VGND VPWR VPWR _63725_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_444_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60937_ _60766_/X _60934_/Y _60937_/C VGND VGND VPWR VPWR _60938_/C sky130_fd_sc_hd__nor3_2
+X_48659_ _78537_/Q _48652_/B VGND VGND VPWR VPWR _48659_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_427_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67493_ _67004_/A _67493_/B _67492_/Y VGND VGND VPWR VPWR _67493_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_27_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79479_ _79479_/CLK _42539_/Y VGND VGND VPWR VPWR _55122_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_605_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_640_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_544_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38412_ _76156_/A _38405_/B VGND VGND VPWR VPWR _38414_/A sky130_fd_sc_hd__or2_2
+XFILLER_325_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_470_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69232_ _81324_/Q _64328_/B VGND VGND VPWR VPWR _69232_/Y sky130_fd_sc_hd__nor2_2
+X_81510_ _81509_/CLK _71348_/Y VGND VGND VPWR VPWR _68279_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_227_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_466_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66444_ _66444_/A _66444_/B _66443_/Y VGND VGND VPWR VPWR _66444_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_544_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39392_ _39392_/A _39392_/B VGND VGND VPWR VPWR _80139_/D sky130_fd_sc_hd__nand2_2
+XFILLER_462_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51670_ _51670_/A _51670_/B VGND VGND VPWR VPWR _51670_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_17_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63656_ _63518_/X _63654_/Y _63655_/Y VGND VGND VPWR VPWR _63656_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_620_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60868_ _60868_/A _60398_/B VGND VGND VPWR VPWR _60869_/C sky130_fd_sc_hd__nor2_2
+XFILLER_620_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_81_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_108_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_329 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_242_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_700_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50621_ _50649_/A _50633_/B VGND VGND VPWR VPWR _50621_/X sky130_fd_sc_hd__or2_2
+XFILLER_560_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38343_ _38343_/A _38342_/Y VGND VGND VPWR VPWR _80399_/D sky130_fd_sc_hd__nand2_2
+X_62607_ _62607_/A _62607_/B VGND VGND VPWR VPWR _76747_/D sky130_fd_sc_hd__nor2_2
+X_69163_ _75958_/C _69441_/B VGND VGND VPWR VPWR _69163_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_558_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_81441_ _81382_/CLK _81441_/D VGND VGND VPWR VPWR _67424_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_74_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_306_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66375_ _44675_/Y _66039_/X VGND VGND VPWR VPWR _66530_/A sky130_fd_sc_hd__nor2_2
+XFILLER_620_2379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_599_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_405_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63587_ _62969_/X _63583_/Y _63587_/C VGND VGND VPWR VPWR _63587_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_362_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_694_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_845 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_503_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60799_ _60500_/A _60799_/B VGND VGND VPWR VPWR _60800_/C sky130_fd_sc_hd__nor2_2
+XFILLER_52_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_35_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_22_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_93_0_u_core.clock clkbuf_7_93_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_93_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_299_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68114_ _41311_/C _68271_/B VGND VGND VPWR VPWR _68114_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_143_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53340_ _53340_/A _53339_/X VGND VGND VPWR VPWR _77311_/D sky130_fd_sc_hd__nand2_2
+X_65326_ _64641_/X _65322_/Y _65326_/C VGND VGND VPWR VPWR _65327_/C sky130_fd_sc_hd__nor3_2
+XFILLER_39_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_123_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38274_ _76272_/A _38271_/B VGND VGND VPWR VPWR _38274_/X sky130_fd_sc_hd__or2_2
+XFILLER_369_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50552_ _50548_/Y _50551_/X VGND VGND VPWR VPWR _78044_/D sky130_fd_sc_hd__nand2_2
+X_62538_ _63003_/A _62538_/B _62538_/C VGND VGND VPWR VPWR _62539_/C sky130_fd_sc_hd__nor3_2
+XFILLER_479_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81372_ _81338_/CLK _72662_/Y VGND VGND VPWR VPWR _72661_/C sky130_fd_sc_hd__dfxtp_4
+X_69094_ _69512_/A _69090_/Y _69094_/C VGND VGND VPWR VPWR _69094_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_377_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_368_255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_659_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_383_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68045_ _68045_/A _67545_/B VGND VGND VPWR VPWR _68046_/C sky130_fd_sc_hd__nor2_2
+X_80323_ _80321_/CLK _80323_/D VGND VGND VPWR VPWR _80323_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_555_2521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_53271_ _77326_/Q _53271_/B VGND VGND VPWR VPWR _53273_/A sky130_fd_sc_hd__nand2_2
+X_65257_ _65029_/X _65257_/B VGND VGND VPWR VPWR _65291_/B sky130_fd_sc_hd__nor2_2
+XFILLER_148_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_2787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_258_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50483_ _61898_/A _50486_/B VGND VGND VPWR VPWR _50483_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_516_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_492_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_62469_ _62469_/A VGND VGND VPWR VPWR _62787_/A sky130_fd_sc_hd__buf_1
+XFILLER_526_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_378_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_301_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55010_ _76863_/Q _54998_/B VGND VGND VPWR VPWR _55010_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_368_90 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_109_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52222_ _54133_/A _52122_/B VGND VGND VPWR VPWR _52222_/X sky130_fd_sc_hd__or2_2
+XFILLER_715_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64208_ _64208_/A VGND VGND VPWR VPWR _66083_/A sky130_fd_sc_hd__buf_1
+XFILLER_672_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80254_ _80332_/CLK _38927_/Y VGND VGND VPWR VPWR _80254_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_30_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65188_ _80307_/Q _65540_/B VGND VGND VPWR VPWR _65189_/C sky130_fd_sc_hd__nor2_2
+XFILLER_553_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_157_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_178_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_378_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_492_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52153_ _51399_/A VGND VGND VPWR VPWR _52758_/A sky130_fd_sc_hd__buf_1
+X_64139_ _64472_/A VGND VGND VPWR VPWR _68364_/A sky130_fd_sc_hd__buf_1
+XFILLER_536_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80185_ _80187_/CLK _80185_/D VGND VGND VPWR VPWR _66196_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_258_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69996_ _69996_/A VGND VGND VPWR VPWR _70629_/A sky130_fd_sc_hd__inv_8
+XFILLER_356_2085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_454_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51104_ _77895_/Q _51110_/B VGND VGND VPWR VPWR _51104_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_490_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_419_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_3004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_135_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_2_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52084_ _77639_/Q _52087_/B VGND VGND VPWR VPWR _52084_/Y sky130_fd_sc_hd__nand2_2
+X_56961_ _56961_/A _56886_/B VGND VGND VPWR VPWR _56963_/B sky130_fd_sc_hd__nor2_2
+XFILLER_541_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68947_ _68277_/X _68945_/Y _68946_/Y VGND VGND VPWR VPWR _68947_/Y sky130_fd_sc_hd__nor3_2
+XPHY_21819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_293_1674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_274_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_219_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58700_ _58700_/A _58834_/B VGND VGND VPWR VPWR _58702_/B sky130_fd_sc_hd__nor2_2
+XFILLER_132_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55912_ _55912_/A _46031_/X VGND VGND VPWR VPWR _55918_/B sky130_fd_sc_hd__nor2_2
+XFILLER_173_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51035_ _51035_/A _51035_/B VGND VGND VPWR VPWR _51037_/A sky130_fd_sc_hd__nand2_2
+XFILLER_150_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_522_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_494_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_81_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59680_ _77096_/Q _59843_/B VGND VGND VPWR VPWR _59682_/B sky130_fd_sc_hd__nor2_2
+XFILLER_451_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_63_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_104_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56892_ _41491_/C _56641_/B VGND VGND VPWR VPWR _56894_/B sky130_fd_sc_hd__nor2_2
+XFILLER_707_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68878_ _80394_/Q _68878_/B VGND VGND VPWR VPWR _68879_/C sky130_fd_sc_hd__nor2_2
+XFILLER_314_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_125_0_u_core.clock clkbuf_7_62_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_251_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_10606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_98_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_185_0_u_core.clock clkbuf_8_92_0_u_core.clock/X VGND VGND VPWR VPWR _76843_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_707_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_655_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58631_ _79595_/Q _58765_/B VGND VGND VPWR VPWR _58632_/C sky130_fd_sc_hd__nor2_2
+XFILLER_28_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55843_ _49246_/A _55843_/B VGND VGND VPWR VPWR _55844_/B sky130_fd_sc_hd__or2_2
+XFILLER_271_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67829_ _67005_/A VGND VGND VPWR VPWR _68502_/A sky130_fd_sc_hd__buf_1
+XFILLER_287_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_664_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2260 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_549_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_73_0_u_core.clock clkbuf_7_36_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_73_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_210_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_466_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_252_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_369_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_111_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39728_ _39726_/X _39727_/Y VGND VGND VPWR VPWR _80048_/D sky130_fd_sc_hd__nand2_2
+X_58562_ _58220_/X _58562_/B VGND VGND VPWR VPWR _58579_/B sky130_fd_sc_hd__nor2_2
+X_70840_ _70671_/Y _70736_/Y _70839_/X VGND VGND VPWR VPWR _70840_/X sky130_fd_sc_hd__or3_2
+XFILLER_699_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_57_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55774_ _53059_/A _55792_/B VGND VGND VPWR VPWR _55775_/B sky130_fd_sc_hd__or2_2
+XFILLER_286_991 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52986_ _52984_/Y _52985_/X VGND VGND VPWR VPWR _52986_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_264_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_2722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57513_ _57513_/A VGND VGND VPWR VPWR _57514_/B sky130_fd_sc_hd__buf_1
+XPHY_5213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_566_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54725_ _54810_/A _54722_/B VGND VGND VPWR VPWR _54725_/X sky130_fd_sc_hd__or2_2
+XFILLER_96_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51937_ _51937_/A _51937_/B VGND VGND VPWR VPWR _51937_/Y sky130_fd_sc_hd__nand2_2
+X_39659_ _39156_/A _39647_/B VGND VGND VPWR VPWR _39659_/X sky130_fd_sc_hd__or2_2
+XPHY_19604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58493_ _58568_/A _58491_/Y _58492_/Y VGND VGND VPWR VPWR _58493_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_18_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70771_ _76646_/Q _70771_/B VGND VGND VPWR VPWR _70773_/A sky130_fd_sc_hd__nand2_2
+XFILLER_226_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_1633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_701_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72510_ _72564_/A VGND VGND VPWR VPWR _72521_/B sky130_fd_sc_hd__buf_1
+XPHY_5257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_131_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_403 _54236_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_287_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57444_ _56638_/X VGND VGND VPWR VPWR _57444_/X sky130_fd_sc_hd__buf_1
+XPHY_37005 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42670_ _42626_/A _42662_/Y _42669_/Y VGND VGND VPWR VPWR _42670_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_543_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54656_ _54654_/Y _54655_/X VGND VGND VPWR VPWR _54656_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_265_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_699_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_525_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73490_ _74948_/A VGND VGND VPWR VPWR _74944_/A sky130_fd_sc_hd__buf_1
+XANTENNA_414 _56304_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_265_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_57_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_426_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_73_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37016 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51868_ _77697_/Q _51853_/X VGND VGND VPWR VPWR _51868_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_406_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_380_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_425 _58463_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_465_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_450_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_422_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37027 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_434_498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_436 _59110_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_260_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_35_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37038 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_3006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_447 _62226_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_53607_ _53605_/Y _53607_/B VGND VGND VPWR VPWR _77239_/D sky130_fd_sc_hd__nand2_2
+X_41621_ _42886_/A _41624_/B _79570_/Q VGND VGND VPWR VPWR _41622_/B sky130_fd_sc_hd__nand3_2
+XFILLER_148_1541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37049 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72441_ _72441_/A _72440_/Y VGND VGND VPWR VPWR _81430_/D sky130_fd_sc_hd__nand2_2
+XPHY_4567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50819_ _50817_/A VGND VGND VPWR VPWR _50823_/B sky130_fd_sc_hd__buf_1
+XANTENNA_458 _61749_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57375_ _57135_/A _57375_/B _57374_/Y VGND VGND VPWR VPWR _57375_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_599_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_603_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54587_ _60108_/A _54590_/B VGND VGND VPWR VPWR _54589_/A sky130_fd_sc_hd__nand2_2
+XANTENNA_469 _64495_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_208_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_260_368 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51799_ _51708_/A _51817_/B VGND VGND VPWR VPWR _51800_/B sky130_fd_sc_hd__or2_2
+XFILLER_341_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_560_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59114_ _59114_/A _64003_/B VGND VGND VPWR VPWR _59114_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_348_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44340_ _79230_/Q VGND VGND VPWR VPWR _44344_/A sky130_fd_sc_hd__inv_8
+XPHY_3866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56326_ _56698_/A VGND VGND VPWR VPWR _56541_/B sky130_fd_sc_hd__buf_1
+XFILLER_306_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_75160_ _75149_/A _75159_/X _75160_/C VGND VGND VPWR VPWR _75160_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_497_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41552_ _41548_/X _41538_/B _41552_/C VGND VGND VPWR VPWR _41552_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_70_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53538_ _60059_/A _53542_/B VGND VGND VPWR VPWR _53541_/A sky130_fd_sc_hd__nand2_2
+XFILLER_109_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72372_ _72372_/A _72372_/B VGND VGND VPWR VPWR _81449_/D sky130_fd_sc_hd__nand2_2
+XPHY_3877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_341_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_334_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_423_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_677_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74111_ _74007_/A VGND VGND VPWR VPWR _74127_/B sky130_fd_sc_hd__buf_1
+XFILLER_35_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40503_ _40503_/A _40503_/B _56583_/A VGND VGND VPWR VPWR _40504_/B sky130_fd_sc_hd__nand3_2
+XPHY_25124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_693_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59045_ _59045_/A VGND VGND VPWR VPWR _59678_/B sky130_fd_sc_hd__buf_1
+XFILLER_51_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71323_ _71461_/A _71322_/X VGND VGND VPWR VPWR _71323_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_298_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_35_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44271_ _73236_/A _44239_/B VGND VGND VPWR VPWR _44274_/A sky130_fd_sc_hd__nor2_2
+XFILLER_322_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56257_ _64364_/A _58834_/B VGND VGND VPWR VPWR _56257_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_724_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75091_ _75091_/A VGND VGND VPWR VPWR _75103_/A sky130_fd_sc_hd__buf_1
+XFILLER_478_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_53469_ _53478_/A VGND VGND VPWR VPWR _53493_/B sky130_fd_sc_hd__buf_1
+XFILLER_400_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41483_ _41486_/A _41486_/B _57047_/A VGND VGND VPWR VPWR _41483_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_655_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_167_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_651_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_122_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_185_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46010_ _42819_/B _45798_/C VGND VGND VPWR VPWR _46010_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_202_2450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_2286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43222_ _43219_/A _43222_/B _43221_/Y VGND VGND VPWR VPWR _43222_/Y sky130_fd_sc_hd__nor3_2
+X_55208_ _55171_/A _55201_/Y _55207_/Y VGND VGND VPWR VPWR _55210_/A sky130_fd_sc_hd__nor3_2
+XFILLER_636_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74042_ _74047_/A _74026_/B _68079_/A VGND VGND VPWR VPWR _74042_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_393_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40434_ _40429_/A _40429_/B _57791_/A VGND VGND VPWR VPWR _40435_/B sky130_fd_sc_hd__nand3_2
+XFILLER_298_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_25179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71254_ _71253_/X VGND VGND VPWR VPWR _71254_/X sky130_fd_sc_hd__buf_1
+XFILLER_417_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_319_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56188_ _45060_/A _56085_/A VGND VGND VPWR VPWR _56189_/B sky130_fd_sc_hd__nor2_2
+XFILLER_491_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_51_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_53_0_u_core.clock clkbuf_9_53_0_u_core.clock/A VGND VGND VPWR VPWR _77540_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_671_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_590_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2638 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_534_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_519_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70205_ _69976_/Y VGND VGND VPWR VPWR _70206_/A sky130_fd_sc_hd__buf_1
+XPHY_23733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43153_ _43148_/A VGND VGND VPWR VPWR _43153_/Y sky130_fd_sc_hd__inv_8
+XFILLER_87_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_178_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55139_ _55108_/B VGND VGND VPWR VPWR _55139_/Y sky130_fd_sc_hd__inv_8
+XPHY_13210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_617_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78850_ _78867_/CLK _78850_/D VGND VGND VPWR VPWR _63785_/A sky130_fd_sc_hd__dfxtp_4
+X_40365_ _40359_/A _40362_/B _64328_/A VGND VGND VPWR VPWR _40366_/B sky130_fd_sc_hd__nand3_2
+XFILLER_343_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71185_ _74689_/A VGND VGND VPWR VPWR _39757_/A sky130_fd_sc_hd__buf_1
+XFILLER_196_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_678_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_364_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_13232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42104_ _79523_/Q _42094_/B VGND VGND VPWR VPWR _42104_/Y sky130_fd_sc_hd__nor2_2
+X_77801_ _77790_/CLK _51483_/Y VGND VGND VPWR VPWR _77801_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_437_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_1582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_331_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_313_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70136_ _70136_/A VGND VGND VPWR VPWR _70136_/Y sky130_fd_sc_hd__inv_8
+XFILLER_721_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_688_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47961_ _47959_/Y _47960_/X VGND VGND VPWR VPWR _47961_/Y sky130_fd_sc_hd__nand2_2
+X_59947_ _59790_/X _59947_/B _59947_/C VGND VGND VPWR VPWR _59948_/C sky130_fd_sc_hd__nor3_2
+XPHY_23788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43084_ _43084_/A VGND VGND VPWR VPWR _43084_/Y sky130_fd_sc_hd__inv_8
+X_78781_ _78251_/CLK _78781_/D VGND VGND VPWR VPWR _47693_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_182_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40296_ _40290_/A _40301_/B _67633_/A VGND VGND VPWR VPWR _40297_/B sky130_fd_sc_hd__nand3_2
+XFILLER_343_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75993_ _75993_/A _76002_/B _75993_/C VGND VGND VPWR VPWR _75993_/Y sky130_fd_sc_hd__nand3_2
+XPHY_23799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_218_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_693_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49700_ _49700_/A _49700_/B VGND VGND VPWR VPWR _49700_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_29_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_567_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42035_ _45834_/A VGND VGND VPWR VPWR _42035_/Y sky130_fd_sc_hd__inv_8
+X_46912_ _46875_/X _71385_/B _71307_/B _46892_/X VGND VGND VPWR VPWR _46913_/B sky130_fd_sc_hd__o22a_4
+XPHY_13298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77732_ _77732_/CLK _77732_/D VGND VGND VPWR VPWR _61590_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_257_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_250_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_7_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74944_ _74944_/A _74952_/B _69437_/A VGND VGND VPWR VPWR _74947_/A sky130_fd_sc_hd__nand3_2
+XFILLER_313_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_70067_ _69966_/X _70066_/Y VGND VGND VPWR VPWR _70067_/X sky130_fd_sc_hd__or2_2
+XFILLER_233_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47892_ _47892_/A _47892_/B VGND VGND VPWR VPWR _78732_/D sky130_fd_sc_hd__nand2_2
+XFILLER_68_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59878_ _59878_/A VGND VGND VPWR VPWR _62237_/A sky130_fd_sc_hd__buf_1
+XPHY_11830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_11841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_231_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_411_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49631_ _62264_/A _49628_/B VGND VGND VPWR VPWR _49633_/A sky130_fd_sc_hd__nand2_2
+XFILLER_658_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_485_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46843_ _46838_/X _46843_/B VGND VGND VPWR VPWR _46843_/X sky130_fd_sc_hd__and2_2
+XPHY_11863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58829_ _58829_/A _58829_/B VGND VGND VPWR VPWR _58830_/B sky130_fd_sc_hd__nor2_2
+XFILLER_618_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77663_ _77663_/CLK _51994_/Y VGND VGND VPWR VPWR _60839_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_645_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_150_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74875_ _74875_/A _74875_/B VGND VGND VPWR VPWR _74875_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_725_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_42_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_24_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_1_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79402_ _79402_/CLK _79402_/D VGND VGND VPWR VPWR _79402_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_682_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76614_ VGND VGND VPWR VPWR _76614_/HI la_data_out[110] sky130_fd_sc_hd__conb_1
+XFILLER_567_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61840_ _61374_/A _61838_/Y _61840_/C VGND VGND VPWR VPWR _61841_/C sky130_fd_sc_hd__nor3_2
+X_73826_ _73824_/X _73825_/Y VGND VGND VPWR VPWR _73826_/Y sky130_fd_sc_hd__nand2_2
+X_49562_ _49559_/Y _49561_/X VGND VGND VPWR VPWR _78308_/D sky130_fd_sc_hd__nand2_2
+XFILLER_662_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46774_ _78960_/Q _46774_/B VGND VGND VPWR VPWR _46775_/B sky130_fd_sc_hd__nor2_2
+XFILLER_249_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_706_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77594_ _77880_/CLK _77594_/D VGND VGND VPWR VPWR _61223_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_633_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43986_ _43710_/B _43876_/A VGND VGND VPWR VPWR _43986_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_27_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7160 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7171 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_166_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_23_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7182 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48513_ _48602_/A _48500_/X VGND VGND VPWR VPWR _48514_/B sky130_fd_sc_hd__or2_2
+XFILLER_188_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79333_ _78940_/CLK _79333_/D VGND VGND VPWR VPWR _69868_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_654_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_37_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45725_ _70677_/Y _45715_/B VGND VGND VPWR VPWR _45728_/B sky130_fd_sc_hd__nor2_2
+XFILLER_526_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76545_ VGND VGND VPWR VPWR _76545_/HI la_data_out[41] sky130_fd_sc_hd__conb_1
+XPHY_7193 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_463_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42937_ _42937_/A _42948_/B VGND VGND VPWR VPWR _42937_/X sky130_fd_sc_hd__or2_2
+XFILLER_614_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61771_ _62083_/A _61771_/B _61771_/C VGND VGND VPWR VPWR _61775_/B sky130_fd_sc_hd__nor3_2
+X_49493_ _78323_/Q _49509_/B VGND VGND VPWR VPWR _49493_/Y sky130_fd_sc_hd__nand2_2
+X_73757_ _73755_/X _73756_/Y VGND VGND VPWR VPWR _73757_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_236_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70969_ _71939_/B VGND VGND VPWR VPWR _70973_/A sky130_fd_sc_hd__inv_8
+XFILLER_209_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_98_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_6481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_608_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63510_ _47681_/A _63908_/B VGND VGND VPWR VPWR _63512_/B sky130_fd_sc_hd__nor2_2
+XFILLER_452_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60722_ _60096_/A _60720_/Y _60721_/Y VGND VGND VPWR VPWR _60722_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48444_ _48442_/Y _48444_/B VGND VGND VPWR VPWR _78591_/D sky130_fd_sc_hd__nand2_2
+XFILLER_580_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_541_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72708_ _72708_/A _72708_/B VGND VGND VPWR VPWR _74276_/B sky130_fd_sc_hd__or2_2
+XFILLER_56_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79264_ _79268_/CLK _79264_/D VGND VGND VPWR VPWR _44009_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_149_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_127_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45656_ _43517_/A VGND VGND VPWR VPWR _45660_/A sky130_fd_sc_hd__inv_8
+XFILLER_185_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38240 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64490_ _76215_/C _64724_/B VGND VGND VPWR VPWR _64491_/C sky130_fd_sc_hd__nor2_2
+XFILLER_381_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_76476_ VGND VGND VPWR VPWR _76476_/HI io_oeb[36] sky130_fd_sc_hd__conb_1
+XFILLER_40_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_602_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42868_ _41848_/Y _42847_/X _41849_/Y _42848_/X VGND VGND VPWR VPWR _42868_/X sky130_fd_sc_hd__o22a_4
+XFILLER_63_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73688_ _73652_/A VGND VGND VPWR VPWR _73688_/X sky130_fd_sc_hd__buf_1
+XFILLER_397_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38251 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_2238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_263_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_52_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2442 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_38262 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78215_ _77689_/CLK _78215_/D VGND VGND VPWR VPWR _49903_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_406_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38273 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_320_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44607_ _44598_/B _44607_/B _44607_/C VGND VGND VPWR VPWR _44607_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_205_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75427_ _75572_/B VGND VGND VPWR VPWR _75444_/B sky130_fd_sc_hd__buf_1
+XFILLER_283_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63441_ _48595_/A _63716_/B VGND VGND VPWR VPWR _63442_/C sky130_fd_sc_hd__nor2_2
+XFILLER_463_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_166_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41819_ _47232_/A VGND VGND VPWR VPWR _41820_/A sky130_fd_sc_hd__buf_1
+XPHY_38284 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72639_ _72639_/A _72639_/B VGND VGND VPWR VPWR _81378_/D sky130_fd_sc_hd__nand2_2
+X_60653_ _60500_/A _60652_/Y VGND VGND VPWR VPWR _60653_/Y sky130_fd_sc_hd__nor2_2
+X_48375_ _48365_/X VGND VGND VPWR VPWR _48375_/X sky130_fd_sc_hd__buf_1
+XFILLER_504_1730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79195_ _79197_/CLK _79195_/D VGND VGND VPWR VPWR _44766_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_162_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1417 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_17_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45587_ _45581_/A _45587_/B _45587_/C VGND VGND VPWR VPWR _79129_/D sky130_fd_sc_hd__nor3_2
+XPHY_37550 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38295 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42799_ _42799_/A VGND VGND VPWR VPWR _45085_/A sky130_fd_sc_hd__buf_1
+XFILLER_127_1614 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_595_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37561 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_698_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_140_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37572 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47326_ _47512_/A VGND VGND VPWR VPWR _49240_/A sky130_fd_sc_hd__buf_1
+XFILLER_226_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66160_ _66160_/A _66151_/Y _66160_/C VGND VGND VPWR VPWR _66161_/B sky130_fd_sc_hd__nor3_2
+XPHY_37583 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78146_ _78138_/CLK _50166_/Y VGND VGND VPWR VPWR _78146_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_441_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_2806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44538_ _79219_/Q _44538_/B VGND VGND VPWR VPWR _44545_/B sky130_fd_sc_hd__nor2_2
+XFILLER_500_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63372_ _59920_/A VGND VGND VPWR VPWR _63659_/A sky130_fd_sc_hd__buf_1
+XFILLER_242_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75358_ _75355_/X _75358_/B VGND VGND VPWR VPWR _80705_/D sky130_fd_sc_hd__nand2_2
+XFILLER_92_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_220_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37594 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60584_ _60110_/A _60584_/B _60583_/Y VGND VGND VPWR VPWR _60584_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_109_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_260_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_92_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36860 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_602_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_539_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_299_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36871 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_716_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65111_ _65787_/A _65110_/Y VGND VGND VPWR VPWR _65111_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_207_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62323_ _62307_/Y _62322_/Y VGND VGND VPWR VPWR _62324_/B sky130_fd_sc_hd__nor2_2
+XPHY_36882 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74309_ _74307_/X _74308_/Y VGND VGND VPWR VPWR _74309_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_496_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_637_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_242_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66091_ _66091_/A _66091_/B VGND VGND VPWR VPWR _66092_/B sky130_fd_sc_hd__nor2_2
+XFILLER_92_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47257_ _55230_/A _47278_/B VGND VGND VPWR VPWR _47258_/B sky130_fd_sc_hd__or2_2
+XFILLER_277_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78077_ _78559_/CLK _50432_/Y VGND VGND VPWR VPWR _61738_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_36893 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44469_ _44321_/A _44468_/X VGND VGND VPWR VPWR _44470_/B sky130_fd_sc_hd__nor2_2
+X_75289_ _75278_/X _75280_/B _64866_/A VGND VGND VPWR VPWR _75290_/B sky130_fd_sc_hd__nand3_2
+XPHY_26370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_82_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_53_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_591_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_517_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_31_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46208_ _46682_/A _46208_/B _46205_/Y _46208_/D VGND VGND VPWR VPWR _79037_/D sky130_fd_sc_hd__nor4_2
+XFILLER_717_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65042_ _80755_/Q _65042_/B VGND VGND VPWR VPWR _65044_/B sky130_fd_sc_hd__nor2_2
+XFILLER_457_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77028_ _77028_/CLK _54409_/Y VGND VGND VPWR VPWR _54406_/A sky130_fd_sc_hd__dfxtp_4
+X_62254_ _62254_/A _62254_/B VGND VGND VPWR VPWR _62255_/B sky130_fd_sc_hd__nor2_2
+XFILLER_86_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47188_ _47111_/B VGND VGND VPWR VPWR _47189_/B sky130_fd_sc_hd__inv_8
+XFILLER_118_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_34_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_535_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_400_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_550_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_203_1579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_650_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_2749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_307_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61205_ _58903_/A VGND VGND VPWR VPWR _61206_/B sky130_fd_sc_hd__buf_1
+XFILLER_173_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46139_ _45026_/A _46711_/A VGND VGND VPWR VPWR _46139_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_380_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69850_ _42179_/A _42048_/A _69849_/Y VGND VGND VPWR VPWR _69857_/A sky130_fd_sc_hd__or3_2
+XFILLER_373_280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62185_ _62185_/A _61717_/B VGND VGND VPWR VPWR _62185_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_689_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_470_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_723_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_274_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_435_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_511_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68801_ _68801_/A _68801_/B VGND VGND VPWR VPWR _68801_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_511_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_117_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61136_ _61136_/A _60818_/B VGND VGND VPWR VPWR _61136_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_195_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38961_ _38961_/A _38960_/Y VGND VGND VPWR VPWR _80245_/D sky130_fd_sc_hd__nand2_2
+XFILLER_572_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69781_ _76680_/Q VGND VGND VPWR VPWR _69781_/Y sky130_fd_sc_hd__inv_8
+XFILLER_353_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_511_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66993_ _66828_/A _66993_/B _66993_/C VGND VGND VPWR VPWR _67004_/B sky130_fd_sc_hd__nor3_2
+X_78979_ _79100_/CLK _78979_/D _46688_/X VGND VGND VPWR VPWR _46647_/B sky130_fd_sc_hd__dfrtp_4
+XFILLER_86_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_272_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_64_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_522_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_132_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68732_ _68732_/A _69188_/B VGND VGND VPWR VPWR _68732_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_314_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65944_ _72553_/C _66116_/B VGND VGND VPWR VPWR _65944_/Y sky130_fd_sc_hd__nor2_2
+X_61067_ _60910_/A _61067_/B _61067_/C VGND VGND VPWR VPWR _61074_/B sky130_fd_sc_hd__nor3_2
+XFILLER_302_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38892_ _38503_/A _38876_/X VGND VGND VPWR VPWR _38894_/A sky130_fd_sc_hd__or2_2
+XFILLER_316_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_47_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_331_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_494_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_664_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_232_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2257 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_67_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_45_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_637_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60018_ _59543_/A _60018_/B _60018_/C VGND VGND VPWR VPWR _60029_/B sky130_fd_sc_hd__nor3_2
+XFILLER_331_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49829_ _49838_/A VGND VGND VPWR VPWR _49844_/B sky130_fd_sc_hd__buf_1
+XFILLER_233_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68663_ _68010_/A _68661_/Y _68663_/C VGND VGND VPWR VPWR _68669_/B sky130_fd_sc_hd__nor3_2
+X_80941_ _80911_/CLK _74411_/Y VGND VGND VPWR VPWR _69326_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_433_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_330_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65875_ _65875_/A _65875_/B _65874_/Y VGND VGND VPWR VPWR _66037_/C sky130_fd_sc_hd__or3_2
+XFILLER_28_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_661_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_3009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_411_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_436_719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_64_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_86_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67614_ _67286_/X _67611_/Y _67614_/C VGND VGND VPWR VPWR _67614_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_721_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52840_ _52838_/Y _52839_/X VGND VGND VPWR VPWR _52840_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_528_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64826_ _64365_/A _64824_/Y _64826_/C VGND VGND VPWR VPWR _64826_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_386_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80872_ _80725_/CLK _80872_/D VGND VGND VPWR VPWR _74703_/C sky130_fd_sc_hd__dfxtp_4
+X_68594_ _69071_/A _68594_/B VGND VGND VPWR VPWR _68595_/C sky130_fd_sc_hd__nor2_2
+XFILLER_636_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_544_2222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_288_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_284_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_585_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39513_ _39505_/A _39505_/B _58531_/A VGND VGND VPWR VPWR _39513_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_416_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67545_ _80578_/Q _67545_/B VGND VGND VPWR VPWR _67546_/C sky130_fd_sc_hd__nor2_2
+XFILLER_427_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_622_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52771_ _77457_/Q _52756_/X VGND VGND VPWR VPWR _52774_/A sky130_fd_sc_hd__nand2_2
+XFILLER_329_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64757_ _64757_/A _64757_/B _64757_/C VGND VGND VPWR VPWR _64775_/A sky130_fd_sc_hd__nor3_2
+XFILLER_93_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_27_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_184_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_3077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_364_2332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61969_ _61969_/A _61347_/B VGND VGND VPWR VPWR _61970_/C sky130_fd_sc_hd__nor2_2
+XFILLER_329_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_417_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_544_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54510_ _54508_/Y _54509_/X VGND VGND VPWR VPWR _54510_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_266_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51722_ _51722_/A _51722_/B VGND VGND VPWR VPWR _51722_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_167_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39444_ _39426_/A VGND VGND VPWR VPWR _39445_/B sky130_fd_sc_hd__buf_1
+XFILLER_405_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_282_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63708_ _63708_/A _63839_/B VGND VGND VPWR VPWR _63708_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_58_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_383_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_522_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_313_0_u_core.clock clkbuf_9_313_0_u_core.clock/A VGND VGND VPWR VPWR _81305_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_67476_ _67158_/A _67473_/Y _67475_/Y VGND VGND VPWR VPWR _67483_/B sky130_fd_sc_hd__nor3_2
+X_55490_ _55490_/A _55489_/X VGND VGND VPWR VPWR _55490_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_389_829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_626_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64688_ _65017_/A _64679_/Y _64688_/C VGND VGND VPWR VPWR _64689_/B sky130_fd_sc_hd__nor3_2
+XFILLER_632_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_1604 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69215_ _69353_/A _69213_/Y _69214_/Y VGND VGND VPWR VPWR _69215_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_247_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54441_ _77018_/Q _54448_/B VGND VGND VPWR VPWR _54444_/A sky130_fd_sc_hd__nand2_2
+XFILLER_70_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66427_ _66427_/A _66424_/Y _66427_/C VGND VGND VPWR VPWR _66428_/C sky130_fd_sc_hd__nor3_2
+XFILLER_74_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_522_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39375_ _39397_/A VGND VGND VPWR VPWR _39384_/B sky130_fd_sc_hd__buf_1
+X_51653_ _51563_/A _51668_/B VGND VGND VPWR VPWR _51653_/X sky130_fd_sc_hd__or2_2
+XPHY_3129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_4_15_1_u_core.clock clkbuf_4_15_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_5_31_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_17509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63639_ _63639_/A _63901_/B VGND VGND VPWR VPWR _63641_/B sky130_fd_sc_hd__nor2_2
+XFILLER_82_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_243_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_75_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_403_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1841 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_620_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_449_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_364_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_325_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_162_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38326_ _38323_/A _38322_/X _80404_/Q VGND VGND VPWR VPWR _38327_/B sky130_fd_sc_hd__nand3_2
+XFILLER_397_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50604_ _61875_/A _50607_/B VGND VGND VPWR VPWR _50604_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_596_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57160_ _57160_/A _57160_/B VGND VGND VPWR VPWR _57162_/B sky130_fd_sc_hd__nor2_2
+XFILLER_243_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81424_ _81425_/CLK _72461_/Y VGND VGND VPWR VPWR _64596_/A sky130_fd_sc_hd__dfxtp_4
+X_69146_ _69145_/Y _68680_/B VGND VGND VPWR VPWR _69146_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_9_482_0_u_core.clock clkbuf_9_483_0_u_core.clock/A VGND VGND VPWR VPWR _80304_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_16808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54372_ _54402_/B VGND VGND VPWR VPWR _54396_/B sky130_fd_sc_hd__buf_1
+X_66358_ _66358_/A _67010_/B VGND VGND VPWR VPWR _66358_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_303_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51584_ _51584_/A _51567_/X VGND VGND VPWR VPWR _51584_/X sky130_fd_sc_hd__or2_2
+XFILLER_165_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_694_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_74_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_592_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_596_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_306_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_586_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_396_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_577_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_32_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56111_ _56111_/A _45371_/B VGND VGND VPWR VPWR _56116_/B sky130_fd_sc_hd__nor2_2
+XFILLER_405_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_250_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_718_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53323_ _53312_/A VGND VGND VPWR VPWR _53344_/B sky130_fd_sc_hd__buf_1
+XPHY_34209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65309_ _65132_/A _65305_/Y _65309_/C VGND VGND VPWR VPWR _65309_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_221_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_573_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_143_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_706_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38257_ _38255_/X _38257_/B VGND VGND VPWR VPWR _38257_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_342_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50535_ _50418_/X _50541_/B VGND VGND VPWR VPWR _50536_/B sky130_fd_sc_hd__or2_2
+X_57091_ _57408_/A _57087_/Y _57091_/C VGND VGND VPWR VPWR _57091_/Y sky130_fd_sc_hd__nor3_2
+X_81355_ _81354_/CLK _81355_/D VGND VGND VPWR VPWR _72726_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_503_1295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69077_ _69077_/A _69355_/B VGND VGND VPWR VPWR _69078_/C sky130_fd_sc_hd__nor2_2
+XPHY_1738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_555_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66289_ _66122_/A _66289_/B _66288_/Y VGND VGND VPWR VPWR _66289_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_356_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_429_0_u_core.clock clkbuf_9_429_0_u_core.clock/A VGND VGND VPWR VPWR _80121_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_50_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_538_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_203_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80306_ _80332_/CLK _38729_/Y VGND VGND VPWR VPWR _80306_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_400_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_295_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_71_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56042_ _55988_/A _56042_/B _56041_/Y VGND VGND VPWR VPWR _56043_/B sky130_fd_sc_hd__or3_2
+XFILLER_210_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68028_ _80645_/Q _67524_/B VGND VGND VPWR VPWR _68030_/B sky130_fd_sc_hd__nor2_2
+XFILLER_299_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53254_ _53251_/Y _53254_/B VGND VGND VPWR VPWR _77332_/D sky130_fd_sc_hd__nand2_2
+XFILLER_221_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_672_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_33519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_104_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50466_ _50466_/A _50466_/B VGND VGND VPWR VPWR _78068_/D sky130_fd_sc_hd__nand2_2
+XFILLER_195_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81286_ _79395_/CLK _73022_/Y VGND VGND VPWR VPWR _81286_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_148_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_590_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_393_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52205_ _52167_/A _52214_/B VGND VGND VPWR VPWR _52206_/B sky130_fd_sc_hd__or2_2
+XFILLER_52_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_365_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_713_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_30_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80237_ _80238_/CLK _80237_/D VGND VGND VPWR VPWR _38989_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_702_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53185_ _77350_/Q _53179_/B VGND VGND VPWR VPWR _53185_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_164_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_590_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_555_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_87_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50397_ _48452_/X _50369_/B VGND VGND VPWR VPWR _50401_/A sky130_fd_sc_hd__or2_2
+XFILLER_248_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_163_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_498_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59801_ _59801_/A _59801_/B VGND VGND VPWR VPWR _59801_/Y sky130_fd_sc_hd__nor2_2
+XPHY_22328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40150_ _40205_/A VGND VGND VPWR VPWR _40150_/X sky130_fd_sc_hd__buf_1
+XFILLER_703_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52136_ _52051_/A _52142_/B VGND VGND VPWR VPWR _52137_/B sky130_fd_sc_hd__or2_2
+XPHY_22339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_412_3034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_375_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80168_ _80200_/CLK _39282_/Y VGND VGND VPWR VPWR _80168_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_21605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_271_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57993_ _57993_/A VGND VGND VPWR VPWR _58085_/A sky130_fd_sc_hd__buf_1
+X_69979_ _79475_/Q VGND VGND VPWR VPWR _70285_/A sky130_fd_sc_hd__inv_8
+XFILLER_688_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_318_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_117_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_1832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59732_ _60051_/A _59732_/B _59732_/C VGND VGND VPWR VPWR _59732_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_412_2333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40081_ _40081_/A _40080_/Y VGND VGND VPWR VPWR _40081_/Y sky130_fd_sc_hd__nand2_2
+X_56944_ _80310_/Q _57095_/B VGND VGND VPWR VPWR _56946_/B sky130_fd_sc_hd__nor2_2
+X_52067_ _52067_/A _52067_/B VGND VGND VPWR VPWR _52070_/A sky130_fd_sc_hd__nand2_2
+XPHY_20904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1564 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72990_ _72987_/A VGND VGND VPWR VPWR _73102_/B sky130_fd_sc_hd__buf_1
+X_80099_ _80099_/CLK _80099_/D VGND VGND VPWR VPWR _57967_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_176_1291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_236_2590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_173_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_666_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_117_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_11148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_486_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51018_ _49352_/A VGND VGND VPWR VPWR _51019_/A sky130_fd_sc_hd__buf_1
+XFILLER_85_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_486_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71941_ _71078_/X _71937_/Y _71940_/Y VGND VGND VPWR VPWR _71941_/X sky130_fd_sc_hd__or3_2
+XFILLER_81_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59663_ _59825_/A _59663_/B _59663_/C VGND VGND VPWR VPWR _59664_/C sky130_fd_sc_hd__nor3_2
+XPHY_10425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_78_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56875_ _80949_/Q _56779_/B VGND VGND VPWR VPWR _56875_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_310_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_439_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_683_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58614_ _72849_/C _58471_/B VGND VGND VPWR VPWR _58614_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_725_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43840_ _43840_/A VGND VGND VPWR VPWR _43849_/B sky130_fd_sc_hd__buf_1
+XFILLER_625_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55826_ _55826_/A VGND VGND VPWR VPWR _55830_/B sky130_fd_sc_hd__buf_1
+XFILLER_655_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74660_ _74670_/A _74660_/B _64205_/A VGND VGND VPWR VPWR _74661_/B sky130_fd_sc_hd__nand3_2
+XPHY_10469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59594_ _59594_/A VGND VGND VPWR VPWR _59595_/B sky130_fd_sc_hd__buf_1
+X_71872_ _71150_/A _71872_/B VGND VGND VPWR VPWR _71872_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_615_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_549_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_1706 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_330_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_93_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_644_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_447_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_429_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_59_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73611_ _73623_/A _73614_/B _73611_/C VGND VGND VPWR VPWR _73611_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_189_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_636_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58545_ _58217_/A _58545_/B VGND VGND VPWR VPWR _58546_/C sky130_fd_sc_hd__nor2_2
+X_70823_ _70823_/A _70059_/A VGND VGND VPWR VPWR _70823_/X sky130_fd_sc_hd__or2_2
+XFILLER_670_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_467_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43771_ _43765_/Y _43770_/X VGND VGND VPWR VPWR _43771_/Y sky130_fd_sc_hd__nor2_2
+X_55757_ _55757_/A _55757_/B VGND VGND VPWR VPWR _55757_/Y sky130_fd_sc_hd__nand2_2
+XPHY_5010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_647_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74591_ _74591_/A _74590_/Y VGND VGND VPWR VPWR _74591_/Y sky130_fd_sc_hd__nand2_2
+X_52969_ _52994_/B VGND VGND VPWR VPWR _52984_/B sky130_fd_sc_hd__buf_1
+X_40983_ _40981_/X _40982_/Y VGND VGND VPWR VPWR _40983_/Y sky130_fd_sc_hd__nand2_2
+XPHY_29924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_98_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_347_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_435_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_185_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45510_ _45520_/A _45510_/B _45509_/Y VGND VGND VPWR VPWR _79147_/D sky130_fd_sc_hd__nor3_2
+XPHY_29946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76330_ _76330_/A _76333_/B VGND VGND VPWR VPWR _76330_/X sky130_fd_sc_hd__or2_2
+XPHY_5043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_408_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54708_ _60112_/A _54718_/B VGND VGND VPWR VPWR _54710_/A sky130_fd_sc_hd__nand2_2
+XFILLER_265_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42722_ _42702_/A _42722_/B _42721_/Y VGND VGND VPWR VPWR _79462_/D sky130_fd_sc_hd__nor3_2
+X_73542_ _73662_/A _73545_/B VGND VGND VPWR VPWR _73542_/X sky130_fd_sc_hd__or2_2
+XFILLER_435_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_249_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46490_ _46490_/A _46570_/A VGND VGND VPWR VPWR _46492_/B sky130_fd_sc_hd__nor2_2
+X_70754_ _69709_/X _69904_/A _69890_/X _70753_/X VGND VGND VPWR VPWR _70754_/X sky130_fd_sc_hd__o22a_4
+XFILLER_603_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_200 _76224_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_562_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58476_ _68784_/A _58227_/X VGND VGND VPWR VPWR _58477_/C sky130_fd_sc_hd__nor2_2
+XFILLER_407_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_18_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55688_ _55688_/A _55688_/B VGND VGND VPWR VPWR _55688_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_218_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_19445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_596_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_211 _74491_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_423_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_287_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_265_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_226_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_2837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_445_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_29979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_445_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_opt_7_u_core.clock _79559_/CLK VGND VGND VPWR VPWR _79016_/CLK sky130_fd_sc_hd__clkbuf_16
+XFILLER_382_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_549_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_222 _76089_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_109_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_4342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_233 _76168_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_540_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45441_ _55093_/C VGND VGND VPWR VPWR _45442_/A sky130_fd_sc_hd__buf_1
+XFILLER_450_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57427_ _56332_/A VGND VGND VPWR VPWR _57427_/X sky130_fd_sc_hd__buf_1
+XFILLER_163_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76261_ _76261_/A _76272_/B VGND VGND VPWR VPWR _76261_/X sky130_fd_sc_hd__or2_2
+XFILLER_423_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42653_ _66864_/A _42664_/B VGND VGND VPWR VPWR _42660_/A sky130_fd_sc_hd__nor2_2
+XFILLER_699_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54639_ _54639_/A VGND VGND VPWR VPWR _54654_/B sky130_fd_sc_hd__buf_1
+XFILLER_57_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_244 _38508_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_73473_ _73484_/A _73477_/B _81175_/Q VGND VGND VPWR VPWR _73476_/A sky130_fd_sc_hd__nand3_2
+XFILLER_76_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70685_ _70684_/X VGND VGND VPWR VPWR _70685_/X sky130_fd_sc_hd__buf_1
+XFILLER_265_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_255 _38590_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_441_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_359_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_266 _40565_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78000_ _77998_/CLK _78000_/D VGND VGND VPWR VPWR _62185_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_4386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75212_ _75210_/X _75211_/Y VGND VGND VPWR VPWR _80744_/D sky130_fd_sc_hd__nand2_2
+XFILLER_677_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41604_ _41604_/A _41604_/B VGND VGND VPWR VPWR _79575_/D sky130_fd_sc_hd__nand2_2
+XANTENNA_277 _41919_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_243_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48160_ _48159_/X _48164_/B VGND VGND VPWR VPWR _48160_/X sky130_fd_sc_hd__or2_2
+XPHY_3652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72424_ _72666_/A _72428_/B VGND VGND VPWR VPWR _72424_/X sky130_fd_sc_hd__or2_2
+XFILLER_423_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1719 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57358_ _80251_/Q _57283_/B VGND VGND VPWR VPWR _57359_/C sky130_fd_sc_hd__nor2_2
+X_45372_ _76746_/Q VGND VGND VPWR VPWR _45373_/A sky130_fd_sc_hd__inv_8
+XANTENNA_288 _45537_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76192_ _76320_/A _76184_/B VGND VGND VPWR VPWR _76192_/X sky130_fd_sc_hd__or2_2
+XFILLER_595_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42584_ _42584_/A VGND VGND VPWR VPWR _67854_/A sky130_fd_sc_hd__inv_8
+XFILLER_673_2317 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_548_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_388_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_299 _47417_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_41_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_716_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_592_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_243_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_1809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_202_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47111_ _46401_/B _47111_/B VGND VGND VPWR VPWR _47112_/B sky130_fd_sc_hd__or2_2
+XFILLER_348_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_56309_ _38738_/C _56309_/B VGND VGND VPWR VPWR _56313_/B sky130_fd_sc_hd__nor2_2
+X_44323_ _73262_/X VGND VGND VPWR VPWR _44324_/A sky130_fd_sc_hd__inv_8
+XPHY_3696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75143_ _75140_/X _75142_/Y VGND VGND VPWR VPWR _80762_/D sky130_fd_sc_hd__nand2_2
+XFILLER_493_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_395_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41535_ _41294_/A _41527_/B VGND VGND VPWR VPWR _41535_/X sky130_fd_sc_hd__or2_2
+XPHY_35444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48091_ _48089_/Y _48090_/X VGND VGND VPWR VPWR _48091_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_278_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72355_ _72353_/X _72355_/B VGND VGND VPWR VPWR _81453_/D sky130_fd_sc_hd__nand2_2
+XFILLER_306_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57289_ _57281_/Y _57289_/B VGND VGND VPWR VPWR _57290_/B sky130_fd_sc_hd__nor2_2
+XFILLER_259_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_35466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_9_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47042_ _70662_/X _47009_/B VGND VGND VPWR VPWR _47043_/C sky130_fd_sc_hd__nor2_2
+XFILLER_220_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59028_ _58974_/X VGND VGND VPWR VPWR _59029_/A sky130_fd_sc_hd__buf_1
+X_71306_ _46904_/A VGND VGND VPWR VPWR _71307_/B sky130_fd_sc_hd__inv_8
+XFILLER_186_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44254_ _44268_/A _44251_/Y _44254_/C VGND VGND VPWR VPWR _44255_/C sky130_fd_sc_hd__nor3_2
+Xclkbuf_6_31_0_u_core.clock clkbuf_6_30_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_63_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_24220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75074_ _75083_/A VGND VGND VPWR VPWR _75115_/A sky130_fd_sc_hd__buf_1
+XFILLER_524_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79951_ _79921_/CLK _40125_/Y VGND VGND VPWR VPWR _64354_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_196_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41466_ _41466_/A _41466_/B VGND VGND VPWR VPWR _79612_/D sky130_fd_sc_hd__nand2_2
+XPHY_34754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72286_ _72284_/X _72285_/Y VGND VGND VPWR VPWR _81464_/D sky130_fd_sc_hd__nand2_2
+XFILLER_278_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_2269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_259_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_122_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_276_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_2725 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43205_ _43172_/Y _43177_/Y _43204_/Y VGND VGND VPWR VPWR _43205_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_632_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74025_ _74024_/X _74025_/B VGND VGND VPWR VPWR _74027_/A sky130_fd_sc_hd__or2_2
+XFILLER_393_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_356_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78902_ _78890_/CLK _47153_/Y VGND VGND VPWR VPWR _46337_/B sky130_fd_sc_hd__dfxtp_4
+XPHY_34787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40417_ _76121_/A VGND VGND VPWR VPWR _40417_/X sky130_fd_sc_hd__buf_1
+XFILLER_545_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_362_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71237_ _78935_/Q VGND VGND VPWR VPWR _71237_/Y sky130_fd_sc_hd__inv_8
+XFILLER_157_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_636_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44185_ _44185_/A _44180_/Y _44185_/C VGND VGND VPWR VPWR _79255_/D sky130_fd_sc_hd__nor3_2
+XFILLER_532_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79882_ _80010_/CLK _40392_/Y VGND VGND VPWR VPWR _68977_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_182_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_220_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41397_ _41509_/B VGND VGND VPWR VPWR _41401_/B sky130_fd_sc_hd__buf_1
+XFILLER_158_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_272_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_610_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_294_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_291_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_115_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_714_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43136_ _78954_/Q VGND VGND VPWR VPWR _46145_/B sky130_fd_sc_hd__inv_8
+XPHY_13040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78833_ _78403_/CLK _78833_/D VGND VGND VPWR VPWR _63661_/A sky130_fd_sc_hd__dfxtp_4
+X_40348_ _40348_/A _40341_/B VGND VGND VPWR VPWR _40350_/A sky130_fd_sc_hd__or2_2
+XFILLER_343_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71168_ _71081_/X _71167_/X VGND VGND VPWR VPWR _71169_/B sky130_fd_sc_hd__nor2_2
+XPHY_13051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48993_ _48991_/Y _48993_/B VGND VGND VPWR VPWR _78451_/D sky130_fd_sc_hd__nand2_2
+XFILLER_303_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_551_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_678_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_174_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_532_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70119_ _70119_/A VGND VGND VPWR VPWR _70119_/X sky130_fd_sc_hd__buf_1
+XFILLER_515_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47944_ _47699_/B VGND VGND VPWR VPWR _47945_/A sky130_fd_sc_hd__buf_1
+X_43067_ _43073_/A VGND VGND VPWR VPWR _43075_/B sky130_fd_sc_hd__buf_1
+XFILLER_177_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78764_ _77836_/CLK _47764_/Y VGND VGND VPWR VPWR _78764_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_13095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_332_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40279_ _40277_/X _40279_/B VGND VGND VPWR VPWR _40279_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_272_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63990_ _78588_/Q _63990_/B VGND VGND VPWR VPWR _63991_/C sky130_fd_sc_hd__nor2_2
+X_75976_ _75974_/X _75975_/Y VGND VGND VPWR VPWR _80552_/D sky130_fd_sc_hd__nand2_2
+XFILLER_589_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71099_ _69939_/X _71071_/Y _71077_/Y _71098_/X VGND VGND VPWR VPWR _42501_/B sky130_fd_sc_hd__o22a_4
+XFILLER_26_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_269_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_237_1675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_10 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_9_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_708_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42018_ _42030_/A _42012_/Y _42017_/Y VGND VGND VPWR VPWR _42018_/Y sky130_fd_sc_hd__nor3_2
+X_77715_ _77203_/CLK _77715_/D VGND VGND VPWR VPWR _51801_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_29_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74927_ _75409_/A _74930_/B VGND VGND VPWR VPWR _74927_/X sky130_fd_sc_hd__or2_2
+X_62941_ _78677_/Q _62627_/X VGND VGND VPWR VPWR _62942_/C sky130_fd_sc_hd__nor2_2
+XPHY_12394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_111_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47875_ _47843_/A _47869_/B VGND VGND VPWR VPWR _47876_/B sky130_fd_sc_hd__or2_2
+XFILLER_96_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_547_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78695_ _78202_/CLK _78695_/D VGND VGND VPWR VPWR _78695_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_665_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_457_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_634_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49614_ _49912_/A VGND VGND VPWR VPWR _49679_/B sky130_fd_sc_hd__buf_1
+XFILLER_296_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_268_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_541_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_458_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_11693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46826_ _46822_/Y _46826_/B _46737_/B VGND VGND VPWR VPWR _78943_/D sky130_fd_sc_hd__nor3_2
+XFILLER_469_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65660_ _56962_/A _66153_/B VGND VGND VPWR VPWR _65660_/Y sky130_fd_sc_hd__nor2_2
+X_77646_ _77716_/CLK _52061_/Y VGND VGND VPWR VPWR _77646_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_545_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_485_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62872_ _62091_/A VGND VGND VPWR VPWR _62875_/A sky130_fd_sc_hd__buf_1
+X_74858_ _75341_/A _74866_/B VGND VGND VPWR VPWR _74858_/X sky130_fd_sc_hd__or2_2
+XFILLER_506_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_10981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_250_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64611_ _65112_/A _64611_/B _64610_/Y VGND VGND VPWR VPWR _64692_/B sky130_fd_sc_hd__nor3_2
+XFILLER_615_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_1254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61823_ _61823_/A _61822_/Y VGND VGND VPWR VPWR _61823_/Y sky130_fd_sc_hd__nor2_2
+X_49545_ _62282_/A _49530_/X VGND VGND VPWR VPWR _49545_/Y sky130_fd_sc_hd__nand2_2
+X_73809_ _73805_/A _73809_/B _81087_/Q VGND VGND VPWR VPWR _73809_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_662_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65591_ _65591_/A _65590_/Y VGND VGND VPWR VPWR _65592_/B sky130_fd_sc_hd__nor2_2
+X_46757_ _43065_/B _46762_/A VGND VGND VPWR VPWR _46759_/B sky130_fd_sc_hd__nor2_2
+X_77577_ _78535_/CLK _77577_/D VGND VGND VPWR VPWR _77577_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_271_408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43969_ _43962_/B _43968_/Y _43956_/C VGND VGND VPWR VPWR _43969_/Y sky130_fd_sc_hd__nor3_2
+X_74789_ _74720_/A VGND VGND VPWR VPWR _74790_/B sky130_fd_sc_hd__buf_1
+XFILLER_545_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_92_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_64_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67330_ _67659_/A _67326_/Y _67329_/Y VGND VGND VPWR VPWR _67330_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_283_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_7_11_0_u_core.clock clkbuf_6_5_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_22_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_630_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79316_ _78919_/CLK _43616_/Y VGND VGND VPWR VPWR _71643_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_443_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_329_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45708_ _45661_/Y VGND VGND VPWR VPWR _45891_/A sky130_fd_sc_hd__buf_1
+X_64542_ _64171_/A VGND VGND VPWR VPWR _67746_/A sky130_fd_sc_hd__buf_1
+X_76528_ VGND VGND VPWR VPWR _76528_/HI la_data_out[20] sky130_fd_sc_hd__conb_1
+XFILLER_545_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61754_ _78229_/Q _61753_/X VGND VGND VPWR VPWR _61757_/B sky130_fd_sc_hd__nor2_2
+XFILLER_428_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49476_ _62126_/A _49482_/B VGND VGND VPWR VPWR _49478_/A sky130_fd_sc_hd__nand2_2
+XFILLER_368_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_266_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46688_ _46686_/A VGND VGND VPWR VPWR _46688_/X sky130_fd_sc_hd__buf_1
+XFILLER_209_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_614_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_441_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_129_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_621_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_545_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60705_ _77798_/Q _60388_/B VGND VGND VPWR VPWR _60706_/C sky130_fd_sc_hd__nor2_2
+X_48427_ _48398_/A _48448_/B VGND VGND VPWR VPWR _48428_/B sky130_fd_sc_hd__or2_2
+XFILLER_526_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67261_ _67261_/A _67261_/B VGND VGND VPWR VPWR _67261_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_283_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79247_ _79245_/CLK _79247_/D VGND VGND VPWR VPWR _79247_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_127_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45639_ _45642_/A _45639_/B _45638_/Y VGND VGND VPWR VPWR _45639_/Y sky130_fd_sc_hd__nor3_2
+XPHY_38070 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_604_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64473_ _65894_/A VGND VGND VPWR VPWR _64887_/A sky130_fd_sc_hd__buf_1
+XFILLER_326_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_307_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_76459_ VGND VGND VPWR VPWR _76459_/HI io_oeb[19] sky130_fd_sc_hd__conb_1
+XFILLER_94_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61685_ _61374_/A _61683_/Y _61685_/C VGND VGND VPWR VPWR _61685_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_149_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38081 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_500_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_20_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38092 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69000_ _69138_/A _69000_/B _68999_/Y VGND VGND VPWR VPWR _69000_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_343_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66212_ _66212_/A _66212_/B _66211_/Y VGND VGND VPWR VPWR _66374_/C sky130_fd_sc_hd__or3_2
+XFILLER_324_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39160_ _39156_/X _39160_/B VGND VGND VPWR VPWR _80195_/D sky130_fd_sc_hd__nand2_2
+X_63424_ _63832_/A _63424_/B _63423_/Y VGND VGND VPWR VPWR _63424_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_283_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_205_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_75_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60636_ _60317_/A _60636_/B _60635_/Y VGND VGND VPWR VPWR _60636_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_304_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48358_ _48358_/A _48340_/B VGND VGND VPWR VPWR _48360_/A sky130_fd_sc_hd__nand2_2
+XFILLER_695_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67192_ _67171_/Y _67192_/B VGND VGND VPWR VPWR _67193_/B sky130_fd_sc_hd__nor2_2
+XFILLER_359_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79178_ _79175_/CLK _79178_/D VGND VGND VPWR VPWR _44883_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_53_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_127_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_578_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37380 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37391 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_269_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_177_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_719_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_479_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47309_ _47299_/A VGND VGND VPWR VPWR _47329_/B sky130_fd_sc_hd__buf_1
+XFILLER_555_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78129_ _78129_/CLK _50226_/Y VGND VGND VPWR VPWR _78129_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_18_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66143_ _65973_/A _66143_/B _66142_/Y VGND VGND VPWR VPWR _66143_/Y sky130_fd_sc_hd__nor3_2
+X_39091_ _38604_/A _38984_/X VGND VGND VPWR VPWR _39093_/A sky130_fd_sc_hd__or2_2
+XFILLER_500_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63355_ _63906_/A _63355_/B _63354_/Y VGND VGND VPWR VPWR _63355_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_123_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_638_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48289_ _48394_/A _52150_/A VGND VGND VPWR VPWR _48294_/A sky130_fd_sc_hd__or2_2
+X_60567_ _59923_/A _60567_/B _60566_/Y VGND VGND VPWR VPWR _60567_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_634_2802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_222_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2445 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50320_ _62513_/A _50312_/X VGND VGND VPWR VPWR _50323_/A sky130_fd_sc_hd__nand2_2
+XFILLER_402_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62306_ _62002_/A _62306_/B _62306_/C VGND VGND VPWR VPWR _62307_/C sky130_fd_sc_hd__nor3_2
+X_81140_ _81081_/CLK _81140_/D VGND VGND VPWR VPWR _73607_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_457_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66074_ _66557_/A _66072_/Y _66073_/Y VGND VGND VPWR VPWR _66079_/B sky130_fd_sc_hd__nor3_2
+XFILLER_634_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_689_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_496_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63286_ _78551_/Q _63716_/B VGND VGND VPWR VPWR _63287_/C sky130_fd_sc_hd__nor2_2
+XFILLER_637_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60498_ _60498_/A _60498_/B _60497_/Y VGND VGND VPWR VPWR _60498_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_296_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_353_228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_140_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_238_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65025_ _44359_/A _65025_/B VGND VGND VPWR VPWR _65028_/A sky130_fd_sc_hd__nor2_2
+X_69902_ _69890_/A VGND VGND VPWR VPWR _69902_/Y sky130_fd_sc_hd__inv_8
+XFILLER_439_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_379_1192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_121_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_62237_ _62237_/A VGND VGND VPWR VPWR _62242_/A sky130_fd_sc_hd__buf_1
+X_50251_ _50251_/A _50250_/X VGND VGND VPWR VPWR _50251_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_716_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_81071_ _80751_/CLK _81071_/D VGND VGND VPWR VPWR _64201_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_457_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_192_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_523_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_216_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_179_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_145_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_8_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_290_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_650_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80022_ _80027_/CLK _80022_/D VGND VGND VPWR VPWR _39852_/C sky130_fd_sc_hd__dfxtp_4
+Xclkbuf_9_103_0_u_core.clock clkbuf_8_51_0_u_core.clock/X VGND VGND VPWR VPWR _77408_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_277_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_238_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_537_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69833_ _70580_/A _55913_/A _55930_/C VGND VGND VPWR VPWR _69837_/C sky130_fd_sc_hd__and3_2
+XFILLER_697_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50182_ _52093_/A _50061_/X VGND VGND VPWR VPWR _50208_/B sky130_fd_sc_hd__or2_2
+XFILLER_318_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_273_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62168_ _61700_/A _62168_/B _62167_/Y VGND VGND VPWR VPWR _62175_/B sky130_fd_sc_hd__nor3_2
+XFILLER_216_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_689_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_173_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_534_2991 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_431_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39993_ _39993_/A _39992_/Y VGND VGND VPWR VPWR _79987_/D sky130_fd_sc_hd__nand2_2
+XFILLER_350_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_372_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_331_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_306_177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_2910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_25_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_361_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_530_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61119_ _60650_/A _61115_/Y _61118_/Y VGND VGND VPWR VPWR _61119_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_710_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38944_ _38947_/A _38947_/B _80249_/Q VGND VGND VPWR VPWR _38944_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_216_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69764_ _70484_/B VGND VGND VPWR VPWR _69764_/X sky130_fd_sc_hd__buf_1
+XPHY_8608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54990_ _54990_/A _54989_/X VGND VGND VPWR VPWR _54990_/Y sky130_fd_sc_hd__nand2_2
+X_66976_ _79902_/Q _66640_/B VGND VGND VPWR VPWR _66977_/C sky130_fd_sc_hd__nor2_2
+XFILLER_638_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_212_0_u_core.clock clkbuf_7_106_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_425_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_62099_ _60201_/A VGND VGND VPWR VPWR _62099_/X sky130_fd_sc_hd__buf_1
+XFILLER_303_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_190_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_696_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_9_272_0_u_core.clock clkbuf_9_273_0_u_core.clock/A VGND VGND VPWR VPWR _76871_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_331_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_134_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68715_ _68557_/A _68715_/B _68715_/C VGND VGND VPWR VPWR _68716_/C sky130_fd_sc_hd__nor3_2
+XFILLER_287_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_449_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_251_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53941_ _53643_/A VGND VGND VPWR VPWR _53942_/A sky130_fd_sc_hd__buf_1
+XFILLER_366_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65927_ _66103_/A _65927_/B _65927_/C VGND VGND VPWR VPWR _65931_/B sky130_fd_sc_hd__nor3_2
+XFILLER_102_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7907 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38875_ _38875_/A VGND VGND VPWR VPWR _38913_/A sky130_fd_sc_hd__buf_1
+XFILLER_648_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_60_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1426 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69695_ _69716_/A _55945_/C _69695_/C VGND VGND VPWR VPWR _69696_/C sky130_fd_sc_hd__and3_2
+XFILLER_302_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7918 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_254 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_271_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7929 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_141_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_687_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_683_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56660_ _56200_/X _56612_/X _56659_/X _74527_/Y _56413_/X VGND VGND VPWR VPWR _56660_/Y
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_25_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80924_ _80923_/CLK _74485_/Y VGND VGND VPWR VPWR _66582_/A sky130_fd_sc_hd__dfxtp_4
+X_68646_ _67823_/A VGND VGND VPWR VPWR _68646_/X sky130_fd_sc_hd__buf_1
+XFILLER_116_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_219_0_u_core.clock clkbuf_9_219_0_u_core.clock/A VGND VGND VPWR VPWR _79201_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_75_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53872_ _53844_/A _53866_/B VGND VGND VPWR VPWR _53873_/B sky130_fd_sc_hd__or2_2
+XFILLER_60_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65858_ _80311_/Q _65695_/X VGND VGND VPWR VPWR _65859_/C sky130_fd_sc_hd__nor2_2
+XFILLER_366_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_112_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_429_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_99_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55611_ _71835_/A _55666_/B VGND VGND VPWR VPWR _55617_/A sky130_fd_sc_hd__or2_2
+XFILLER_386_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52823_ _52880_/A _52841_/B VGND VGND VPWR VPWR _52824_/B sky130_fd_sc_hd__or2_2
+XFILLER_86_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64809_ _67309_/A VGND VGND VPWR VPWR _65501_/B sky130_fd_sc_hd__buf_1
+XFILLER_417_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_2859 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80855_ _80826_/CLK _74782_/Y VGND VGND VPWR VPWR _80855_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_491_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56591_ _56759_/A _56591_/B VGND VGND VPWR VPWR _56591_/Y sky130_fd_sc_hd__nor2_2
+X_68577_ _68738_/A _68577_/B _68577_/C VGND VGND VPWR VPWR _68593_/A sky130_fd_sc_hd__nor3_2
+XFILLER_461_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_274_268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_383_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_451_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65789_ _64939_/X VGND VGND VPWR VPWR _65798_/A sky130_fd_sc_hd__buf_1
+XFILLER_491_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_680_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_724_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_2183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_216_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_600_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58330_ _68441_/A _58330_/B VGND VGND VPWR VPWR _58332_/B sky130_fd_sc_hd__nor2_2
+XFILLER_243_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_464_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_388_0_u_core.clock clkbuf_9_389_0_u_core.clock/A VGND VGND VPWR VPWR _81190_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_77_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55542_ _55538_/Y _55541_/Y VGND VGND VPWR VPWR _55660_/C sky130_fd_sc_hd__or2_2
+XFILLER_704_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67528_ _67528_/A _67040_/B VGND VGND VPWR VPWR _67530_/B sky130_fd_sc_hd__nor2_2
+XFILLER_347_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52754_ _52752_/Y _52753_/X VGND VGND VPWR VPWR _52754_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_93_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_249_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_432_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_604_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_41_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80786_ _81326_/CLK _75050_/Y VGND VGND VPWR VPWR _64876_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_215_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_344_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_598_2738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2015 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_18018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_284_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51705_ _51705_/A _51710_/B VGND VGND VPWR VPWR _51709_/A sky130_fd_sc_hd__nand2_2
+X_39427_ _39167_/A _39437_/B VGND VGND VPWR VPWR _39427_/X sky130_fd_sc_hd__or2_2
+XFILLER_446_1783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_58_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58261_ _58104_/A _58260_/Y VGND VGND VPWR VPWR _58261_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_621_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_678_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55473_ _55473_/A _55472_/X VGND VGND VPWR VPWR _76807_/D sky130_fd_sc_hd__nand2_2
+XFILLER_167_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67459_ _81313_/Q _66971_/B VGND VGND VPWR VPWR _67460_/C sky130_fd_sc_hd__nor2_2
+XFILLER_149_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52685_ _52685_/A _52684_/X VGND VGND VPWR VPWR _77481_/D sky130_fd_sc_hd__nand2_2
+XPHY_27829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_17306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_208_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57212_ _56807_/X VGND VGND VPWR VPWR _57213_/B sky130_fd_sc_hd__buf_1
+XFILLER_63_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_2203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_557_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54424_ _54509_/A _54424_/B VGND VGND VPWR VPWR _54425_/B sky130_fd_sc_hd__or2_2
+XFILLER_360_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_303_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_505_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39358_ _39235_/A _39256_/A VGND VGND VPWR VPWR _39358_/X sky130_fd_sc_hd__or2_2
+X_51636_ _51636_/A _51635_/X VGND VGND VPWR VPWR _77760_/D sky130_fd_sc_hd__nand2_2
+XFILLER_209_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58192_ _58516_/A _58192_/B _58191_/Y VGND VGND VPWR VPWR _58192_/Y sky130_fd_sc_hd__nor3_2
+XPHY_17339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70470_ _70463_/A _70435_/X _70437_/B VGND VGND VPWR VPWR _70470_/X sky130_fd_sc_hd__a21o_4
+XPHY_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_307_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_639_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_70_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_321_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_637_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_596_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38309_ _38309_/A _38308_/Y VGND VGND VPWR VPWR _38309_/Y sky130_fd_sc_hd__nand2_2
+XPHY_958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81407_ _81343_/CLK _81407_/D VGND VGND VPWR VPWR _72529_/C sky130_fd_sc_hd__dfxtp_4
+X_57143_ _56738_/X _57101_/X _57142_/X _74501_/Y _56824_/X VGND VGND VPWR VPWR _76653_/D
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_24_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69129_ _68833_/A _69129_/B _69128_/Y VGND VGND VPWR VPWR _69133_/B sky130_fd_sc_hd__nor3_2
+XFILLER_401_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_162_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54355_ _54353_/Y _54355_/B VGND VGND VPWR VPWR _54355_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_303_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_592_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39289_ _39289_/A _39288_/Y VGND VGND VPWR VPWR _80166_/D sky130_fd_sc_hd__nand2_2
+X_51567_ _51567_/A VGND VGND VPWR VPWR _51567_/X sky130_fd_sc_hd__buf_1
+XPHY_15904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_32_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_577_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2359 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41320_ _41061_/X _41307_/B VGND VGND VPWR VPWR _41323_/A sky130_fd_sc_hd__or2_2
+XFILLER_690_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53306_ _53306_/A _53306_/B VGND VGND VPWR VPWR _77317_/D sky130_fd_sc_hd__nand2_2
+XFILLER_221_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_672_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_34039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72140_ _71759_/X _72140_/B VGND VGND VPWR VPWR _72140_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_240_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_329_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50518_ _54340_/A _50517_/X VGND VGND VPWR VPWR _50518_/X sky130_fd_sc_hd__or2_2
+XFILLER_718_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57074_ _57316_/A _57074_/B _57074_/C VGND VGND VPWR VPWR _57082_/A sky130_fd_sc_hd__nor3_2
+XFILLER_193_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81338_ _81338_/CLK _81338_/D VGND VGND VPWR VPWR _81338_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_377_1822 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_573_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54286_ _54284_/A VGND VGND VPWR VPWR _54290_/B sky130_fd_sc_hd__buf_1
+XFILLER_279_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_303_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51498_ _51498_/A _51497_/X VGND VGND VPWR VPWR _51501_/A sky130_fd_sc_hd__nand2_2
+XPHY_1579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_17_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_275_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_6_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_137_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_178_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_326_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_221_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_655_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_377_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_13_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56025_ _55623_/B _56014_/X VGND VGND VPWR VPWR _56026_/C sky130_fd_sc_hd__nor2_2
+XFILLER_535_2755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_10_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41251_ _41260_/A _41264_/B _56899_/A VGND VGND VPWR VPWR _41252_/B sky130_fd_sc_hd__nand3_2
+XFILLER_633_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53237_ _77336_/Q _53237_/B VGND VGND VPWR VPWR _53239_/A sky130_fd_sc_hd__nand2_2
+XFILLER_358_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_33349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72071_ _71003_/X VGND VGND VPWR VPWR _72076_/A sky130_fd_sc_hd__inv_8
+XFILLER_409_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50449_ _78072_/Q _50435_/B VGND VGND VPWR VPWR _50451_/A sky130_fd_sc_hd__nand2_2
+XFILLER_514_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_371_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_195_58 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_32615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81269_ _81513_/CLK _81269_/D VGND VGND VPWR VPWR _73083_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_561_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_570_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_542_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_475_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_715_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40202_ _40202_/A _40202_/B _40202_/C VGND VGND VPWR VPWR _40203_/B sky130_fd_sc_hd__nand3_2
+XFILLER_633_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_551_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71022_ _71213_/A _71022_/B VGND VGND VPWR VPWR _71022_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_84_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_234_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_238_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_457_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_713_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_1118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_2876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_22125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_41182_ _41182_/A _41182_/B VGND VGND VPWR VPWR _41182_/Y sky130_fd_sc_hd__nand2_2
+XPHY_31914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53168_ _53168_/A _53168_/B VGND VGND VPWR VPWR _53168_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_117_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_393_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_316_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_702_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_191_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_355_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_380_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_139_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_178_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_687_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_487_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_65_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52119_ _52035_/A _52094_/A VGND VGND VPWR VPWR _52119_/X sky130_fd_sc_hd__or2_2
+X_40133_ _40128_/X _40132_/Y VGND VGND VPWR VPWR _79950_/D sky130_fd_sc_hd__nand2_2
+XFILLER_336_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75830_ _75887_/A VGND VGND VPWR VPWR _75844_/A sky130_fd_sc_hd__buf_1
+XFILLER_498_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_352_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_88_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45990_ _42766_/B _45986_/B VGND VGND VPWR VPWR _45991_/C sky130_fd_sc_hd__nor2_2
+XFILLER_568_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57976_ _80291_/Q _57666_/B VGND VGND VPWR VPWR _57977_/C sky130_fd_sc_hd__nor2_2
+X_53099_ _77367_/Q _53105_/B VGND VGND VPWR VPWR _53099_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_706_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_2_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_20712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_709_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59715_ _59715_/A VGND VGND VPWR VPWR _62080_/A sky130_fd_sc_hd__buf_1
+XPHY_10200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40064_ _39804_/X _40060_/X VGND VGND VPWR VPWR _40066_/A sky130_fd_sc_hd__or2_2
+X_44941_ _44941_/A _44940_/Y VGND VGND VPWR VPWR _44942_/B sky130_fd_sc_hd__nor2_2
+XPHY_9876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56927_ _56927_/A _56926_/Y VGND VGND VPWR VPWR _56927_/Y sky130_fd_sc_hd__nor2_2
+XPHY_20734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75761_ _75761_/A _75761_/B VGND VGND VPWR VPWR _80609_/D sky130_fd_sc_hd__nand2_2
+XFILLER_388_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72973_ _76213_/A VGND VGND VPWR VPWR _73740_/A sky130_fd_sc_hd__buf_1
+XPHY_9887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_266_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_117_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_132_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77500_ _77515_/CLK _52612_/Y VGND VGND VPWR VPWR _52608_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_77_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_2187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74712_ _74721_/A _74716_/B _80870_/Q VGND VGND VPWR VPWR _74712_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_267_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_466_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47660_ _47915_/A _47600_/B VGND VGND VPWR VPWR _53465_/A sky130_fd_sc_hd__or2_2
+XPHY_20778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_627_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59646_ _77248_/Q _59646_/B VGND VGND VPWR VPWR _59649_/B sky130_fd_sc_hd__nor2_2
+X_71924_ _70720_/A _71146_/B VGND VGND VPWR VPWR _71929_/B sky130_fd_sc_hd__nor2_2
+XFILLER_215_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78480_ _78464_/CLK _48878_/Y VGND VGND VPWR VPWR _63393_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_10255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56858_ _39711_/C _57170_/B VGND VGND VPWR VPWR _56859_/C sky130_fd_sc_hd__nor2_2
+X_44872_ _44667_/A _44868_/Y _44814_/X _44871_/X VGND VGND VPWR VPWR _44873_/B sky130_fd_sc_hd__o22a_4
+X_75692_ _75934_/A _75695_/B VGND VGND VPWR VPWR _75692_/X sky130_fd_sc_hd__or2_2
+XFILLER_510_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_482_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_564_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46611_ _46238_/A _41774_/X _46239_/Y _41776_/X VGND VGND VPWR VPWR _46611_/X sky130_fd_sc_hd__o22a_4
+XPHY_10288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_482_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77431_ _76779_/CLK _52868_/Y VGND VGND VPWR VPWR _60749_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_8_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55809_ _51155_/A _55818_/B VGND VGND VPWR VPWR _55810_/B sky130_fd_sc_hd__or2_2
+X_43823_ _43823_/A VGND VGND VPWR VPWR _44273_/B sky130_fd_sc_hd__buf_1
+X_74643_ _74127_/A _74646_/B VGND VGND VPWR VPWR _74643_/X sky130_fd_sc_hd__or2_2
+XPHY_10299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47591_ _63365_/A _47597_/B VGND VGND VPWR VPWR _47591_/Y sky130_fd_sc_hd__nand2_2
+X_59577_ _59577_/A _59577_/B _59576_/Y VGND VGND VPWR VPWR _59577_/Y sky130_fd_sc_hd__nor3_2
+X_71855_ _70952_/A _71855_/B VGND VGND VPWR VPWR _71857_/B sky130_fd_sc_hd__and2_2
+XFILLER_24_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_56789_ _56352_/A VGND VGND VPWR VPWR _56888_/A sky130_fd_sc_hd__buf_1
+XFILLER_644_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_615_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_308_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_612_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49330_ _49316_/A VGND VGND VPWR VPWR _49354_/A sky130_fd_sc_hd__buf_1
+XFILLER_247_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46542_ _41835_/B _46525_/X VGND VGND VPWR VPWR _46543_/B sky130_fd_sc_hd__or2_2
+X_58528_ _80138_/Q _58665_/B VGND VGND VPWR VPWR _58528_/Y sky130_fd_sc_hd__nor2_2
+X_70806_ _45204_/A _70015_/B _70016_/B VGND VGND VPWR VPWR _70806_/X sky130_fd_sc_hd__a21o_4
+XFILLER_670_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77362_ _76762_/CLK _77362_/D VGND VGND VPWR VPWR _77362_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_78_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43754_ _43754_/A _43761_/B VGND VGND VPWR VPWR _43754_/X sky130_fd_sc_hd__or2_2
+XFILLER_262_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74574_ _74563_/A _74568_/B _80903_/Q VGND VGND VPWR VPWR _74574_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_347_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40966_ _40604_/A _40963_/B VGND VGND VPWR VPWR _40968_/A sky130_fd_sc_hd__or2_2
+XPHY_29754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71786_ _71785_/A _71785_/B VGND VGND VPWR VPWR _71786_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_206_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_327_2967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79101_ _79100_/CLK _79101_/D VGND VGND VPWR VPWR _42225_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_501_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76313_ _76299_/A _76303_/B _76313_/C VGND VGND VPWR VPWR _76314_/B sky130_fd_sc_hd__nand3_2
+XFILLER_605_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_42705_ _42682_/X _70597_/Y _70595_/Y _42683_/X VGND VGND VPWR VPWR _42705_/X sky130_fd_sc_hd__o22a_4
+XFILLER_248_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49261_ _63852_/A _49257_/B VGND VGND VPWR VPWR _49263_/A sky130_fd_sc_hd__nand2_2
+XFILLER_245_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73525_ _73646_/A _73522_/B VGND VGND VPWR VPWR _73527_/A sky130_fd_sc_hd__or2_2
+XFILLER_61_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_124_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58459_ _68834_/A _58138_/X VGND VGND VPWR VPWR _58460_/C sky130_fd_sc_hd__nor2_2
+X_46473_ _46482_/A _46472_/Y _43506_/C VGND VGND VPWR VPWR _46475_/B sky130_fd_sc_hd__a21oi_4
+X_70737_ _70672_/X _70671_/Y VGND VGND VPWR VPWR _70737_/X sky130_fd_sc_hd__or2_2
+X_77293_ _76882_/CLK _53406_/Y VGND VGND VPWR VPWR _77293_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_46_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43685_ _79298_/Q VGND VGND VPWR VPWR _43770_/A sky130_fd_sc_hd__inv_8
+XPHY_4150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_209_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_501_2412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40897_ _40873_/A VGND VGND VPWR VPWR _40901_/A sky130_fd_sc_hd__buf_1
+XFILLER_206_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_445_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_596_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_245_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48212_ _48212_/A _48211_/X VGND VGND VPWR VPWR _78650_/D sky130_fd_sc_hd__nand2_2
+XFILLER_442_2882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79032_ _78897_/CLK _79032_/D VGND VGND VPWR VPWR _43116_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_284_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_421_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45424_ _76728_/Q VGND VGND VPWR VPWR _45424_/Y sky130_fd_sc_hd__inv_8
+X_76244_ _76259_/A _76244_/B _76244_/C VGND VGND VPWR VPWR _76245_/B sky130_fd_sc_hd__nand3_2
+XFILLER_425_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42636_ _42636_/A _42636_/B VGND VGND VPWR VPWR _42636_/X sky130_fd_sc_hd__and2_2
+X_61470_ _61782_/A _61470_/B _61469_/Y VGND VGND VPWR VPWR _61470_/Y sky130_fd_sc_hd__nor3_2
+X_49192_ _49192_/A _49191_/X VGND VGND VPWR VPWR _78398_/D sky130_fd_sc_hd__nand2_2
+X_73456_ _73400_/A VGND VGND VPWR VPWR _73467_/B sky130_fd_sc_hd__buf_1
+XFILLER_50_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_403_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70668_ _70657_/A _69793_/A _70056_/A VGND VGND VPWR VPWR _70669_/C sky130_fd_sc_hd__nor3_2
+XFILLER_262_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_458_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_183_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2693 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_577_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_657_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_48143_ _48178_/A _48166_/B VGND VGND VPWR VPWR _48144_/B sky130_fd_sc_hd__or2_2
+X_60421_ _62367_/A VGND VGND VPWR VPWR _60421_/X sky130_fd_sc_hd__buf_1
+XPHY_3482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72407_ _72410_/A _72422_/B _72407_/C VGND VGND VPWR VPWR _72408_/B sky130_fd_sc_hd__nand3_2
+XPHY_17862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_42_782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_673_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45355_ _69587_/B _45355_/B VGND VGND VPWR VPWR _45355_/Y sky130_fd_sc_hd__nor2_2
+XPHY_35230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76175_ _76152_/A VGND VGND VPWR VPWR _76176_/A sky130_fd_sc_hd__buf_1
+XPHY_3493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42567_ _42567_/A VGND VGND VPWR VPWR _68178_/A sky130_fd_sc_hd__inv_8
+XFILLER_477_2956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73387_ _73387_/A _73387_/B _81198_/Q VGND VGND VPWR VPWR _73387_/Y sky130_fd_sc_hd__nand3_2
+XPHY_35241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70599_ _70587_/X _70598_/X VGND VGND VPWR VPWR _70647_/A sky130_fd_sc_hd__and2_2
+XFILLER_726_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_638_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_638_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44306_ _44260_/A _44304_/C VGND VGND VPWR VPWR _44307_/B sky130_fd_sc_hd__or2_2
+XPHY_2781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63140_ _63463_/A _63140_/B _63139_/Y VGND VGND VPWR VPWR _63141_/B sky130_fd_sc_hd__nor3_2
+X_75126_ _75366_/A _75119_/B VGND VGND VPWR VPWR _75128_/A sky130_fd_sc_hd__or2_2
+XPHY_35274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60352_ _60352_/A _60352_/B _60352_/C VGND VGND VPWR VPWR _60352_/Y sky130_fd_sc_hd__nor3_2
+X_41518_ _41518_/A _41517_/Y VGND VGND VPWR VPWR _41518_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_105_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48074_ _48074_/A VGND VGND VPWR VPWR _48089_/B sky130_fd_sc_hd__buf_1
+X_72338_ _74819_/A VGND VGND VPWR VPWR _73503_/A sky130_fd_sc_hd__buf_1
+XFILLER_274_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_2792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_159_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45286_ _45082_/A _55284_/B VGND VGND VPWR VPWR _45286_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_655_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42498_ _42474_/X _42497_/X VGND VGND VPWR VPWR _42502_/A sky130_fd_sc_hd__nor2_2
+XFILLER_536_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_655_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_239_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_89_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_714_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47025_ _70611_/X _47009_/B VGND VGND VPWR VPWR _47026_/C sky130_fd_sc_hd__nor2_2
+XFILLER_713_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44237_ _44269_/A _44237_/B _44237_/C VGND VGND VPWR VPWR _44237_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_536_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_471_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63071_ _62997_/Y _63071_/B VGND VGND VPWR VPWR _76750_/D sky130_fd_sc_hd__nor2_2
+XFILLER_176_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_75057_ _72166_/A _75054_/B _64155_/A VGND VGND VPWR VPWR _75059_/A sky130_fd_sc_hd__nand3_2
+X_79934_ _80031_/CLK _79934_/D VGND VGND VPWR VPWR _79934_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_319_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_128_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41449_ _41070_/X _41446_/B VGND VGND VPWR VPWR _41449_/X sky130_fd_sc_hd__or2_2
+XPHY_34584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60283_ _59318_/A VGND VGND VPWR VPWR _60284_/A sky130_fd_sc_hd__buf_1
+XFILLER_15_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72269_ _72291_/A _72263_/B _57354_/A VGND VGND VPWR VPWR _72270_/B sky130_fd_sc_hd__nand3_2
+XFILLER_83_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_200_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_33850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_31_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_10_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_3076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_534_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_102_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62022_ _61980_/X _62022_/B VGND VGND VPWR VPWR _62063_/B sky130_fd_sc_hd__nor2_2
+XPHY_33872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74008_ _74173_/A _74025_/B VGND VGND VPWR VPWR _74008_/X sky130_fd_sc_hd__or2_2
+XFILLER_354_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_530_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44168_ _44168_/A VGND VGND VPWR VPWR _44235_/B sky130_fd_sc_hd__buf_1
+XFILLER_686_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79865_ _79805_/CLK _79865_/D VGND VGND VPWR VPWR _57157_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_139_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_23371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_722_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_135_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_2676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_87_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66830_ _64362_/X VGND VGND VPWR VPWR _67485_/B sky130_fd_sc_hd__buf_1
+X_43119_ _46783_/A VGND VGND VPWR VPWR _46712_/A sky130_fd_sc_hd__inv_8
+XFILLER_350_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78816_ _78332_/CLK _78816_/D VGND VGND VPWR VPWR _63533_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_694_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_143_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_354_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48976_ _49063_/A _48967_/B VGND VGND VPWR VPWR _48977_/B sky130_fd_sc_hd__or2_2
+X_44099_ _44186_/A VGND VGND VPWR VPWR _44179_/A sky130_fd_sc_hd__buf_1
+XFILLER_291_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_233_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79796_ _79798_/CLK _79796_/D VGND VGND VPWR VPWR _56754_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_332_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_130_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_174_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_458_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47927_ _47835_/A _47930_/B VGND VGND VPWR VPWR _47928_/B sky130_fd_sc_hd__or2_2
+XFILLER_413_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66761_ _66761_/A _66274_/X VGND VGND VPWR VPWR _66761_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78747_ _78739_/CLK _78747_/D VGND VGND VPWR VPWR _78747_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_469_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_64_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63973_ _59468_/A _63969_/Y _63973_/C VGND VGND VPWR VPWR _63973_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_44_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75959_ _75959_/A _75958_/Y VGND VGND VPWR VPWR _80556_/D sky130_fd_sc_hd__nand2_2
+XFILLER_96_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2615 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_484_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_25_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_635_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_26_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_272_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_21991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_42_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68500_ _68497_/X _68498_/Y _68500_/C VGND VGND VPWR VPWR _68500_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_22_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65712_ _65712_/A _65712_/B VGND VGND VPWR VPWR _65712_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_680_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38660_ _38670_/A _38660_/B _80325_/Q VGND VGND VPWR VPWR _38660_/Y sky130_fd_sc_hd__nand3_2
+X_62924_ _62924_/A _62767_/X VGND VGND VPWR VPWR _62924_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_9_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69480_ _69480_/A _64211_/B VGND VGND VPWR VPWR _69480_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_311_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_484_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_348_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_645_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_151_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47858_ _47946_/A _53650_/A VGND VGND VPWR VPWR _47884_/B sky130_fd_sc_hd__or2_2
+XPHY_11490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78678_ _78671_/CLK _78678_/D VGND VGND VPWR VPWR _78678_/Q sky130_fd_sc_hd__dfxtp_4
+X_66692_ _66692_/A _66532_/Y _66692_/C _66692_/D VGND VGND VPWR VPWR _76698_/D sky130_fd_sc_hd__or4_2
+XFILLER_484_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_649_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_528_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68431_ _68431_/A _68431_/B _68430_/Y VGND VGND VPWR VPWR _68431_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_61_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46809_ _46166_/B _46809_/B VGND VGND VPWR VPWR _46809_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_3125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_77629_ _77629_/CLK _52120_/Y VGND VGND VPWR VPWR _77629_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_383_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_65643_ _56977_/A _65126_/B VGND VGND VPWR VPWR _65643_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_661_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38591_ _38590_/X _38578_/B VGND VGND VPWR VPWR _38591_/X sky130_fd_sc_hd__or2_2
+XFILLER_547_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62855_ _62697_/A _62855_/B _62854_/Y VGND VGND VPWR VPWR _62859_/B sky130_fd_sc_hd__nor3_2
+XFILLER_485_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47789_ _47730_/A _53586_/A VGND VGND VPWR VPWR _47789_/X sky130_fd_sc_hd__or2_2
+XFILLER_582_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_7_86_0_u_core.clock clkbuf_7_87_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_86_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_94_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_216 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_42_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_506_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_82 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61806_ _61646_/A _61806_/B _61805_/Y VGND VGND VPWR VPWR _61823_/A sky130_fd_sc_hd__nor3_2
+X_49528_ _49524_/Y _49527_/X VGND VGND VPWR VPWR _49528_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_662_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80640_ _80637_/CLK _80640_/D VGND VGND VPWR VPWR _67204_/A sky130_fd_sc_hd__dfxtp_4
+X_68362_ _67716_/A _68362_/B _68361_/Y VGND VGND VPWR VPWR _68362_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_326_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65574_ _65893_/A _65574_/B _65573_/Y VGND VGND VPWR VPWR _65591_/A sky130_fd_sc_hd__nor3_2
+XFILLER_485_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_400_0_u_core.clock clkbuf_9_401_0_u_core.clock/A VGND VGND VPWR VPWR _81476_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_83_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62786_ _50035_/A _62627_/X VGND VGND VPWR VPWR _62787_/C sky130_fd_sc_hd__nor2_2
+XFILLER_77_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_168_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_601_2812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67313_ _67151_/A _67308_/Y _67313_/C VGND VGND VPWR VPWR _67314_/C sky130_fd_sc_hd__nor3_2
+XFILLER_55_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64525_ _64497_/A VGND VGND VPWR VPWR _64739_/A sky130_fd_sc_hd__buf_1
+XFILLER_603_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_227_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61737_ _61737_/A _61111_/X VGND VGND VPWR VPWR _61737_/Y sky130_fd_sc_hd__nor2_2
+X_80571_ _80543_/CLK _80571_/D VGND VGND VPWR VPWR _66399_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_406_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49459_ _62907_/A _49458_/X VGND VGND VPWR VPWR _49462_/A sky130_fd_sc_hd__nand2_2
+XFILLER_643_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_243 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_399_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68293_ _68275_/Y _68293_/B VGND VGND VPWR VPWR _68294_/B sky130_fd_sc_hd__nor2_2
+XFILLER_24_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_460_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_421_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_402_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39212_ _39142_/A VGND VGND VPWR VPWR _39212_/X sky130_fd_sc_hd__buf_1
+XFILLER_94_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_402_939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_240_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67244_ _68068_/A VGND VGND VPWR VPWR _67744_/A sky130_fd_sc_hd__buf_1
+XFILLER_504_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_346_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52470_ _52457_/X VGND VGND VPWR VPWR _52487_/B sky130_fd_sc_hd__buf_1
+X_64456_ _68722_/A VGND VGND VPWR VPWR _65040_/B sky130_fd_sc_hd__buf_1
+XFILLER_240_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_386_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1432 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_656_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_424_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_61668_ _61668_/A _61668_/B VGND VGND VPWR VPWR _61668_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_107_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_556_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_396_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_656_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_107_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_181_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39143_ _39143_/A _39142_/X VGND VGND VPWR VPWR _39143_/X sky130_fd_sc_hd__or2_2
+XFILLER_437_3107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51421_ _51421_/A VGND VGND VPWR VPWR _52022_/A sky130_fd_sc_hd__buf_1
+X_63407_ _48410_/A _63819_/B VGND VGND VPWR VPWR _63407_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_55_1758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_177_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60619_ _60619_/A _60141_/B VGND VGND VPWR VPWR _60619_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_695_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67175_ _57655_/A _67333_/B VGND VGND VPWR VPWR _67175_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_304_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_261_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64387_ _64387_/A _64387_/B _64387_/C VGND VGND VPWR VPWR _64397_/B sky130_fd_sc_hd__nor3_2
+XFILLER_342_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_394_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61599_ _61599_/A _62075_/B VGND VGND VPWR VPWR _61602_/B sky130_fd_sc_hd__nor2_2
+XFILLER_501_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_53_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+Xclkbuf_7_111_0_u_core.clock clkbuf_6_55_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_8_222_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_719_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_2797 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_691_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_574_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_124_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_171_0_u_core.clock clkbuf_7_85_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_343_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_66126_ _65955_/A _66126_/B _66125_/Y VGND VGND VPWR VPWR _66205_/B sky130_fd_sc_hd__nor3_2
+XFILLER_378_2865 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54140_ _54072_/A _54152_/B VGND VGND VPWR VPWR _54141_/B sky130_fd_sc_hd__or2_2
+XFILLER_31_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_556_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39074_ _38581_/X _39074_/B VGND VGND VPWR VPWR _39074_/X sky130_fd_sc_hd__or2_2
+XFILLER_500_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63338_ _61776_/A VGND VGND VPWR VPWR _63345_/A sky130_fd_sc_hd__buf_1
+X_51352_ _51252_/X _51361_/B VGND VGND VPWR VPWR _51352_/X sky130_fd_sc_hd__or2_2
+XFILLER_555_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_296_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_574_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_509_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_476_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_200_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50303_ _50271_/A _50293_/X VGND VGND VPWR VPWR _50304_/B sky130_fd_sc_hd__or2_2
+XFILLER_193_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_229_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81123_ _81156_/CLK _81123_/D VGND VGND VPWR VPWR _81123_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_496_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_716_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54071_ _54674_/A VGND VGND VPWR VPWR _54072_/A sky130_fd_sc_hd__buf_1
+XFILLER_372_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66057_ _80697_/Q _66057_/B VGND VGND VPWR VPWR _66057_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_593_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51283_ _51308_/B VGND VGND VPWR VPWR _51283_/X sky130_fd_sc_hd__buf_1
+XFILLER_710_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63269_ _62761_/X _63269_/B VGND VGND VPWR VPWR _63309_/B sky130_fd_sc_hd__nor2_2
+XPHY_13809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_118_0_u_core.clock clkbuf_7_59_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_237_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_492_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_1474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_571_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_9_178_0_u_core.clock clkbuf_8_89_0_u_core.clock/X VGND VGND VPWR VPWR _79174_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_634_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_634_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_296_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53022_ _52994_/A _53022_/B VGND VGND VPWR VPWR _53022_/X sky130_fd_sc_hd__or2_2
+XFILLER_307_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_710_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65008_ _65692_/A _65006_/Y _65008_/C VGND VGND VPWR VPWR _65008_/Y sky130_fd_sc_hd__nor3_2
+X_50234_ _50120_/A _50222_/B VGND VGND VPWR VPWR _50235_/B sky130_fd_sc_hd__or2_2
+XFILLER_381_378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_517_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_81054_ _81083_/CLK _81054_/D VGND VGND VPWR VPWR _73935_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_651_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_165_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_489_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_323_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_274_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_66_0_u_core.clock clkbuf_8_66_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_66_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_435_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_669_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9106 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_587_2417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9117 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_80005_ _80039_/CLK _80005_/D VGND VGND VPWR VPWR _68141_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_157_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2273 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_57830_ _57830_/A _57827_/Y _57830_/C VGND VGND VPWR VPWR _57831_/C sky130_fd_sc_hd__nor3_2
+X_69816_ _69790_/X VGND VGND VPWR VPWR _55913_/A sky130_fd_sc_hd__buf_1
+XFILLER_712_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9128 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50165_ _50104_/A _50168_/B VGND VGND VPWR VPWR _50166_/B sky130_fd_sc_hd__or2_2
+X_39976_ _39957_/A VGND VGND VPWR VPWR _39977_/B sky130_fd_sc_hd__buf_1
+XPHY_9139 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_697_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_338 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_526_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8405 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_684_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_511_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8416 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_5_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_710_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_322_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8427 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_1796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38927_ _38925_/X _38926_/Y VGND VGND VPWR VPWR _38927_/Y sky130_fd_sc_hd__nand2_2
+X_57761_ _57597_/A _57761_/B _57761_/C VGND VGND VPWR VPWR _57761_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_216_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69747_ _69643_/B VGND VGND VPWR VPWR _42137_/A sky130_fd_sc_hd__buf_1
+XFILLER_216_2279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8438 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50096_ _50096_/A _50095_/X VGND VGND VPWR VPWR _78164_/D sky130_fd_sc_hd__nand2_2
+X_54973_ _54973_/A VGND VGND VPWR VPWR _54980_/B sky130_fd_sc_hd__buf_1
+XFILLER_303_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66959_ _57620_/A _66787_/X VGND VGND VPWR VPWR _66961_/B sky130_fd_sc_hd__nor2_2
+XFILLER_667_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7704 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8449 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_389_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7715 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_477_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59500_ _59825_/A _59498_/Y _59500_/C VGND VGND VPWR VPWR _59501_/C sky130_fd_sc_hd__nor3_2
+XFILLER_331_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_311_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_409_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_2803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_288_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56712_ _65149_/A _56633_/B VGND VGND VPWR VPWR _56712_/Y sky130_fd_sc_hd__nor2_2
+XPHY_7726 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53924_ _53626_/A VGND VGND VPWR VPWR _53926_/A sky130_fd_sc_hd__buf_1
+XFILLER_44_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7737 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_726_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38858_ _38858_/A _38857_/Y VGND VGND VPWR VPWR _38858_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_60_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57692_ _57923_/A _57692_/B _57691_/Y VGND VGND VPWR VPWR _57692_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_585_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7748 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69678_ _69678_/A _69601_/X VGND VGND VPWR VPWR _69694_/A sky130_fd_sc_hd__nor2_2
+XFILLER_693_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_9_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7759 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39507 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_609_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59431_ _59754_/A _59431_/B _59430_/Y VGND VGND VPWR VPWR _59432_/C sky130_fd_sc_hd__nor3_2
+XFILLER_47_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39518 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_25_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80907_ _81005_/CLK _80907_/D VGND VGND VPWR VPWR _80907_/Q sky130_fd_sc_hd__dfxtp_4
+X_56643_ _79570_/Q _56643_/B VGND VGND VPWR VPWR _56644_/C sky130_fd_sc_hd__nor2_2
+XFILLER_247_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68629_ _68276_/X _68629_/B _68629_/C VGND VGND VPWR VPWR _68630_/B sky130_fd_sc_hd__nor3_2
+XFILLER_726_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_464_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53855_ _50033_/A _53799_/B VGND VGND VPWR VPWR _53855_/X sky130_fd_sc_hd__or2_2
+XFILLER_21_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39529 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_38789_ _38669_/A _38789_/B VGND VGND VPWR VPWR _38792_/A sky130_fd_sc_hd__or2_2
+XFILLER_169_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_464_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_211_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38806 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_524_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38817 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40820_ _40823_/A _40823_/B _67164_/A VGND VGND VPWR VPWR _40821_/B sky130_fd_sc_hd__nand3_2
+XFILLER_112_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52806_ _52804_/Y _52805_/X VGND VGND VPWR VPWR _52806_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_288_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_249_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71640_ _71640_/A _71640_/B _66801_/A VGND VGND VPWR VPWR _71641_/B sky130_fd_sc_hd__nand3_2
+X_59362_ _77070_/Q _59362_/B VGND VGND VPWR VPWR _59363_/C sky130_fd_sc_hd__nor2_2
+XFILLER_652_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_262_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_644_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38828 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56574_ _64988_/A _56219_/X VGND VGND VPWR VPWR _56574_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_309_2631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80838_ _80867_/CLK _80838_/D VGND VGND VPWR VPWR _68190_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_28305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_285_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38839 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53786_ _53783_/Y _53786_/B VGND VGND VPWR VPWR _77192_/D sky130_fd_sc_hd__nand2_2
+XFILLER_383_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_348_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_612_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50998_ _50998_/A _51017_/B VGND VGND VPWR VPWR _50999_/B sky130_fd_sc_hd__or2_2
+XFILLER_721_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_249_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58313_ _58391_/A _58313_/B _58313_/C VGND VGND VPWR VPWR _58317_/B sky130_fd_sc_hd__nor3_2
+XFILLER_444_390 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_563_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_28338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_19_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_724_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_429_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_55525_ _55688_/A VGND VGND VPWR VPWR _55525_/X sky130_fd_sc_hd__buf_1
+XFILLER_216_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_600_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_1930 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40751_ _40751_/A _40750_/Y VGND VGND VPWR VPWR _79793_/D sky130_fd_sc_hd__nand2_2
+XFILLER_16_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52737_ _52730_/A VGND VGND VPWR VPWR _52750_/B sky130_fd_sc_hd__buf_1
+XFILLER_281_2845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59293_ _76934_/Q _58916_/B VGND VGND VPWR VPWR _59295_/B sky130_fd_sc_hd__nor2_2
+X_71571_ _70463_/A _71380_/B VGND VGND VPWR VPWR _71576_/B sky130_fd_sc_hd__nor2_2
+XFILLER_167_1043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_262_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80769_ _80867_/CLK _75118_/Y VGND VGND VPWR VPWR _80769_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_27615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_702_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_604_2491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73310_ _73305_/X _73306_/X _67756_/A VGND VGND VPWR VPWR _73312_/A sky130_fd_sc_hd__nand3_2
+XFILLER_271_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_541_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_344_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_58244_ _58244_/A _58563_/B VGND VGND VPWR VPWR _58247_/B sky130_fd_sc_hd__nor2_2
+X_70522_ _70522_/A _70521_/X VGND VGND VPWR VPWR _70523_/B sky130_fd_sc_hd__nand2_2
+XFILLER_696_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43470_ _43476_/A _43473_/B _56646_/A VGND VGND VPWR VPWR _43470_/Y sky130_fd_sc_hd__nand3_2
+XPHY_2000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_240_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55456_ _55443_/A _55456_/B VGND VGND VPWR VPWR _55456_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_167_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74290_ _74277_/A VGND VGND VPWR VPWR _74291_/A sky130_fd_sc_hd__buf_1
+XPHY_17125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40682_ _40670_/X _40685_/B _67989_/A VGND VGND VPWR VPWR _40683_/B sky130_fd_sc_hd__nand3_2
+XFILLER_621_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52668_ _52753_/A _52668_/B VGND VGND VPWR VPWR _52668_/X sky130_fd_sc_hd__or2_2
+XFILLER_262_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_46_0_u_core.clock clkbuf_9_47_0_u_core.clock/A VGND VGND VPWR VPWR _79565_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_678_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_17136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_305_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_247_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_344_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42421_ _69719_/B _55878_/B VGND VGND VPWR VPWR _42444_/A sky130_fd_sc_hd__nor2_2
+X_54407_ _54430_/B VGND VGND VPWR VPWR _54426_/B sky130_fd_sc_hd__buf_1
+XFILLER_498_2680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73241_ _73253_/A _73245_/B _65093_/A VGND VGND VPWR VPWR _73244_/A sky130_fd_sc_hd__nand3_2
+XPHY_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_51619_ _51644_/B VGND VGND VPWR VPWR _51619_/X sky130_fd_sc_hd__buf_1
+XFILLER_19_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58175_ _68111_/A _58334_/B VGND VGND VPWR VPWR _58175_/Y sky130_fd_sc_hd__nor2_2
+X_70453_ _70446_/B _70445_/B VGND VGND VPWR VPWR _70454_/A sky130_fd_sc_hd__or2_2
+XFILLER_123_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_70_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_639_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55387_ _55373_/A _55386_/Y VGND VGND VPWR VPWR _55387_/Y sky130_fd_sc_hd__nor2_2
+XPHY_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52599_ _52599_/A _52598_/X VGND VGND VPWR VPWR _52599_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_240_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_2418 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_26969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_399_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_637_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_670_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_574_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_379_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_3027 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45140_ _45022_/X _45140_/B _45139_/Y VGND VGND VPWR VPWR _45141_/B sky130_fd_sc_hd__or3_2
+X_57126_ _58461_/A VGND VGND VPWR VPWR _57126_/X sky130_fd_sc_hd__buf_1
+XPHY_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_357_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42352_ _41764_/A _46533_/A VGND VGND VPWR VPWR _42353_/C sky130_fd_sc_hd__nor2_2
+XFILLER_576_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54338_ _54336_/Y _54338_/B VGND VGND VPWR VPWR _77045_/D sky130_fd_sc_hd__nand2_2
+XFILLER_534_604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73172_ _73172_/A VGND VGND VPWR VPWR _74992_/A sky130_fd_sc_hd__buf_1
+XFILLER_23_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_141_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70384_ _70384_/A _70384_/B _70384_/C VGND VGND VPWR VPWR _70384_/X sky130_fd_sc_hd__or3_2
+XFILLER_303_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_518_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_414_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_278_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_534_626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_392_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_570_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41303_ _41263_/A VGND VGND VPWR VPWR _41304_/A sky130_fd_sc_hd__buf_1
+XFILLER_197_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_240_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72123_ _69853_/A VGND VGND VPWR VPWR _72708_/A sky130_fd_sc_hd__buf_1
+XFILLER_32_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45071_ _45071_/A VGND VGND VPWR VPWR _45222_/B sky130_fd_sc_hd__buf_1
+XFILLER_711_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57057_ _57057_/A _56812_/B VGND VGND VPWR VPWR _57057_/Y sky130_fd_sc_hd__nor2_2
+XPHY_33135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_652_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42283_ _42282_/X VGND VGND VPWR VPWR _47245_/B sky130_fd_sc_hd__buf_1
+XFILLER_183_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54269_ _54236_/X _54266_/B VGND VGND VPWR VPWR _54269_/X sky130_fd_sc_hd__or2_2
+X_77980_ _77987_/CLK _50792_/Y VGND VGND VPWR VPWR _62768_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_32401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_67_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_433_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_372_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_433_2815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_314_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_358_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_44022_ _44022_/A VGND VGND VPWR VPWR _66697_/A sky130_fd_sc_hd__inv_8
+XFILLER_355_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56008_ _56007_/X _55655_/B VGND VGND VPWR VPWR _56017_/B sky130_fd_sc_hd__nor2_2
+XFILLER_570_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_273_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_165_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41234_ _41103_/A _41230_/B VGND VGND VPWR VPWR _41236_/A sky130_fd_sc_hd__or2_2
+XPHY_33179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76931_ _76941_/CLK _54766_/Y VGND VGND VPWR VPWR _76931_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_390_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72054_ _72054_/A VGND VGND VPWR VPWR _72055_/A sky130_fd_sc_hd__inv_8
+XFILLER_360_529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_633_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_471_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_2848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_704_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71005_ _71004_/X VGND VGND VPWR VPWR _71005_/X sky130_fd_sc_hd__buf_1
+XFILLER_101_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_31733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48830_ _47820_/A _48830_/B VGND VGND VPWR VPWR _54581_/A sky130_fd_sc_hd__or2_2
+XFILLER_676_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79650_ _79713_/CLK _79650_/D VGND VGND VPWR VPWR _67616_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_234_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41165_ _41165_/A _41165_/B VGND VGND VPWR VPWR _41165_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_117_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76862_ _78386_/CLK _76862_/D VGND VGND VPWR VPWR _55013_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_355_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_316_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_1360 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_314_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_10_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_87_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_191_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9640 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78601_ _78599_/CLK _78601_/D VGND VGND VPWR VPWR _63557_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40116_ _40116_/A _40115_/Y VGND VGND VPWR VPWR _79954_/D sky130_fd_sc_hd__nand2_2
+XPHY_9651 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75813_ _75934_/A _75819_/B VGND VGND VPWR VPWR _75815_/A sky130_fd_sc_hd__or2_2
+XFILLER_551_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_414_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_157 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48761_ _48287_/A VGND VGND VPWR VPWR _48889_/A sky130_fd_sc_hd__buf_1
+XFILLER_152_698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9662 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79581_ _79584_/CLK _79581_/D VGND VGND VPWR VPWR _66782_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_691_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57959_ _67820_/A _57795_/B VGND VGND VPWR VPWR _57959_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_78_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45973_ _45969_/A _45971_/Y _45973_/C VGND VGND VPWR VPWR _79055_/D sky130_fd_sc_hd__nor3_2
+X_41096_ _41094_/X _41096_/B VGND VGND VPWR VPWR _79707_/D sky130_fd_sc_hd__nand2_2
+XPHY_31799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_136_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76793_ _76815_/CLK _76793_/D VGND VGND VPWR VPWR _76793_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_9673 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_152_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_43_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9684 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8950 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9695 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47712_ _47712_/A _47711_/X VGND VGND VPWR VPWR _78778_/D sky130_fd_sc_hd__nand2_2
+XFILLER_26_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78532_ _78498_/CLK _78532_/D VGND VGND VPWR VPWR _63974_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_130_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8961 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40047_ _40045_/X _40046_/Y VGND VGND VPWR VPWR _79973_/D sky130_fd_sc_hd__nand2_2
+X_44924_ _44922_/A VGND VGND VPWR VPWR _44978_/A sky130_fd_sc_hd__buf_1
+X_75744_ _75865_/A _75754_/B VGND VGND VPWR VPWR _75746_/A sky130_fd_sc_hd__or2_2
+XPHY_20564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60970_ _60970_/A _60806_/B VGND VGND VPWR VPWR _60970_/Y sky130_fd_sc_hd__nor2_2
+XPHY_8972 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48692_ _48721_/A _48686_/B VGND VGND VPWR VPWR _48693_/B sky130_fd_sc_hd__or2_2
+X_72956_ _73969_/A _72956_/B VGND VGND VPWR VPWR _72956_/X sky130_fd_sc_hd__or2_2
+XPHY_20575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8983 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_690_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8994 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_239_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_384_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_305_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47643_ _63647_/A _47632_/B VGND VGND VPWR VPWR _47643_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_254_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59629_ _59629_/A _58919_/B VGND VGND VPWR VPWR _59629_/Y sky130_fd_sc_hd__nor2_2
+X_71907_ _71899_/A _71908_/B VGND VGND VPWR VPWR _71909_/B sky130_fd_sc_hd__and2_2
+XFILLER_251_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_78463_ _78464_/CLK _78463_/D VGND VGND VPWR VPWR _78463_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_293_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_94_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_679_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44855_ _44892_/A _44854_/X VGND VGND VPWR VPWR _44856_/B sky130_fd_sc_hd__and2_2
+XFILLER_310_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75675_ _75917_/A _75678_/B VGND VGND VPWR VPWR _75675_/X sky130_fd_sc_hd__or2_2
+XFILLER_294_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_627_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72887_ _72883_/A _72882_/X _72887_/C VGND VGND VPWR VPWR _72888_/B sky130_fd_sc_hd__nand3_2
+XFILLER_722_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_549_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_415_519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_644_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_671_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_564_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_228_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77414_ _77060_/CLK _52935_/Y VGND VGND VPWR VPWR _77414_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_1_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43806_ _43695_/A _43805_/Y _43815_/A VGND VGND VPWR VPWR _43806_/X sky130_fd_sc_hd__and3_2
+XFILLER_327_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62640_ _51035_/A _62170_/X VGND VGND VPWR VPWR _62640_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_111_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_499_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74626_ _74615_/X _74622_/X _66101_/A VGND VGND VPWR VPWR _74627_/B sky130_fd_sc_hd__nand3_2
+XFILLER_267_1421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71838_ _71832_/X _71838_/B _71835_/Y _71837_/Y VGND VGND VPWR VPWR _71838_/X sky130_fd_sc_hd__or4_2
+X_47574_ _64043_/A _47573_/X VGND VGND VPWR VPWR _47577_/A sky130_fd_sc_hd__nand2_2
+XFILLER_436_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78394_ _78758_/CLK _49209_/Y VGND VGND VPWR VPWR _63774_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_703_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44786_ _44773_/X _44786_/B VGND VGND VPWR VPWR _44786_/X sky130_fd_sc_hd__and2_2
+XFILLER_4_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_169_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41998_ wbs_dat_i[21] VGND VGND VPWR VPWR _41998_/Y sky130_fd_sc_hd__inv_8
+XFILLER_130_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_503_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_0_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_644_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_49313_ _53137_/A _49312_/X VGND VGND VPWR VPWR _49455_/A sky130_fd_sc_hd__or2_2
+XFILLER_169_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_542_1833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46525_ _46551_/B VGND VGND VPWR VPWR _46525_/X sky130_fd_sc_hd__buf_1
+XFILLER_46_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77345_ _77259_/CLK _53208_/Y VGND VGND VPWR VPWR _77345_/Q sky130_fd_sc_hd__dfxtp_4
+X_43737_ _43790_/A _43737_/B _43737_/C VGND VGND VPWR VPWR _79302_/D sky130_fd_sc_hd__nor3_2
+XFILLER_19_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_362_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62571_ _61009_/A VGND VGND VPWR VPWR _62574_/A sky130_fd_sc_hd__buf_1
+XFILLER_679_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74557_ _74557_/A VGND VGND VPWR VPWR _74562_/B sky130_fd_sc_hd__buf_1
+XFILLER_94_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40949_ _40936_/A _40943_/B _40949_/C VGND VGND VPWR VPWR _40949_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_597_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71769_ _73213_/A VGND VGND VPWR VPWR _39202_/A sky130_fd_sc_hd__buf_1
+XFILLER_362_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_678_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_245_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_29595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_3020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_640_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64310_ _67172_/A VGND VGND VPWR VPWR _64799_/B sky130_fd_sc_hd__buf_1
+XFILLER_108_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49244_ _49244_/A _49243_/X VGND VGND VPWR VPWR _49244_/Y sky130_fd_sc_hd__nand2_2
+X_61522_ _77428_/Q _61216_/X VGND VGND VPWR VPWR _61524_/B sky130_fd_sc_hd__nor2_2
+XFILLER_64_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_91_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73508_ _73750_/A _73507_/X VGND VGND VPWR VPWR _73508_/X sky130_fd_sc_hd__or2_2
+XPHY_28872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_700_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46456_ _46448_/Y _46456_/B _46455_/X VGND VGND VPWR VPWR _46456_/Y sky130_fd_sc_hd__nor3_2
+X_65290_ _65787_/A _65289_/Y VGND VGND VPWR VPWR _65290_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_34_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77276_ _77274_/CLK _53471_/Y VGND VGND VPWR VPWR _77276_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_410_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_61_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43668_ _43668_/A _43668_/B VGND VGND VPWR VPWR _43669_/A sky130_fd_sc_hd__nand2_2
+XPHY_18360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74488_ _74488_/A _74471_/X VGND VGND VPWR VPWR _74489_/B sky130_fd_sc_hd__or2_2
+XFILLER_280_1632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_76_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2098 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_72_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_2339 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_245_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_575_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_411_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_321_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79015_ _79015_/CLK _79015_/D VGND VGND VPWR VPWR _43506_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_280_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45407_ _45407_/A _45406_/X VGND VGND VPWR VPWR _45407_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_597_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64241_ _64241_/A _64241_/B _64240_/Y VGND VGND VPWR VPWR _64242_/C sky130_fd_sc_hd__nor3_2
+X_76227_ _76247_/A VGND VGND VPWR VPWR _76333_/B sky130_fd_sc_hd__buf_1
+XFILLER_159_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61453_ _61453_/A _60825_/B VGND VGND VPWR VPWR _61453_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_280_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42619_ _79128_/Q VGND VGND VPWR VPWR _67355_/A sky130_fd_sc_hd__inv_8
+XFILLER_692_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_73439_ _74996_/A _73438_/X VGND VGND VPWR VPWR _73439_/X sky130_fd_sc_hd__or2_2
+XFILLER_50_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49175_ _49082_/A _49193_/B VGND VGND VPWR VPWR _49176_/B sky130_fd_sc_hd__or2_2
+XFILLER_403_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46387_ _46383_/X _46387_/B VGND VGND VPWR VPWR _46387_/X sky130_fd_sc_hd__and2_2
+XFILLER_203_872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43599_ _71512_/A _43599_/B VGND VGND VPWR VPWR _43601_/B sky130_fd_sc_hd__nor2_2
+XPHY_17670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_716_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_657_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_638_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48126_ _63182_/A _48107_/X VGND VGND VPWR VPWR _48126_/Y sky130_fd_sc_hd__nand2_2
+X_60404_ _60247_/A _60401_/Y _60404_/C VGND VGND VPWR VPWR _60404_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_694_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_176_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45338_ _45133_/X _45338_/B _45337_/Y VGND VGND VPWR VPWR _45338_/Y sky130_fd_sc_hd__nor3_2
+XPHY_35060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64172_ _66563_/A VGND VGND VPWR VPWR _64172_/X sky130_fd_sc_hd__buf_1
+XFILLER_15_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76158_ _76156_/X _76157_/Y VGND VGND VPWR VPWR _80509_/D sky130_fd_sc_hd__nand2_2
+XFILLER_575_2376 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_537_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61384_ _61074_/A _61384_/B _61383_/Y VGND VGND VPWR VPWR _61395_/B sky130_fd_sc_hd__nor3_2
+XFILLER_635_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1458 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_35071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_714_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_547_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_102_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_592_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_419_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_391_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63123_ _59645_/A VGND VGND VPWR VPWR _63123_/X sky130_fd_sc_hd__buf_1
+X_75109_ _75109_/A VGND VGND VPWR VPWR _75110_/A sky130_fd_sc_hd__buf_1
+XFILLER_458_2196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_395_1741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_266_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_517_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48057_ _48028_/A _48063_/B VGND VGND VPWR VPWR _48058_/B sky130_fd_sc_hd__or2_2
+X_60335_ _60001_/A _60331_/Y _60335_/C VGND VGND VPWR VPWR _60335_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_106_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_634_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68980_ _68651_/A _68972_/Y _68979_/Y VGND VGND VPWR VPWR _68980_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_296_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45269_ _45268_/Y _45321_/B VGND VGND VPWR VPWR _45269_/Y sky130_fd_sc_hd__nor2_2
+X_76089_ _76089_/A VGND VGND VPWR VPWR _76362_/A sky130_fd_sc_hd__buf_1
+XFILLER_363_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_200_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_274_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_514_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_506_851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_156_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_580_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47008_ _46896_/X VGND VGND VPWR VPWR _47009_/B sky130_fd_sc_hd__buf_1
+XFILLER_373_2751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67931_ _81348_/Q _68588_/B VGND VGND VPWR VPWR _67934_/B sky130_fd_sc_hd__nor2_2
+XFILLER_317_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_3048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79917_ _79435_/CLK _40256_/Y VGND VGND VPWR VPWR _79917_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_67_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63054_ _63054_/A _63052_/Y _63053_/Y VGND VGND VPWR VPWR _63054_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_713_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_128_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60266_ _60266_/A _60108_/B VGND VGND VPWR VPWR _60266_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_217_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_471_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_334_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62005_ _50052_/A _62309_/B VGND VGND VPWR VPWR _62006_/C sky130_fd_sc_hd__nor2_2
+XFILLER_373_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39830_ _39830_/A _39829_/Y VGND VGND VPWR VPWR _39830_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_569_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_48_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_315_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_67862_ _67701_/A _67862_/B _67862_/C VGND VGND VPWR VPWR _67867_/B sky130_fd_sc_hd__nor3_2
+XPHY_23190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79848_ _80010_/CLK _79848_/D VGND VGND VPWR VPWR _68647_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_139_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60197_ _60027_/A _60197_/B _60196_/Y VGND VGND VPWR VPWR _60198_/C sky130_fd_sc_hd__nor3_2
+XFILLER_346_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_569_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69601_ _69601_/A _69943_/B VGND VGND VPWR VPWR _69601_/X sky130_fd_sc_hd__or2_2
+XFILLER_478_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_547_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66813_ _80253_/Q _67148_/B VGND VGND VPWR VPWR _66813_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_350_2058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_478 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_315_2178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39761_ _76093_/A VGND VGND VPWR VPWR _40148_/A sky130_fd_sc_hd__buf_1
+XFILLER_477_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48959_ _48957_/A VGND VGND VPWR VPWR _48960_/B sky130_fd_sc_hd__buf_1
+XFILLER_288_168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67793_ _67454_/X _67793_/B _67792_/Y VGND VGND VPWR VPWR _67793_/Y sky130_fd_sc_hd__nor3_2
+X_79779_ _79778_/CLK _40806_/Y VGND VGND VPWR VPWR _67820_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_170_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_286 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_432_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_130_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_213_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_413_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_586_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38712_ _38710_/X _38712_/B VGND VGND VPWR VPWR _38712_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_297_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_315_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69532_ _64822_/A _69530_/Y _69531_/Y VGND VGND VPWR VPWR _69532_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_233_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66744_ _73815_/C _66425_/X VGND VGND VPWR VPWR _66745_/C sky130_fd_sc_hd__nor2_2
+XFILLER_492_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_306_0_u_core.clock clkbuf_9_307_0_u_core.clock/A VGND VGND VPWR VPWR _81144_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_51970_ _51942_/A _51954_/A VGND VGND VPWR VPWR _51971_/B sky130_fd_sc_hd__or2_2
+X_39692_ _39656_/A VGND VGND VPWR VPWR _39692_/X sky130_fd_sc_hd__buf_1
+XFILLER_97_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63956_ _63956_/A _63956_/B _63955_/Y VGND VGND VPWR VPWR _63956_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_675_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_705_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_69_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_170_1467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_465_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_443_3100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38643_ _38492_/A _38651_/B VGND VGND VPWR VPWR _38643_/X sky130_fd_sc_hd__or2_2
+X_50921_ _50921_/A _50909_/X VGND VGND VPWR VPWR _50921_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_289_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_9_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62907_ _62907_/A _62907_/B VGND VGND VPWR VPWR _62910_/B sky130_fd_sc_hd__nor2_2
+XFILLER_708_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69463_ _69323_/A _69461_/Y _69463_/C VGND VGND VPWR VPWR _69464_/C sky130_fd_sc_hd__nor3_2
+XFILLER_701_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66675_ _67498_/A VGND VGND VPWR VPWR _67181_/A sky130_fd_sc_hd__buf_1
+XFILLER_113_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_257_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63887_ _63887_/A _63887_/B VGND VGND VPWR VPWR _63887_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_1755 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_265_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_272_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68414_ _72498_/C _68917_/B VGND VGND VPWR VPWR _68417_/B sky130_fd_sc_hd__nor2_2
+XFILLER_367_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_263_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_475_0_u_core.clock clkbuf_9_475_0_u_core.clock/A VGND VGND VPWR VPWR _80630_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_53640_ _53698_/A _53640_/B VGND VGND VPWR VPWR _53640_/X sky130_fd_sc_hd__or2_2
+XFILLER_465_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65626_ _81334_/Q _65626_/B VGND VGND VPWR VPWR _65628_/B sky130_fd_sc_hd__nor2_2
+XFILLER_404_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_38574_ _38579_/A _38579_/B _65906_/A VGND VGND VPWR VPWR _38574_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_285_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50852_ _50852_/A VGND VGND VPWR VPWR _50972_/A sky130_fd_sc_hd__buf_1
+X_62838_ _62838_/A _62837_/X VGND VGND VPWR VPWR _62838_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_426_1406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69394_ _69394_/A _64395_/B VGND VGND VPWR VPWR _69394_/Y sky130_fd_sc_hd__nor2_2
+XPHY_4908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_506_2164 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_74_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_80623_ _80685_/CLK _80623_/D VGND VGND VPWR VPWR _80623_/Q sky130_fd_sc_hd__dfxtp_4
+X_68345_ _68345_/A _68345_/B _68344_/Y VGND VGND VPWR VPWR _68345_/X sky130_fd_sc_hd__or3_2
+XFILLER_521_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_53571_ _53515_/A _53571_/B VGND VGND VPWR VPWR _53571_/X sky130_fd_sc_hd__or2_2
+XFILLER_701_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65557_ _65557_/A _65557_/B _65556_/Y VGND VGND VPWR VPWR _65707_/C sky130_fd_sc_hd__or3_2
+XFILLER_107_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_1286 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_623_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_601_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50783_ _50783_/A _50782_/X VGND VGND VPWR VPWR _77982_/D sky130_fd_sc_hd__nand2_2
+XFILLER_541_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_398_231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62769_ _59335_/A VGND VGND VPWR VPWR _62769_/X sky130_fd_sc_hd__buf_1
+XFILLER_402_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_0_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_408_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_344_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_588_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_587_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55310_ _55310_/A VGND VGND VPWR VPWR _55369_/A sky130_fd_sc_hd__buf_1
+XFILLER_424_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_228_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_39_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52522_ _52547_/B VGND VGND VPWR VPWR _52522_/X sky130_fd_sc_hd__buf_1
+X_64508_ _80400_/Q _65063_/B VGND VGND VPWR VPWR _64512_/B sky130_fd_sc_hd__nor2_2
+XFILLER_521_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_502_1305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_263_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_697_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56290_ _56290_/A _56285_/Y _56289_/Y VGND VGND VPWR VPWR _56291_/C sky130_fd_sc_hd__nor3_2
+XFILLER_408_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80554_ _80584_/CLK _80554_/D VGND VGND VPWR VPWR _68869_/A sky130_fd_sc_hd__dfxtp_4
+X_68276_ _64959_/A VGND VGND VPWR VPWR _68276_/X sky130_fd_sc_hd__buf_1
+XFILLER_603_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_213_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_588_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65488_ _65488_/A _65477_/Y _65487_/Y VGND VGND VPWR VPWR _65488_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_198_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_460_2790 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_126_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_80_674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_506_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_142_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_575_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_558_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_554_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67227_ _66727_/A _67227_/B _67227_/C VGND VGND VPWR VPWR _67235_/B sky130_fd_sc_hd__nor3_2
+X_55241_ _55237_/B _55241_/B _55123_/A VGND VGND VPWR VPWR _55243_/A sky130_fd_sc_hd__nor3_2
+XFILLER_224_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52453_ _52453_/A _52452_/X VGND VGND VPWR VPWR _52453_/Y sky130_fd_sc_hd__nand2_2
+X_64439_ _67044_/A VGND VGND VPWR VPWR _65037_/A sky130_fd_sc_hd__buf_1
+XPHY_25509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_52_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_386_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1382 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_636_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_107_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_593_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80485_ _80408_/CLK _80485_/D VGND VGND VPWR VPWR _80485_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_601_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_304_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_202_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1448 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_2853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_457_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_304_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39126_ _76093_/A VGND VGND VPWR VPWR _39127_/A sky130_fd_sc_hd__buf_1
+X_51404_ _61502_/A _51397_/X VGND VGND VPWR VPWR _51408_/A sky130_fd_sc_hd__nand2_2
+XFILLER_656_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_593_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55172_ _55133_/A VGND VGND VPWR VPWR _55173_/B sky130_fd_sc_hd__buf_1
+XFILLER_716_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67158_ _67158_/A _67158_/B _67158_/C VGND VGND VPWR VPWR _67162_/B sky130_fd_sc_hd__nor3_2
+XFILLER_410_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_21_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_1547 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_52384_ _52384_/A _52384_/B VGND VGND VPWR VPWR _77562_/D sky130_fd_sc_hd__nand2_2
+XPHY_24819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_366_161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_33_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_519_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_378_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_374_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_300_1724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_691_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54123_ _54121_/Y _54123_/B VGND VGND VPWR VPWR _77104_/D sky130_fd_sc_hd__nand2_2
+XFILLER_120_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_554_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66109_ _81177_/Q _65770_/B VGND VGND VPWR VPWR _66109_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_68_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51335_ _60557_/A _51335_/B VGND VGND VPWR VPWR _51337_/A sky130_fd_sc_hd__nand2_2
+XFILLER_176_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39057_ _39054_/A _39045_/B _80219_/Q VGND VGND VPWR VPWR _39057_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_138_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67089_ _67256_/A _67087_/Y _67088_/Y VGND VGND VPWR VPWR _67090_/C sky130_fd_sc_hd__nor3_2
+X_59980_ _77042_/Q _59980_/B VGND VGND VPWR VPWR _59980_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_656_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_532_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_515_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_539_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_356_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_317_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_107_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81106_ _81106_/CLK _73736_/Y VGND VGND VPWR VPWR _64899_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58931_ _59163_/A VGND VGND VPWR VPWR _58931_/X sky130_fd_sc_hd__buf_1
+X_54054_ _54054_/A _54054_/B VGND VGND VPWR VPWR _77119_/D sky130_fd_sc_hd__nand2_2
+XFILLER_257_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51266_ _53072_/A VGND VGND VPWR VPWR _51358_/A sky130_fd_sc_hd__buf_1
+XFILLER_419_2692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_175_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_2534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_669_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_118_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_713_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_270_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53005_ _77394_/Q _52997_/X VGND VGND VPWR VPWR _53005_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_710_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50217_ _78131_/Q _50220_/B VGND VGND VPWR VPWR _50217_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_468_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_231_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81037_ _81005_/CLK _74000_/Y VGND VGND VPWR VPWR _81037_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_450_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58862_ _46647_/B _58862_/B VGND VGND VPWR VPWR _58866_/B sky130_fd_sc_hd__nand2_2
+XPHY_12938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_489_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51197_ _55019_/A _51141_/B VGND VGND VPWR VPWR _51197_/X sky130_fd_sc_hd__or2_2
+XFILLER_256_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_292_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_66_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_686_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8202 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_337_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57813_ _80321_/Q _57813_/B VGND VGND VPWR VPWR _57815_/B sky130_fd_sc_hd__nor2_2
+XFILLER_274_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_171_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_587_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8213 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_432_2881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50148_ _61929_/A _50148_/B VGND VGND VPWR VPWR _50148_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_682_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_673_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_40_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8224 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39959_ _39949_/A _39964_/B _79996_/Q VGND VGND VPWR VPWR _39959_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_122_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58793_ _58793_/A _58793_/B VGND VGND VPWR VPWR _58794_/C sky130_fd_sc_hd__nor2_2
+XFILLER_216_1331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8235 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_684_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_669_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_27_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7501 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_657_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_437_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_8246 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_0_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7512 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72810_ _72810_/A _72810_/B VGND VGND VPWR VPWR _72810_/X sky130_fd_sc_hd__or2_2
+XPHY_8257 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57744_ _57667_/A _57742_/Y _57744_/C VGND VGND VPWR VPWR _57745_/C sky130_fd_sc_hd__nor3_2
+XFILLER_530_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_2293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7523 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42970_ _42933_/A VGND VGND VPWR VPWR _42971_/B sky130_fd_sc_hd__buf_1
+XPHY_8268 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50079_ _49994_/A _50085_/B VGND VGND VPWR VPWR _50080_/B sky130_fd_sc_hd__or2_2
+X_54956_ _54956_/A _54955_/X VGND VGND VPWR VPWR _54956_/Y sky130_fd_sc_hd__nand2_2
+X_73790_ _73771_/X VGND VGND VPWR VPWR _73791_/B sky130_fd_sc_hd__buf_1
+XFILLER_134_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_1998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_7534 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8279 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_409_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_641_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7545 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_628_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7556 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41921_ _41921_/A VGND VGND VPWR VPWR _41921_/X sky130_fd_sc_hd__buf_1
+XFILLER_251_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39304 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53907_ _53850_/A _53904_/B VGND VGND VPWR VPWR _53907_/X sky130_fd_sc_hd__or2_2
+XFILLER_625_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72741_ _72737_/X _72734_/B _81351_/Q VGND VGND VPWR VPWR _72741_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_44_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7567 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_465_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_483_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_723_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_57675_ _57423_/X _57675_/B _57675_/C VGND VGND VPWR VPWR _57680_/B sky130_fd_sc_hd__nor3_2
+XFILLER_388_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_39315 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7578 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_2930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_54887_ _54887_/A _54887_/B VGND VGND VPWR VPWR _54887_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_436_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39326 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_40_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7589 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_99_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_464_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_79_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39337 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59414_ _77262_/Q _63877_/B VGND VGND VPWR VPWR _59415_/C sky130_fd_sc_hd__nor2_2
+XFILLER_483_2278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38603 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39348 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44640_ _79206_/Q _44707_/B VGND VGND VPWR VPWR _44697_/B sky130_fd_sc_hd__nor2_2
+XFILLER_264_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_6866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_640_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56626_ _56469_/A _56626_/B _56625_/Y VGND VGND VPWR VPWR _56636_/A sky130_fd_sc_hd__nor3_2
+X_75460_ _75478_/A _75473_/B _75460_/C VGND VGND VPWR VPWR _75460_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_452_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_290_322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41852_ _41852_/A _41851_/X VGND VGND VPWR VPWR _41852_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_47_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38614 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53838_ _53838_/A _53841_/B VGND VGND VPWR VPWR _53838_/X sky130_fd_sc_hd__or2_2
+XPHY_39359 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72672_ _72670_/X _72671_/Y VGND VGND VPWR VPWR _72672_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_1_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_598_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38625 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_424_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_422_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_164_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38636 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_440_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_448_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38647 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37902 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74411_ _74411_/A _74410_/X VGND VGND VPWR VPWR _74411_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_327_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40803_ _40799_/X _40803_/B VGND VGND VPWR VPWR _40803_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_264_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_28_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59345_ _77022_/Q _59345_/B VGND VGND VPWR VPWR _59346_/C sky130_fd_sc_hd__nor2_2
+XFILLER_38_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71623_ _71490_/A _70490_/Y VGND VGND VPWR VPWR _71624_/B sky130_fd_sc_hd__nor2_2
+XFILLER_217_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38658 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37913 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44571_ _44571_/A _44568_/Y _44571_/C VGND VGND VPWR VPWR _44571_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_344_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56557_ _56557_/A _58786_/B VGND VGND VPWR VPWR _56558_/C sky130_fd_sc_hd__nor2_2
+XFILLER_44_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75391_ _75391_/A _75391_/B VGND VGND VPWR VPWR _75391_/X sky130_fd_sc_hd__or2_2
+XPHY_28135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_41783_ _45875_/A VGND VGND VPWR VPWR _41783_/Y sky130_fd_sc_hd__inv_8
+XFILLER_147_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37924 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53769_ _53769_/A _53768_/X VGND VGND VPWR VPWR _77196_/D sky130_fd_sc_hd__nand2_2
+XFILLER_366_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38669 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37935 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_44_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46310_ _46310_/A _46283_/X VGND VGND VPWR VPWR _46311_/C sky130_fd_sc_hd__nor2_2
+XFILLER_125_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37946 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77130_ _77141_/CLK _77130_/D VGND VGND VPWR VPWR _54013_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_284_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43522_ _55056_/A _55054_/B VGND VGND VPWR VPWR _43523_/B sky130_fd_sc_hd__nand2_2
+X_55508_ _55508_/A _55507_/X VGND VGND VPWR VPWR _76804_/D sky130_fd_sc_hd__nand2_2
+XFILLER_559_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_74342_ _74220_/A _74328_/X VGND VGND VPWR VPWR _74344_/A sky130_fd_sc_hd__or2_2
+XFILLER_422_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_6_24_0_u_core.clock clkbuf_5_12_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_49_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_232_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37957 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40734_ _40615_/A _40741_/B VGND VGND VPWR VPWR _40737_/A sky130_fd_sc_hd__or2_2
+XFILLER_16_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71554_ _70442_/X _71554_/B VGND VGND VPWR VPWR _71554_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_95_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47290_ _47247_/X VGND VGND VPWR VPWR _47303_/A sky130_fd_sc_hd__buf_1
+XFILLER_207_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59276_ _59535_/A VGND VGND VPWR VPWR _63467_/A sky130_fd_sc_hd__buf_1
+XFILLER_432_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37968 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56488_ _56488_/A _56486_/Y _56487_/Y VGND VGND VPWR VPWR _56488_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_73_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_2551 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_697_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_349_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37979 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_188_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_205_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46241_ _46241_/A VGND VGND VPWR VPWR _46242_/C sky130_fd_sc_hd__buf_1
+X_70505_ _70505_/A _70505_/B _70504_/Y VGND VGND VPWR VPWR _70505_/X sky130_fd_sc_hd__or3_2
+XFILLER_600_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58227_ _57257_/A VGND VGND VPWR VPWR _58227_/X sky130_fd_sc_hd__buf_1
+XPHY_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_538_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77061_ _77061_/CLK _54282_/Y VGND VGND VPWR VPWR _77061_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_223_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43453_ _43463_/A _43456_/B _57052_/A VGND VGND VPWR VPWR _43453_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_420_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55439_ _55480_/A VGND VGND VPWR VPWR _55469_/A sky130_fd_sc_hd__buf_1
+XFILLER_160_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74273_ _74659_/A _74161_/A VGND VGND VPWR VPWR _74275_/A sky130_fd_sc_hd__or2_2
+XFILLER_494_3053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40665_ _40661_/X _40665_/B VGND VGND VPWR VPWR _79817_/D sky130_fd_sc_hd__nand2_2
+XPHY_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71485_ _71485_/A _71484_/X VGND VGND VPWR VPWR _71488_/C sky130_fd_sc_hd__nor2_2
+XPHY_16221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_572_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_325_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_227_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_658_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_547_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_367_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_169_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_1335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_231_499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76012_ _76012_/A _76012_/B _80542_/Q VGND VGND VPWR VPWR _76012_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_654_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42404_ _42404_/A _41645_/X _41908_/D VGND VGND VPWR VPWR _42405_/A sky130_fd_sc_hd__or3_2
+XFILLER_107_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_670_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73224_ _75032_/A _73237_/B VGND VGND VPWR VPWR _73224_/X sky130_fd_sc_hd__or2_2
+XPHY_26777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46172_ _46166_/Y _46170_/Y _46171_/Y VGND VGND VPWR VPWR _46172_/X sky130_fd_sc_hd__or3_2
+XFILLER_519_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58158_ _58158_/A _57915_/B VGND VGND VPWR VPWR _58160_/B sky130_fd_sc_hd__nor2_2
+XFILLER_129_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70436_ _70463_/A _70435_/X VGND VGND VPWR VPWR _70437_/B sky130_fd_sc_hd__nor2_2
+XPHY_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43384_ _42903_/A _43378_/B VGND VGND VPWR VPWR _43388_/A sky130_fd_sc_hd__or2_2
+XPHY_15520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_537_2647 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_455_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40596_ _40593_/X _40596_/B VGND VGND VPWR VPWR _40596_/Y sky130_fd_sc_hd__nand2_2
+XPHY_26799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_381 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_723_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_357_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_367_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_1162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_141_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57109_ _56956_/A _57107_/Y _57108_/Y VGND VGND VPWR VPWR _57109_/Y sky130_fd_sc_hd__nor3_2
+X_45123_ _45182_/A _45123_/B VGND VGND VPWR VPWR _45123_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_357_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42335_ _42335_/A _42328_/Y _42335_/C VGND VGND VPWR VPWR _42335_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_534_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73155_ _74978_/A _73154_/X VGND VGND VPWR VPWR _73155_/X sky130_fd_sc_hd__or2_2
+XFILLER_240_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_561_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_89_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58089_ _67948_/A _58164_/B VGND VGND VPWR VPWR _58091_/B sky130_fd_sc_hd__nor2_2
+X_70367_ _70358_/X _70367_/B VGND VGND VPWR VPWR _70367_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_459_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_355_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_86_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_392_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_1480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_345_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_455_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_172_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_221_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60120_ _77091_/Q _59797_/B VGND VGND VPWR VPWR _60122_/B sky130_fd_sc_hd__nor2_2
+XFILLER_338_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_14852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72106_ _72088_/C _72100_/B VGND VGND VPWR VPWR _72106_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_275_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_711_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49931_ _49931_/A _49930_/X VGND VGND VPWR VPWR _49931_/Y sky130_fd_sc_hd__nand2_2
+X_45054_ _45388_/A VGND VGND VPWR VPWR _45062_/A sky130_fd_sc_hd__buf_1
+XPHY_32220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_2902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42266_ _44916_/A _44916_/B VGND VGND VPWR VPWR _42268_/A sky130_fd_sc_hd__nor2_2
+XFILLER_550_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_279_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_73086_ _73089_/A _73089_/B _65278_/A VGND VGND VPWR VPWR _73086_/Y sky130_fd_sc_hd__nand3_2
+X_77963_ _77956_/CLK _77963_/D VGND VGND VPWR VPWR _62608_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_173_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_316_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70298_ _70298_/A _70317_/A VGND VGND VPWR VPWR _70300_/B sky130_fd_sc_hd__nor2_2
+XFILLER_171_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_50_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_257_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_49_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_338_1466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44005_ _73503_/A _44168_/A VGND VGND VPWR VPWR _44066_/B sky130_fd_sc_hd__nor2_2
+XFILLER_531_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79702_ _79670_/CLK _41119_/Y VGND VGND VPWR VPWR _56978_/A sky130_fd_sc_hd__dfxtp_4
+X_41217_ _41209_/X _41228_/B _57612_/A VGND VGND VPWR VPWR _41217_/Y sky130_fd_sc_hd__nand3_2
+XPHY_32264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60051_ _60051_/A _60040_/Y _60050_/Y VGND VGND VPWR VPWR _60052_/B sky130_fd_sc_hd__nor3_2
+X_76914_ _77353_/CLK _54830_/Y VGND VGND VPWR VPWR _54826_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_370_2946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72037_ _72034_/X _72037_/B VGND VGND VPWR VPWR _43657_/A sky130_fd_sc_hd__nand2_2
+XFILLER_710_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49862_ _62688_/A _49858_/B VGND VGND VPWR VPWR _49862_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_472_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_42197_ _42196_/Y _42034_/B VGND VGND VPWR VPWR _42201_/A sky130_fd_sc_hd__nor2_2
+XFILLER_166_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_119_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77894_ _77888_/CLK _77894_/D VGND VGND VPWR VPWR _61969_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_675_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_132_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_252_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_611_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_253_2766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_84_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_414_1332 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48813_ _63577_/A _48802_/B VGND VGND VPWR VPWR _48815_/A sky130_fd_sc_hd__nand2_2
+XPHY_21040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79633_ _79694_/CLK _41385_/Y VGND VGND VPWR VPWR _56564_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_113_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41148_ _40837_/A VGND VGND VPWR VPWR _41149_/A sky130_fd_sc_hd__buf_1
+XFILLER_84_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_4_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76845_ _76841_/CLK _76845_/D VGND VGND VPWR VPWR _55130_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_21051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49793_ _49805_/A VGND VGND VPWR VPWR _49812_/B sky130_fd_sc_hd__buf_1
+XPHY_30840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_152_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_268 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_533_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_414_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_112_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9470 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_680_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_1999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9481 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_301_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63810_ _63810_/A _63810_/B _63809_/Y VGND VGND VPWR VPWR _63810_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_629_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_267_831 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48744_ _48715_/A _48744_/B VGND VGND VPWR VPWR _48744_/X sky130_fd_sc_hd__or2_2
+XPHY_9492 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79564_ _79411_/CLK _41752_/Y _41746_/X VGND VGND VPWR VPWR _45136_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_234_1464 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_646_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_410_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_2820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41079_ _43872_/A VGND VGND VPWR VPWR _41079_/X sky130_fd_sc_hd__buf_1
+XFILLER_45_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45956_ _42668_/B _45959_/B VGND VGND VPWR VPWR _45957_/C sky130_fd_sc_hd__nor2_2
+XPHY_30884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_2131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64790_ _64790_/A _64629_/X VGND VGND VPWR VPWR _64791_/C sky130_fd_sc_hd__nor2_2
+XFILLER_286_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76776_ _77422_/CLK _76776_/D VGND VGND VPWR VPWR _76776_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_310_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_79_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_691_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73988_ _73985_/X _73988_/B VGND VGND VPWR VPWR _73988_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_427_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_171_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_617_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2998 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_681_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_529_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8780 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_449_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_130_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78515_ _78514_/CLK _48741_/Y VGND VGND VPWR VPWR _63836_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_132_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8791 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44907_ _44951_/A _44907_/B VGND VGND VPWR VPWR _44919_/A sky130_fd_sc_hd__or2_2
+X_63741_ _47867_/A _63872_/B VGND VGND VPWR VPWR _63743_/B sky130_fd_sc_hd__nor2_2
+XPHY_20394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75727_ _75725_/X _75727_/B VGND VGND VPWR VPWR _75727_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_26_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60953_ _77608_/Q _60953_/B VGND VGND VPWR VPWR _60954_/C sky130_fd_sc_hd__nor2_2
+X_72939_ _72939_/A _72938_/Y VGND VGND VPWR VPWR _72939_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_466_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48675_ _48704_/A _54432_/A VGND VGND VPWR VPWR _48675_/X sky130_fd_sc_hd__or2_2
+XFILLER_672_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79495_ _79411_/CLK _79495_/D VGND VGND VPWR VPWR _42265_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_169_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_329_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45887_ _46861_/A VGND VGND VPWR VPWR _45899_/A sky130_fd_sc_hd__buf_1
+XFILLER_282_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_529_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_93_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2438 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_294_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_466_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_47626_ _63047_/A _47608_/B VGND VGND VPWR VPWR _47626_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_624_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66460_ _66460_/A _66460_/B VGND VGND VPWR VPWR _66462_/B sky130_fd_sc_hd__nor2_2
+X_78446_ _77506_/CLK _78446_/D VGND VGND VPWR VPWR _78446_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_286_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44838_ _75025_/A _44836_/X _44827_/Y _44837_/Y VGND VGND VPWR VPWR _44839_/C sky130_fd_sc_hd__o22a_4
+XFILLER_187_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_507_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63672_ _63672_/A _63672_/B VGND VGND VPWR VPWR _76754_/D sky130_fd_sc_hd__nor2_2
+XFILLER_97_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75658_ _75651_/A _75651_/B _66537_/A VGND VGND VPWR VPWR _75659_/B sky130_fd_sc_hd__nand3_2
+XFILLER_384_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_415_338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_522_2905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60884_ _60884_/A _60881_/Y _60884_/C VGND VGND VPWR VPWR _60884_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_94_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_3027 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_588_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_184_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65411_ _65411_/A _65906_/B VGND VGND VPWR VPWR _65411_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_1_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_444_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62623_ _62139_/X _62615_/Y _62623_/C VGND VGND VPWR VPWR _62645_/A sky130_fd_sc_hd__nor3_2
+XFILLER_564_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74609_ _74607_/X _74608_/Y VGND VGND VPWR VPWR _80894_/D sky130_fd_sc_hd__nand2_2
+XFILLER_247_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_522_2938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_460_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47557_ _63380_/A _47545_/B VGND VGND VPWR VPWR _47559_/A sky130_fd_sc_hd__nand2_2
+X_66391_ _80859_/Q _66710_/B VGND VGND VPWR VPWR _66392_/C sky130_fd_sc_hd__nor2_2
+XFILLER_631_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78377_ _78840_/CLK _78377_/D VGND VGND VPWR VPWR _78377_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_241_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44769_ _44766_/A _44768_/X _44769_/C VGND VGND VPWR VPWR _44769_/Y sky130_fd_sc_hd__nor3_2
+XPHY_29370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_78_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_75589_ _75695_/B VGND VGND VPWR VPWR _75605_/B sky130_fd_sc_hd__buf_1
+XFILLER_447_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_599_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_569_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_369_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_280_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_694_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68130_ _68130_/A VGND VGND VPWR VPWR _68131_/B sky130_fd_sc_hd__buf_1
+XFILLER_147_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_424_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46508_ _42382_/X VGND VGND VPWR VPWR _46508_/X sky130_fd_sc_hd__buf_1
+XFILLER_451_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65342_ _56755_/A _64660_/X VGND VGND VPWR VPWR _65342_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_692_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77328_ _77333_/CLK _77328_/D VGND VGND VPWR VPWR _77328_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_143_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38290_ _38293_/A _38293_/B _80414_/Q VGND VGND VPWR VPWR _38290_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_640_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_62554_ _78130_/Q _62241_/B VGND VGND VPWR VPWR _62555_/C sky130_fd_sc_hd__nor2_2
+XFILLER_91_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_679_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_78_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47488_ _47387_/A VGND VGND VPWR VPWR _47490_/A sky130_fd_sc_hd__buf_1
+XFILLER_620_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_2048 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2515 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_396_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_225_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_250_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_577_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_557_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49227_ _49252_/B VGND VGND VPWR VPWR _49242_/B sky130_fd_sc_hd__buf_1
+X_61505_ _60886_/A _61496_/Y _61505_/C VGND VGND VPWR VPWR _61505_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_700_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68061_ _68061_/A _68061_/B VGND VGND VPWR VPWR _68102_/B sky130_fd_sc_hd__nor2_2
+XFILLER_440_1948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46439_ _43178_/A _46438_/B VGND VGND VPWR VPWR _46439_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_34_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_501_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65273_ _64757_/A _65273_/B _65273_/C VGND VGND VPWR VPWR _65289_/A sky130_fd_sc_hd__nor3_2
+XFILLER_368_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_600_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77259_ _77259_/CLK _53537_/Y VGND VGND VPWR VPWR _60221_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_61_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_104_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62485_ _62477_/X _62485_/B _62484_/Y VGND VGND VPWR VPWR _62486_/C sky130_fd_sc_hd__nor3_2
+XFILLER_653_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_277_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_206_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_594_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67012_ _67182_/A _67008_/Y _67012_/C VGND VGND VPWR VPWR _67023_/B sky130_fd_sc_hd__nor3_2
+XFILLER_323_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64224_ _69313_/A _64222_/Y _64223_/Y VGND VGND VPWR VPWR _64224_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_438_2512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_692_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61436_ _51801_/A _60804_/B VGND VGND VPWR VPWR _61438_/B sky130_fd_sc_hd__nor2_2
+XFILLER_203_691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49158_ _63374_/A _49164_/B VGND VGND VPWR VPWR _49158_/Y sky130_fd_sc_hd__nand2_2
+X_80270_ _80239_/CLK _80270_/D VGND VGND VPWR VPWR _38867_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_143_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_163_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2616 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_2756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_2193 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_304_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_141_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_297_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_571_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_293_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_48109_ _48109_/A _48109_/B VGND VGND VPWR VPWR _78676_/D sky130_fd_sc_hd__nand2_2
+XFILLER_309_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_336_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_378_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_657_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64155_ _64155_/A _64167_/B VGND VGND VPWR VPWR _64155_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_147_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_176_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_378_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61367_ _61367_/A _61519_/B VGND VGND VPWR VPWR _61370_/B sky130_fd_sc_hd__nor2_2
+X_49089_ _63568_/A _49075_/B VGND VGND VPWR VPWR _49092_/A sky130_fd_sc_hd__nand2_2
+XFILLER_391_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_8_205_0_u_core.clock clkbuf_7_102_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_411_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_434_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_438_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_974 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_11_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_265_0_u_core.clock clkbuf_9_265_0_u_core.clock/A VGND VGND VPWR VPWR _81378_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_391_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_357_70 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_651_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_102_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51120_ _51036_/A _51138_/B VGND VGND VPWR VPWR _51120_/X sky130_fd_sc_hd__or2_2
+XFILLER_219_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_391_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63106_ _63106_/A _62962_/B VGND VGND VPWR VPWR _63106_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_699_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_356_2267 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60318_ _59513_/A VGND VGND VPWR VPWR _60325_/A sky130_fd_sc_hd__buf_1
+XFILLER_163_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68963_ _68276_/X _68952_/Y _68962_/Y VGND VGND VPWR VPWR _68964_/B sky130_fd_sc_hd__nor3_2
+XFILLER_363_175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64086_ _64072_/A VGND VGND VPWR VPWR _64472_/A sky130_fd_sc_hd__buf_1
+XFILLER_525_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_317_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61298_ _61452_/A _61298_/B _61298_/C VGND VGND VPWR VPWR _61316_/A sky130_fd_sc_hd__nor3_2
+XFILLER_391_1424 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_200_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_278_1380 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51051_ _61859_/A _51054_/B VGND VGND VPWR VPWR _51053_/A sky130_fd_sc_hd__nand2_2
+XFILLER_258_1976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_85_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67914_ _68401_/A _67914_/B _67914_/C VGND VGND VPWR VPWR _67914_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_514_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63037_ _78765_/Q _63037_/B VGND VGND VPWR VPWR _63039_/B sky130_fd_sc_hd__nor2_2
+XFILLER_724_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_116_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_507_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60249_ _77323_/Q _60406_/B VGND VGND VPWR VPWR _60249_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_143_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68894_ _68894_/A VGND VGND VPWR VPWR _69461_/B sky130_fd_sc_hd__buf_1
+XFILLER_291_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_219_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_629_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_676 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_144_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_131_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_710_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_172_1507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50002_ _61763_/A _49996_/B VGND VGND VPWR VPWR _50004_/A sky130_fd_sc_hd__nand2_2
+XFILLER_521_662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39813_ _76150_/A VGND VGND VPWR VPWR _39951_/A sky130_fd_sc_hd__buf_1
+XFILLER_176_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_695_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67845_ _67676_/A _67842_/Y _67844_/Y VGND VGND VPWR VPWR _67846_/C sky130_fd_sc_hd__nor3_2
+XFILLER_48_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_584_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_569_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_666_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_664_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54810_ _54810_/A _54804_/B VGND VGND VPWR VPWR _54810_/X sky130_fd_sc_hd__or2_2
+XFILLER_419_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_39_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_39744_ _39815_/A VGND VGND VPWR VPWR _39744_/X sky130_fd_sc_hd__buf_1
+XFILLER_113_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55790_ _53075_/A _55790_/B VGND VGND VPWR VPWR _55790_/X sky130_fd_sc_hd__or2_2
+XFILLER_367_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67776_ _58005_/A _68104_/B VGND VGND VPWR VPWR _67777_/C sky130_fd_sc_hd__nor2_2
+XFILLER_493_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_549_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64988_ _64988_/A _64987_/X VGND VGND VPWR VPWR _64988_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_488_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_252_1575 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_625_2406 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_389_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_252_1586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69515_ _64752_/A _69515_/B _69515_/C VGND VGND VPWR VPWR _69515_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_312_2896 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_97_582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_367_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66727_ _66727_/A _66727_/B _66727_/C VGND VGND VPWR VPWR _66736_/B sky130_fd_sc_hd__nor3_2
+X_54741_ _54798_/A _54753_/B VGND VGND VPWR VPWR _54742_/B sky130_fd_sc_hd__or2_2
+XFILLER_58_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39675_ _39678_/A _39678_/B _57657_/A VGND VGND VPWR VPWR _39675_/Y sky130_fd_sc_hd__nand3_2
+X_51953_ _51953_/A _51950_/B VGND VGND VPWR VPWR _51953_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_258_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_582_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63939_ _63939_/A _58998_/B VGND VGND VPWR VPWR _63939_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_150_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_6_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_2117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_723_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_2937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_187_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_38626_ _38637_/A _38641_/B _38626_/C VGND VGND VPWR VPWR _38626_/Y sky130_fd_sc_hd__nand3_2
+X_50904_ _50904_/A _50903_/X VGND VGND VPWR VPWR _50904_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_622_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57460_ _57217_/X _57460_/B _57459_/Y VGND VGND VPWR VPWR _57461_/C sky130_fd_sc_hd__nor3_2
+XFILLER_680_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69446_ _64496_/X _69444_/Y _69446_/C VGND VGND VPWR VPWR _69447_/C sky130_fd_sc_hd__nor3_2
+XFILLER_445_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54672_ _54668_/Y _54672_/B VGND VGND VPWR VPWR _76956_/D sky130_fd_sc_hd__nand2_2
+XFILLER_113_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66658_ _79964_/Q _66991_/B VGND VGND VPWR VPWR _66659_/C sky130_fd_sc_hd__nor2_2
+XFILLER_245_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_183_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51884_ _77693_/Q _51884_/B VGND VGND VPWR VPWR _51884_/Y sky130_fd_sc_hd__nand2_2
+XPHY_5439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_461_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_268_1048 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_85_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_84_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56411_ _56495_/A _56411_/B _56410_/Y VGND VGND VPWR VPWR _56411_/X sky130_fd_sc_hd__or3_2
+XFILLER_623_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_461_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2040 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_113_1693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53623_ _53657_/A _53642_/B VGND VGND VPWR VPWR _53623_/X sky130_fd_sc_hd__or2_2
+XFILLER_443_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37209 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65609_ _65609_/A _65436_/B VGND VGND VPWR VPWR _65609_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_272_366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1351 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50835_ _61984_/A _50823_/B VGND VGND VPWR VPWR _50837_/A sky130_fd_sc_hd__nand2_2
+X_38557_ _76164_/A VGND VGND VPWR VPWR _38558_/A sky130_fd_sc_hd__buf_1
+XFILLER_508_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69377_ _80621_/Q _64682_/B VGND VGND VPWR VPWR _69378_/C sky130_fd_sc_hd__nor2_2
+X_57391_ _57790_/A VGND VGND VPWR VPWR _57718_/A sky130_fd_sc_hd__buf_1
+XFILLER_260_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_363_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66589_ _67256_/A _66589_/B _66589_/C VGND VGND VPWR VPWR _66590_/C sky130_fd_sc_hd__nor3_2
+XFILLER_246_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_599_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_408_2382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_4749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_345_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_341_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59130_ _59715_/A VGND VGND VPWR VPWR _59130_/X sky130_fd_sc_hd__buf_1
+XFILLER_282_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_224_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_226_1909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_461_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_1628 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68328_ _68010_/A _68326_/Y _68328_/C VGND VGND VPWR VPWR _68332_/B sky130_fd_sc_hd__nor3_2
+X_80606_ _80597_/CLK _80606_/D VGND VGND VPWR VPWR _75770_/C sky130_fd_sc_hd__dfxtp_4
+X_56342_ _56342_/A VGND VGND VPWR VPWR _57111_/A sky130_fd_sc_hd__buf_1
+XFILLER_309_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_241_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_658_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53554_ _59189_/A _53551_/B VGND VGND VPWR VPWR _53554_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_443_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_306_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38488_ _38488_/A _38503_/B VGND VGND VPWR VPWR _38490_/A sky130_fd_sc_hd__or2_2
+X_50766_ _50823_/A _50766_/B VGND VGND VPWR VPWR _50766_/X sky130_fd_sc_hd__or2_2
+XFILLER_225_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_709_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_165_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_326_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_80_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_978 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_223 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_718_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_71_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52505_ _52502_/Y _52505_/B VGND VGND VPWR VPWR _77530_/D sky130_fd_sc_hd__nand2_2
+XFILLER_541_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59061_ _59060_/X VGND VGND VPWR VPWR _59363_/A sky130_fd_sc_hd__buf_1
+XFILLER_697_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_55_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56273_ _58299_/A VGND VGND VPWR VPWR _58681_/B sky130_fd_sc_hd__buf_1
+XFILLER_361_1261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80537_ _80543_/CLK _76031_/Y VGND VGND VPWR VPWR _80537_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_478_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68259_ _64739_/A VGND VGND VPWR VPWR _68259_/X sky130_fd_sc_hd__buf_1
+XFILLER_693_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53485_ _77272_/Q _53476_/B VGND VGND VPWR VPWR _53488_/A sky130_fd_sc_hd__nand2_2
+XFILLER_142_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_126_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50697_ _62809_/A _50696_/X VGND VGND VPWR VPWR _50697_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_241_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_142_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58012_ _56810_/X VGND VGND VPWR VPWR _58331_/B sky130_fd_sc_hd__buf_1
+XFILLER_575_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_124_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_713 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55224_ _55224_/A _55223_/X VGND VGND VPWR VPWR _76826_/D sky130_fd_sc_hd__or2_2
+XFILLER_658_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_3013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_16_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_2251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_554_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_120_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40450_ _40446_/X _40449_/Y VGND VGND VPWR VPWR _40450_/Y sky130_fd_sc_hd__nand2_2
+X_52436_ _52316_/X _52451_/B VGND VGND VPWR VPWR _52437_/B sky130_fd_sc_hd__or2_2
+XPHY_25339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71270_ _71270_/A VGND VGND VPWR VPWR _71271_/A sky130_fd_sc_hd__inv_8
+XFILLER_396_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80468_ _80518_/CLK _76326_/Y VGND VGND VPWR VPWR _76325_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_24605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_456_2623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_159_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_396_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_705_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_181_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_517_935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_421_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_187_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_491_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_439_1619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_52_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_478_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39109_ _39071_/A VGND VGND VPWR VPWR _39109_/X sky130_fd_sc_hd__buf_1
+XFILLER_328_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_14104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_614_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70221_ _70221_/A VGND VGND VPWR VPWR _70222_/A sky130_fd_sc_hd__inv_8
+XFILLER_355_643 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_24638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55155_ _55144_/A _55150_/Y _55155_/C VGND VGND VPWR VPWR _55157_/A sky130_fd_sc_hd__nor3_2
+XFILLER_543_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40381_ _40377_/A _40372_/B _69256_/A VGND VGND VPWR VPWR _40382_/B sky130_fd_sc_hd__nand3_2
+XPHY_23904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52367_ _52338_/A _52364_/B VGND VGND VPWR VPWR _52367_/X sky130_fd_sc_hd__or2_2
+XFILLER_491_2547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_459_1078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_139_779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_355_665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80399_ _80367_/CLK _80399_/D VGND VGND VPWR VPWR _38342_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_437_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_437_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_13403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_712_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42120_ _42118_/Y _42119_/Y _42109_/C VGND VGND VPWR VPWR _79521_/D sky130_fd_sc_hd__nor3_2
+XPHY_14148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54106_ _54115_/A VGND VGND VPWR VPWR _54118_/B sky130_fd_sc_hd__buf_1
+XFILLER_193_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51318_ _51318_/A _51318_/B VGND VGND VPWR VPWR _51318_/Y sky130_fd_sc_hd__nand2_2
+XPHY_13414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_1742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70152_ _70152_/A VGND VGND VPWR VPWR _70155_/A sky130_fd_sc_hd__inv_8
+XPHY_14159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_339_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_437_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_1917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59963_ _59491_/A _59963_/B _59962_/Y VGND VGND VPWR VPWR _59964_/C sky130_fd_sc_hd__nor3_2
+XFILLER_300_1576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55086_ _45780_/Y _46898_/B VGND VGND VPWR VPWR _55087_/B sky130_fd_sc_hd__nor2_2
+XFILLER_689_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_370_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52298_ _52296_/Y _52298_/B VGND VGND VPWR VPWR _77584_/D sky130_fd_sc_hd__nand2_2
+XFILLER_434_2943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_14_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_270_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58914_ _59137_/A VGND VGND VPWR VPWR _59785_/A sky130_fd_sc_hd__buf_1
+X_42051_ _42050_/X VGND VGND VPWR VPWR _42055_/A sky130_fd_sc_hd__buf_1
+XPHY_13458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_614_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54037_ _53917_/A _54037_/B VGND VGND VPWR VPWR _54038_/B sky130_fd_sc_hd__or2_2
+XFILLER_257_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_2149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51249_ _51249_/A _51248_/Y VGND VGND VPWR VPWR _77858_/D sky130_fd_sc_hd__nand2_2
+XPHY_12724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_74960_ _74965_/A _74952_/B _68865_/A VGND VGND VPWR VPWR _74962_/A sky130_fd_sc_hd__nand3_2
+XFILLER_339_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_70083_ _71212_/A VGND VGND VPWR VPWR _71817_/A sky130_fd_sc_hd__buf_1
+XPHY_13469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59894_ _60050_/A _59894_/B _59893_/Y VGND VGND VPWR VPWR _59895_/C sky130_fd_sc_hd__nor3_2
+XPHY_12735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_235_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_497_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_41002_ _40883_/A _41002_/B VGND VGND VPWR VPWR _41002_/X sky130_fd_sc_hd__or2_2
+XPHY_30114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73911_ _73892_/X VGND VGND VPWR VPWR _73917_/B sky130_fd_sc_hd__buf_1
+XFILLER_500_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_710_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58845_ _58837_/Y _58845_/B VGND VGND VPWR VPWR _58845_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_658_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8010 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_685_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74891_ _75373_/A _74894_/B VGND VGND VPWR VPWR _74891_/X sky130_fd_sc_hd__or2_2
+XFILLER_333_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_669_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8021 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_121_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8032 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_46_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_45810_ _45809_/Y _45810_/B VGND VGND VPWR VPWR _45810_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_496_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76630_ VGND VGND VPWR VPWR _76630_/HI la_data_out[126] sky130_fd_sc_hd__conb_1
+XPHY_8043 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73842_ _73962_/A _73845_/B VGND VGND VPWR VPWR _73844_/A sky130_fd_sc_hd__or2_2
+XFILLER_456_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_231_2349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_682_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8054 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46790_ _78955_/Q _46790_/B VGND VGND VPWR VPWR _46791_/B sky130_fd_sc_hd__nor2_2
+X_58776_ _56535_/A _58774_/Y _58775_/Y VGND VGND VPWR VPWR _58777_/C sky130_fd_sc_hd__nor3_2
+XFILLER_714_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7320 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8065 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55988_ _55988_/A _55988_/B _55988_/C VGND VGND VPWR VPWR _55989_/B sky130_fd_sc_hd__or3_2
+XFILLER_650_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_27_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7331 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8076 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_251_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_276_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7342 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8087 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_212_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_249_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_428_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_330_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45741_ _44448_/A VGND VGND VPWR VPWR _46861_/A sky130_fd_sc_hd__buf_1
+XFILLER_231_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57727_ _58525_/A VGND VGND VPWR VPWR _57728_/B sky130_fd_sc_hd__buf_1
+XFILLER_23_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_647_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_548_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76561_ VGND VGND VPWR VPWR _76561_/HI la_data_out[57] sky130_fd_sc_hd__conb_1
+XPHY_7353 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8098 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42953_ _42949_/A _42949_/B _57439_/A VGND VGND VPWR VPWR _42954_/B sky130_fd_sc_hd__nand3_2
+X_54939_ _54939_/A _54954_/B VGND VGND VPWR VPWR _54939_/X sky130_fd_sc_hd__or2_2
+XFILLER_251_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73773_ _73776_/A _73776_/B _73773_/C VGND VGND VPWR VPWR _73774_/B sky130_fd_sc_hd__nand3_2
+XFILLER_467_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_39101 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_643_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_471_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7364 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70985_ _70985_/A _70293_/X VGND VGND VPWR VPWR _70991_/A sky130_fd_sc_hd__nor2_2
+XFILLER_614_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39112 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_2096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_561_2217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_385_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7375 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39123 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78300_ _78307_/CLK _78300_/D VGND VGND VPWR VPWR _62894_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_647_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7386 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75512_ _76014_/A _75501_/B VGND VGND VPWR VPWR _75514_/A sky130_fd_sc_hd__or2_2
+XFILLER_483_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_480_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41904_ _79543_/Q VGND VGND VPWR VPWR _45290_/A sky130_fd_sc_hd__buf_1
+XFILLER_208_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39134 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_608_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48460_ _78587_/Q _48455_/B VGND VGND VPWR VPWR _48460_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_248_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72724_ _72799_/A VGND VGND VPWR VPWR _72728_/B sky130_fd_sc_hd__buf_1
+XFILLER_275_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7397 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79280_ _79216_/CLK _43926_/Y VGND VGND VPWR VPWR _43922_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_248_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_483_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45672_ _79108_/Q _45673_/A VGND VGND VPWR VPWR _45672_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_723_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38400 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_624_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57658_ _57658_/A _57658_/B _57658_/C VGND VGND VPWR VPWR _57658_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_428_2769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_39145 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76492_ VGND VGND VPWR VPWR _76492_/HI io_out[14] sky130_fd_sc_hd__conb_1
+XFILLER_346_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_6663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42884_ _42904_/A VGND VGND VPWR VPWR _42994_/B sky130_fd_sc_hd__buf_1
+XFILLER_409_1412 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_127_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38411 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39156 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38422 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39167 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47411_ _78846_/Q _47414_/B VGND VGND VPWR VPWR _47411_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_209_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_79_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78231_ _77689_/CLK _78231_/D VGND VGND VPWR VPWR _78231_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_264_889 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39178 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38433 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44623_ _72967_/A _44608_/B VGND VGND VPWR VPWR _44624_/C sky130_fd_sc_hd__nor2_2
+XFILLER_302_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56609_ _56774_/A _56604_/Y _56609_/C VGND VGND VPWR VPWR _56610_/B sky130_fd_sc_hd__nor3_2
+XPHY_6696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75443_ _75962_/A _75442_/X VGND VGND VPWR VPWR _75445_/A sky130_fd_sc_hd__or2_2
+XFILLER_444_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_5_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_441_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_406_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41835_ _41827_/A _41835_/B VGND VGND VPWR VPWR _41835_/X sky130_fd_sc_hd__or2_2
+XPHY_39189 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38444 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_613_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_2225 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72655_ _72256_/A _72652_/B VGND VGND VPWR VPWR _72657_/A sky130_fd_sc_hd__or2_2
+X_48391_ _48420_/A _48365_/X VGND VGND VPWR VPWR _48392_/B sky130_fd_sc_hd__or2_2
+XPHY_5962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_223_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38455 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37710 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57589_ _57281_/A _57589_/B _57588_/Y VGND VGND VPWR VPWR _57599_/A sky130_fd_sc_hd__nor3_2
+XFILLER_641_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38466 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37721 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_268_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1260 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37732 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_480_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_381_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38477 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_698_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_264_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_38_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71606_ _71301_/X _71576_/X _71309_/X _42658_/B VGND VGND VPWR VPWR _71607_/A sky130_fd_sc_hd__o22a_4
+X_47342_ _47348_/A _47446_/A VGND VGND VPWR VPWR _47342_/X sky130_fd_sc_hd__or2_2
+XFILLER_422_2335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59328_ _59491_/A _59328_/B _59327_/Y VGND VGND VPWR VPWR _59329_/C sky130_fd_sc_hd__nor3_2
+XFILLER_79_1897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37743 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78162_ _78149_/CLK _78162_/D VGND VGND VPWR VPWR _50101_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_38488 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44554_ _79217_/Q _44564_/B VGND VGND VPWR VPWR _44554_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_309_2291 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_32_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75374_ _75356_/A VGND VGND VPWR VPWR _75375_/A sky130_fd_sc_hd__buf_1
+XFILLER_147_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_18_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41766_ _79081_/Q VGND VGND VPWR VPWR _46277_/A sky130_fd_sc_hd__inv_8
+XPHY_37754 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38499 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72586_ _72586_/A _69673_/B VGND VGND VPWR VPWR _72708_/B sky130_fd_sc_hd__or2_2
+XFILLER_676_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_502_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_109_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37765 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_566_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_232_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37776 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77113_ _77663_/CLK _54084_/Y VGND VGND VPWR VPWR _59881_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_379_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43505_ _79014_/Q _46490_/A VGND VGND VPWR VPWR _43505_/X sky130_fd_sc_hd__or2_2
+XFILLER_205_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74325_ _74205_/A _74311_/B VGND VGND VPWR VPWR _74327_/A sky130_fd_sc_hd__or2_2
+XPHY_37787 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40717_ _40681_/A VGND VGND VPWR VPWR _40717_/X sky130_fd_sc_hd__buf_1
+XFILLER_189_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_520_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_27264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47273_ _47271_/X _47273_/B VGND VGND VPWR VPWR _48101_/A sky130_fd_sc_hd__nand2_2
+XFILLER_207_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71537_ _71537_/A _71537_/B _67297_/A VGND VGND VPWR VPWR _71538_/B sky130_fd_sc_hd__nand3_2
+X_59259_ _59258_/X VGND VGND VPWR VPWR _59260_/A sky130_fd_sc_hd__buf_1
+XFILLER_43_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78093_ _77880_/CLK _78093_/D VGND VGND VPWR VPWR _61689_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_377_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37798 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44485_ _79225_/Q _44500_/A _44501_/B VGND VGND VPWR VPWR _44495_/B sky130_fd_sc_hd__and3_2
+XFILLER_657_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_2467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41697_ _41696_/Y _41680_/X VGND VGND VPWR VPWR _41702_/A sky130_fd_sc_hd__nor2_2
+XFILLER_567_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_73_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_619_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49012_ _49010_/Y _49012_/B VGND VGND VPWR VPWR _78445_/D sky130_fd_sc_hd__nand2_2
+XFILLER_508_902 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_227_1290 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_242_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46224_ wbs_sel_i[1] VGND VGND VPWR VPWR _46224_/Y sky130_fd_sc_hd__inv_8
+XFILLER_144_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77044_ _77365_/CLK _77044_/D VGND VGND VPWR VPWR _54342_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_322_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43436_ _43436_/A _43435_/Y VGND VGND VPWR VPWR _79350_/D sky130_fd_sc_hd__nand2_2
+X_74256_ _74256_/A _74256_/B VGND VGND VPWR VPWR _74256_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_400_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_393_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62270_ _61646_/A _62270_/B _62269_/Y VGND VGND VPWR VPWR _62287_/A sky130_fd_sc_hd__nor3_2
+XPHY_16040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_346_610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40648_ _40891_/A _40645_/B VGND VGND VPWR VPWR _40648_/X sky130_fd_sc_hd__or2_2
+XPHY_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_618_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71468_ _70456_/X _71468_/B VGND VGND VPWR VPWR _71470_/B sky130_fd_sc_hd__nor2_2
+XFILLER_572_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_477_1134 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_86_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_717_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_25840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_713_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_25851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_559_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61221_ _61221_/A _61221_/B _61221_/C VGND VGND VPWR VPWR _61222_/C sky130_fd_sc_hd__nor3_2
+XPHY_25862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73207_ _73230_/A VGND VGND VPWR VPWR _73226_/B sky130_fd_sc_hd__buf_1
+XFILLER_318_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_12_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46155_ _46155_/A _46165_/C VGND VGND VPWR VPWR _46155_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_129_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70419_ _70419_/A _70418_/X VGND VGND VPWR VPWR _70419_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43367_ _43360_/A _43366_/X _69492_/A VGND VGND VPWR VPWR _43367_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_118_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_277_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74187_ _74029_/X _74173_/B VGND VGND VPWR VPWR _74190_/A sky130_fd_sc_hd__or2_2
+XPHY_16095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_319_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40579_ _40441_/A _40572_/B VGND VGND VPWR VPWR _40579_/X sky130_fd_sc_hd__or2_2
+XFILLER_259_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71399_ _71399_/A _70986_/A VGND VGND VPWR VPWR _71399_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_523_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_121_1439 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_184_362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_723_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45106_ _45105_/Y _45078_/B VGND VGND VPWR VPWR _45106_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_474_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_357_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_297_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42318_ _42317_/X VGND VGND VPWR VPWR _42318_/X sky130_fd_sc_hd__buf_1
+X_61152_ _61152_/A _60688_/B VGND VGND VPWR VPWR _61152_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_157_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2030 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73138_ _73230_/A VGND VGND VPWR VPWR _73139_/B sky130_fd_sc_hd__buf_1
+XFILLER_144_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46086_ _43027_/Y _43030_/B _43015_/Y _43028_/B VGND VGND VPWR VPWR _46091_/A sky130_fd_sc_hd__o22a_4
+XFILLER_394_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43298_ _42937_/A _43294_/X VGND VGND VPWR VPWR _43302_/A sky130_fd_sc_hd__or2_2
+XFILLER_613_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_592_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_333_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78995_ _79559_/CLK _78995_/D VGND VGND VPWR VPWR _78995_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_14660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_1787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XANTENNA_3 io_in[17] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_357_1864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_14671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_455_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60103_ _63467_/A VGND VGND VPWR VPWR _60110_/A sky130_fd_sc_hd__buf_1
+XFILLER_370_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_696_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_550_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_214_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49914_ _49914_/A VGND VGND VPWR VPWR _49929_/B sky130_fd_sc_hd__buf_1
+X_45037_ _45037_/A VGND VGND VPWR VPWR _45079_/A sky130_fd_sc_hd__inv_8
+XFILLER_172_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_335_2852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42249_ _42248_/Y _42249_/B VGND VGND VPWR VPWR _42249_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_125_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_522_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61083_ _61061_/Y _61083_/B VGND VGND VPWR VPWR _61083_/Y sky130_fd_sc_hd__nor2_2
+X_65960_ _65960_/A _65474_/X VGND VGND VPWR VPWR _65960_/Y sky130_fd_sc_hd__nor2_2
+XPHY_32061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73069_ _42962_/A _73072_/B VGND VGND VPWR VPWR _73070_/B sky130_fd_sc_hd__or2_2
+X_77946_ _77950_/CLK _50920_/Y VGND VGND VPWR VPWR _77946_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_652_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_67_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_314_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_29_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64911_ _64911_/A VGND VGND VPWR VPWR _65436_/B sky130_fd_sc_hd__buf_1
+X_60034_ _60826_/A VGND VGND VPWR VPWR _60673_/B sky130_fd_sc_hd__buf_1
+XFILLER_271_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_1548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_687_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49845_ _49845_/A _49845_/B VGND VGND VPWR VPWR _49845_/X sky130_fd_sc_hd__or2_2
+XFILLER_45_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_683_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65891_ _66395_/A _65889_/Y _65890_/Y VGND VGND VPWR VPWR _65891_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_511_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_566_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77877_ _77596_/CLK _77877_/D VGND VGND VPWR VPWR _61781_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_31371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_263_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_79_0_u_core.clock clkbuf_7_79_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_79_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_668_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_1248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_302_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_190_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67630_ _67630_/A _67630_/B _67630_/C VGND VGND VPWR VPWR _67631_/C sky130_fd_sc_hd__nor3_2
+XFILLER_351_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_214_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79616_ _79607_/CLK _79616_/D VGND VGND VPWR VPWR _41450_/C sky130_fd_sc_hd__dfxtp_4
+X_64842_ _80305_/Q _65540_/B VGND VGND VPWR VPWR _64843_/C sky130_fd_sc_hd__nor2_2
+XFILLER_509_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_351_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76828_ _76841_/CLK _76828_/D VGND VGND VPWR VPWR _76828_/Q sky130_fd_sc_hd__dfxtp_4
+X_49776_ _49773_/Y _49775_/X VGND VGND VPWR VPWR _78250_/D sky130_fd_sc_hd__nand2_2
+XFILLER_624_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46988_ _46955_/A _46988_/B VGND VGND VPWR VPWR _46992_/A sky130_fd_sc_hd__or2_2
+XFILLER_646_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_269_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_136_1671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_30681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_1485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_484_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_247_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48727_ _48727_/A _48724_/B VGND VGND VPWR VPWR _48727_/X sky130_fd_sc_hd__or2_2
+XFILLER_239_352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67561_ _68061_/A _67561_/B VGND VGND VPWR VPWR _67601_/B sky130_fd_sc_hd__nor2_2
+XPHY_20180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79547_ _78988_/Q _79547_/D _41886_/X VGND VGND VPWR VPWR _45397_/A sky130_fd_sc_hd__dfstp_4
+XFILLER_45_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45939_ _45946_/A _45937_/Y _45939_/C VGND VGND VPWR VPWR _79064_/D sky130_fd_sc_hd__nor3_2
+X_64773_ _69411_/A _64769_/Y _64773_/C VGND VGND VPWR VPWR _64774_/C sky130_fd_sc_hd__nor3_2
+XFILLER_267_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76759_ _77028_/CLK _76759_/D VGND VGND VPWR VPWR _76759_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_7_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_607_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_61985_ _61514_/A _61982_/Y _61984_/Y VGND VGND VPWR VPWR _61991_/B sky130_fd_sc_hd__nor3_2
+XFILLER_310_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_171_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_1850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2437 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_269_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_23_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69300_ _69300_/A _69300_/B _69299_/Y VGND VGND VPWR VPWR _69316_/A sky130_fd_sc_hd__nor3_2
+XFILLER_709_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_625 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66512_ _66674_/A _66512_/B _66512_/C VGND VGND VPWR VPWR _66516_/B sky130_fd_sc_hd__nor3_2
+XFILLER_544_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_6_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39460_ _39535_/A VGND VGND VPWR VPWR _39460_/X sky130_fd_sc_hd__buf_1
+XFILLER_78_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63724_ _48345_/A _63295_/X VGND VGND VPWR VPWR _63725_/C sky130_fd_sc_hd__nor2_2
+XFILLER_329_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60936_ _77496_/Q _60936_/B VGND VGND VPWR VPWR _60937_/C sky130_fd_sc_hd__nor2_2
+XFILLER_208_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48658_ _48658_/A _48657_/X VGND VGND VPWR VPWR _48658_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_705_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67492_ _67659_/A _67486_/Y _67492_/C VGND VGND VPWR VPWR _67492_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_589_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79478_ _79474_/CLK _42549_/Y VGND VGND VPWR VPWR _55127_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_94_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_114_1980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_149_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_415_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_632_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38411_ _38411_/A _38411_/B VGND VGND VPWR VPWR _38411_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_368_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69231_ _80972_/Q _69369_/B VGND VGND VPWR VPWR _69233_/B sky130_fd_sc_hd__nor2_2
+XFILLER_94_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_212_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66443_ _81275_/Q _66276_/X VGND VGND VPWR VPWR _66443_/Y sky130_fd_sc_hd__nor2_2
+X_47609_ _47607_/Y _47608_/X VGND VGND VPWR VPWR _78803_/D sky130_fd_sc_hd__nand2_2
+XFILLER_525_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78429_ _76762_/CLK _49070_/Y VGND VGND VPWR VPWR _62934_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_26_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_509_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39391_ _39399_/A _39391_/B _39391_/C VGND VGND VPWR VPWR _39392_/B sky130_fd_sc_hd__nand3_2
+XFILLER_39_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63655_ _63655_/A _63521_/X VGND VGND VPWR VPWR _63655_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_659_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60867_ _60867_/A _60395_/B VGND VGND VPWR VPWR _60869_/B sky130_fd_sc_hd__nor2_2
+X_48589_ _48580_/A VGND VGND VPWR VPWR _48590_/B sky130_fd_sc_hd__buf_1
+XFILLER_660_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_509_0_u_core.clock clkbuf_9_509_0_u_core.clock/A VGND VGND VPWR VPWR _80477_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_431_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+Xclkbuf_7_104_0_u_core.clock clkbuf_6_52_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_104_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_39690 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_561_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_184_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_208_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_659_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_620_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_164_0_u_core.clock clkbuf_7_82_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_328_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_423_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38342_ _38338_/X _38225_/X _38342_/C VGND VGND VPWR VPWR _38342_/Y sky130_fd_sc_hd__nand3_2
+X_50620_ _50610_/X VGND VGND VPWR VPWR _50633_/B sky130_fd_sc_hd__buf_1
+XFILLER_327_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62606_ _62363_/X _62606_/B _62605_/Y VGND VGND VPWR VPWR _62607_/B sky130_fd_sc_hd__nor3_2
+XFILLER_281_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69162_ _68540_/A _69162_/B _69162_/C VGND VGND VPWR VPWR _69178_/A sky130_fd_sc_hd__nor3_2
+XFILLER_542_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81440_ _81346_/CLK _81440_/D VGND VGND VPWR VPWR _67269_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_78_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_659_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66374_ _66374_/A _66374_/B _66374_/C _66373_/Y VGND VGND VPWR VPWR _76696_/D sky130_fd_sc_hd__or4_2
+XFILLER_207_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63586_ _63979_/A _63586_/B _63586_/C VGND VGND VPWR VPWR _63587_/C sky130_fd_sc_hd__nor3_2
+XFILLER_323_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60798_ _60779_/Y _60798_/B VGND VGND VPWR VPWR _60799_/B sky130_fd_sc_hd__nor2_2
+XFILLER_282_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_62_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2468 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_405_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68113_ _68776_/A _68113_/B _68112_/Y VGND VGND VPWR VPWR _68117_/B sky130_fd_sc_hd__nor3_2
+XFILLER_36_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_602_2792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_601_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65325_ _65503_/A _65325_/B _65325_/C VGND VGND VPWR VPWR _65326_/C sky130_fd_sc_hd__nor3_2
+XFILLER_52_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_421_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_405_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50551_ _50642_/A _50578_/B VGND VGND VPWR VPWR _50551_/X sky130_fd_sc_hd__or2_2
+X_38273_ _38271_/X _38272_/Y VGND VGND VPWR VPWR _80419_/D sky130_fd_sc_hd__nand2_2
+X_62537_ _62537_/A _63153_/B VGND VGND VPWR VPWR _62538_/C sky130_fd_sc_hd__nor2_2
+XFILLER_22_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69093_ _68948_/X _69093_/B _69093_/C VGND VGND VPWR VPWR _69094_/C sky130_fd_sc_hd__nor3_2
+X_81371_ _81338_/CLK _81371_/D VGND VGND VPWR VPWR _81371_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_358_3019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_1650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_161_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_299_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_143_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_2678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_225_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_557_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_440_1767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_167_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_241_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80322_ _80321_/CLK _80322_/D VGND VGND VPWR VPWR _80322_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_401_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_298_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_68044_ _68044_/A _67718_/B VGND VGND VPWR VPWR _68044_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_405_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53270_ _53268_/Y _53270_/B VGND VGND VPWR VPWR _53270_/Y sky130_fd_sc_hd__nand2_2
+X_65256_ _65256_/A _65256_/B VGND VGND VPWR VPWR _65257_/B sky130_fd_sc_hd__nor2_2
+XFILLER_203_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50482_ _50480_/Y _50481_/X VGND VGND VPWR VPWR _78063_/D sky130_fd_sc_hd__nand2_2
+X_62468_ _62139_/X _62460_/Y _62468_/C VGND VGND VPWR VPWR _62487_/A sky130_fd_sc_hd__nor3_2
+XFILLER_520_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_59_0_u_core.clock clkbuf_8_59_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_59_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_323_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_375_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52221_ _52219_/Y _52221_/B VGND VGND VPWR VPWR _77605_/D sky130_fd_sc_hd__nand2_2
+XFILLER_124_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_64207_ _69172_/A _64205_/Y _64207_/C VGND VGND VPWR VPWR _64207_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_457_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61419_ _53086_/A _60783_/B VGND VGND VPWR VPWR _61420_/C sky130_fd_sc_hd__nor2_2
+XFILLER_52_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80253_ _80597_/CLK _38930_/Y VGND VGND VPWR VPWR _80253_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_525_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_713_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65187_ _38845_/C _65857_/B VGND VGND VPWR VPWR _65189_/B sky130_fd_sc_hd__nor2_2
+XFILLER_378_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_614_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_30_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62399_ _78161_/Q _62711_/B VGND VGND VPWR VPWR _62399_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_339_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_89_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_553_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_273_2906 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_121_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_219_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_136_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64138_ _64138_/A _64138_/B _64138_/C VGND VGND VPWR VPWR _64158_/B sky130_fd_sc_hd__nor3_2
+X_52152_ _61610_/A _52163_/B VGND VGND VPWR VPWR _52157_/A sky130_fd_sc_hd__nand2_2
+XFILLER_533_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_473_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_514_938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_438_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80184_ _80195_/CLK _39210_/Y VGND VGND VPWR VPWR _57093_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_15_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_324_326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_588_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69995_ _70720_/A _70727_/A _69995_/C VGND VGND VPWR VPWR _70019_/A sky130_fd_sc_hd__or3_2
+XFILLER_653_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_317_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_258_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_324_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_198_1197 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51103_ _51101_/Y _51102_/X VGND VGND VPWR VPWR _51103_/Y sky130_fd_sc_hd__nand2_2
+XPHY_12009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_356_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_1926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_102_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_454_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_258_1762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_2458 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_533_2875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_2542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_340_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52083_ _52081_/Y _52083_/B VGND VGND VPWR VPWR _77640_/D sky130_fd_sc_hd__nand2_2
+X_56960_ _56710_/A _56958_/Y _56960_/C VGND VGND VPWR VPWR _56964_/B sky130_fd_sc_hd__nor3_2
+XFILLER_353_2952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_686_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68946_ _68946_/A _68946_/B VGND VGND VPWR VPWR _68946_/Y sky130_fd_sc_hd__nor2_2
+XPHY_21809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64069_ _64095_/A VGND VGND VPWR VPWR _64495_/A sky130_fd_sc_hd__buf_1
+XFILLER_473_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_352_679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_532_1139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_1908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_718 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_82_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_11308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_115_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_51034_ _51034_/A _51034_/B VGND VGND VPWR VPWR _77916_/D sky130_fd_sc_hd__nand2_2
+XFILLER_278_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_55911_ _42468_/A _55905_/Y _55911_/C VGND VGND VPWR VPWR _55911_/Y sky130_fd_sc_hd__nor3_2
+XPHY_11319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_549_2304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_510_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_132_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_667_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68877_ _68877_/A _68709_/B VGND VGND VPWR VPWR _68877_/Y sky130_fd_sc_hd__nor2_2
+X_56891_ _56795_/A _56891_/B VGND VGND VPWR VPWR _56908_/B sky130_fd_sc_hd__nor2_2
+XFILLER_235_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_466_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_132_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_330_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_494_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_710_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_369_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_683_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_584_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_695_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58630_ _69077_/A _58831_/B VGND VGND VPWR VPWR _58630_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_8_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67828_ _67810_/X _67828_/B _67827_/Y VGND VGND VPWR VPWR _67828_/Y sky130_fd_sc_hd__nor3_2
+X_55842_ _76760_/Q _55830_/B VGND VGND VPWR VPWR _55844_/A sky130_fd_sc_hd__nand2_2
+XFILLER_232_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_28_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_150_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_682_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_3041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_312_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_115_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+Xclkbuf_9_92_0_u_core.clock clkbuf_9_93_0_u_core.clock/A VGND VGND VPWR VPWR _76998_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_287_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_58_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_66_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_481_506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39727_ _39727_/A _39623_/B _39727_/C VGND VGND VPWR VPWR _39727_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_258_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_58561_ _58561_/A _58561_/B VGND VGND VPWR VPWR _58562_/B sky130_fd_sc_hd__nor2_2
+XFILLER_287_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55773_ _55773_/A _55768_/X VGND VGND VPWR VPWR _55773_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_246_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67759_ _67759_/A _67585_/B VGND VGND VPWR VPWR _67760_/C sky130_fd_sc_hd__nor2_2
+XFILLER_100_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52985_ _52985_/A _52991_/B VGND VGND VPWR VPWR _52985_/X sky130_fd_sc_hd__or2_2
+XFILLER_330_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_664_2285 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_629_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_724_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_287_2169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57512_ _80605_/Q _57512_/B VGND VGND VPWR VPWR _57515_/B sky130_fd_sc_hd__nor2_2
+XFILLER_464_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_328_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54724_ _59294_/A _54727_/B VGND VGND VPWR VPWR _54724_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_46_917 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_508_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_312_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51936_ _51936_/A _51939_/B VGND VGND VPWR VPWR _51937_/B sky130_fd_sc_hd__or2_2
+XFILLER_385_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39658_ _39655_/X _39657_/Y VGND VGND VPWR VPWR _80068_/D sky130_fd_sc_hd__nand2_2
+X_70770_ _70016_/X _70769_/Y VGND VGND VPWR VPWR _70770_/X sky130_fd_sc_hd__or2_2
+XFILLER_410_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58492_ _68765_/A _58634_/B VGND VGND VPWR VPWR _58492_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_41_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_39_0_u_core.clock clkbuf_9_39_0_u_core.clock/A VGND VGND VPWR VPWR _78897_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_480_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_449_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2182 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_6_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_72_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_450_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_187_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38609_ _38609_/A _38468_/X VGND VGND VPWR VPWR _38609_/X sky130_fd_sc_hd__or2_2
+XFILLER_540_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_426_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57443_ _57689_/A _57443_/B VGND VGND VPWR VPWR _57464_/B sky130_fd_sc_hd__nor2_2
+XFILLER_272_152 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69429_ _69429_/A _64453_/X VGND VGND VPWR VPWR _69429_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_434_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54655_ _54655_/A _54648_/X VGND VGND VPWR VPWR _54655_/X sky130_fd_sc_hd__or2_2
+XFILLER_347_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_404 _54244_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_37006 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_445_1645 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51867_ _51863_/Y _51867_/B VGND VGND VPWR VPWR _77698_/D sky130_fd_sc_hd__nand2_2
+X_39589_ _39221_/A _39594_/B VGND VGND VPWR VPWR _39589_/X sky130_fd_sc_hd__or2_2
+XFILLER_367_1481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XANTENNA_415 _56416_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_183_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_37017 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_324_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_543_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_426 _58910_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_525_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_57_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37028 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_214_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_246_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_437 _59023_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_41620_ _42945_/A VGND VGND VPWR VPWR _42886_/A sky130_fd_sc_hd__buf_1
+XFILLER_650_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53606_ _53521_/A _53609_/B VGND VGND VPWR VPWR _53607_/B sky130_fd_sc_hd__or2_2
+XPHY_3812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_148_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37039 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72440_ _72433_/A _72429_/B _65624_/A VGND VGND VPWR VPWR _72440_/Y sky130_fd_sc_hd__nand3_2
+XPHY_4557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50818_ _62765_/A _50822_/B VGND VGND VPWR VPWR _50818_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_198_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_610_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_448 _63877_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_501_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57374_ _57374_/A _57215_/B VGND VGND VPWR VPWR _57374_/Y sky130_fd_sc_hd__nor2_2
+XPHY_36305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54586_ _54583_/Y _54586_/B VGND VGND VPWR VPWR _76980_/D sky130_fd_sc_hd__nand2_2
+XPHY_18948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_525_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_521_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_2879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_459 _59378_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_148_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51798_ _51795_/X VGND VGND VPWR VPWR _51817_/B sky130_fd_sc_hd__buf_1
+XPHY_3834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_462_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_122_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_414_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59113_ _59113_/A VGND VGND VPWR VPWR _64003_/B sky130_fd_sc_hd__buf_1
+XFILLER_306_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_658_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_462_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_650 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_208_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56325_ _56275_/A VGND VGND VPWR VPWR _56698_/A sky130_fd_sc_hd__buf_1
+XPHY_3856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53537_ _53537_/A _53537_/B VGND VGND VPWR VPWR _53537_/Y sky130_fd_sc_hd__nand2_2
+X_41551_ _41047_/X _41547_/B VGND VGND VPWR VPWR _41553_/A sky130_fd_sc_hd__or2_2
+XFILLER_74_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_204_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_629_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72371_ _72358_/A _72370_/X _68751_/A VGND VGND VPWR VPWR _72372_/B sky130_fd_sc_hd__nand3_2
+XFILLER_25_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_2133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_576_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1187 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_50749_ _50749_/A _50749_/B VGND VGND VPWR VPWR _50749_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_694_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_701_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_709_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_3889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_478_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_497_2778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74110_ _76182_/A VGND VGND VPWR VPWR _74112_/A sky130_fd_sc_hd__buf_1
+XPHY_35637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_298_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1499 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40502_ _40987_/A _40514_/B VGND VGND VPWR VPWR _40502_/X sky130_fd_sc_hd__or2_2
+XFILLER_15_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_536_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59044_ _58950_/X VGND VGND VPWR VPWR _59045_/A sky130_fd_sc_hd__buf_1
+X_71322_ _71205_/A _71321_/Y _71208_/X _70982_/Y VGND VGND VPWR VPWR _71322_/X sky130_fd_sc_hd__o22a_4
+XPHY_34903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_619_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_44270_ _44186_/A VGND VGND VPWR VPWR _44278_/A sky130_fd_sc_hd__buf_1
+X_56256_ _56255_/X VGND VGND VPWR VPWR _58834_/B sky130_fd_sc_hd__buf_1
+XFILLER_40_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75090_ _75213_/A _75090_/B VGND VGND VPWR VPWR _75090_/X sky130_fd_sc_hd__or2_2
+XFILLER_456_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_521_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41482_ _41111_/X _41496_/B VGND VGND VPWR VPWR _41484_/A sky130_fd_sc_hd__or2_2
+XFILLER_16_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_677_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53468_ _53617_/A VGND VGND VPWR VPWR _53505_/A sky130_fd_sc_hd__buf_1
+XFILLER_35_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_401_2975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_34925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_298_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_724_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_24402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_13_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43221_ _43221_/A _43224_/B VGND VGND VPWR VPWR _43221_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_356_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55207_ _55207_/A _55207_/B VGND VGND VPWR VPWR _55207_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_651_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74041_ _74014_/A VGND VGND VPWR VPWR _74047_/A sky130_fd_sc_hd__buf_1
+XPHY_34947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_120 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40433_ _40433_/A _40437_/B VGND VGND VPWR VPWR _40433_/X sky130_fd_sc_hd__or2_2
+XFILLER_294_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_185_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52419_ _60793_/A _52419_/B VGND VGND VPWR VPWR _52421_/A sky130_fd_sc_hd__nand2_2
+XPHY_25169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71253_ _69937_/X VGND VGND VPWR VPWR _71253_/X sky130_fd_sc_hd__buf_1
+XFILLER_396_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_2462 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_16_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56187_ _56169_/A _45050_/B VGND VGND VPWR VPWR _56187_/Y sky130_fd_sc_hd__nor2_2
+XPHY_24435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2743 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_12_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53399_ _53457_/A _53402_/B VGND VGND VPWR VPWR _53399_/X sky130_fd_sc_hd__or2_2
+XFILLER_515_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_272_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_319_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_491_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_471_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_24457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_2262 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70204_ _70204_/A _70503_/B VGND VGND VPWR VPWR _70207_/B sky130_fd_sc_hd__nor2_2
+XFILLER_315_304 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_590_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43152_ _43152_/A _46152_/B VGND VGND VPWR VPWR _43152_/X sky130_fd_sc_hd__or2_2
+XFILLER_126_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55138_ _76843_/Q _55158_/B VGND VGND VPWR VPWR _55142_/A sky130_fd_sc_hd__and2_2
+XPHY_13200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_593_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_40364_ _40004_/A _40354_/B VGND VGND VPWR VPWR _40364_/X sky130_fd_sc_hd__or2_2
+XFILLER_298_1597 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_23734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71184_ _71184_/A VGND VGND VPWR VPWR _74689_/A sky130_fd_sc_hd__inv_8
+XPHY_13211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_31_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_178_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_645_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_617_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_393_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_720_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42103_ _42103_/A _42102_/Y _42096_/C VGND VGND VPWR VPWR _42103_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_678_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_1851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_237_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77800_ _77790_/CLK _51486_/Y VGND VGND VPWR VPWR _77800_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_81_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_142_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_364_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_154_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70135_ _70135_/A VGND VGND VPWR VPWR _70135_/Y sky130_fd_sc_hd__inv_8
+XFILLER_554_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_276_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_107_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47960_ _47839_/A _47956_/X VGND VGND VPWR VPWR _47960_/X sky130_fd_sc_hd__or2_2
+XFILLER_433_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43083_ _45367_/A _43083_/B VGND VGND VPWR VPWR _43107_/A sky130_fd_sc_hd__nor2_2
+X_59946_ _59946_/A _59633_/X VGND VGND VPWR VPWR _59947_/C sky130_fd_sc_hd__nor2_2
+XFILLER_417_1747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55069_ _55069_/A _55069_/B VGND VGND VPWR VPWR _76850_/D sky130_fd_sc_hd__nand2_2
+XPHY_12510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78780_ _77387_/CLK _47705_/Y VGND VGND VPWR VPWR _78780_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_13255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40295_ _40295_/A _40295_/B VGND VGND VPWR VPWR _40295_/X sky130_fd_sc_hd__or2_2
+XFILLER_272_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_75992_ _75992_/A _75992_/B VGND VGND VPWR VPWR _75992_/X sky130_fd_sc_hd__or2_2
+XFILLER_437_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_985 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_721_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_46_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_194_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_374_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42034_ _42033_/Y _42034_/B VGND VGND VPWR VPWR _42034_/Y sky130_fd_sc_hd__nor2_2
+X_46911_ _46863_/A VGND VGND VPWR VPWR _46913_/A sky130_fd_sc_hd__buf_1
+XPHY_12543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77731_ _81370_/CLK _77731_/D VGND VGND VPWR VPWR _61439_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_272_1737 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_218_1234 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_42_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_630_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74943_ _75058_/B VGND VGND VPWR VPWR _74952_/B sky130_fd_sc_hd__buf_1
+X_70066_ _70066_/A _70065_/Y VGND VGND VPWR VPWR _70066_/Y sky130_fd_sc_hd__nand2_2
+XPHY_12554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_317_2593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47891_ _47826_/A _47909_/B VGND VGND VPWR VPWR _47892_/B sky130_fd_sc_hd__or2_2
+XFILLER_29_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59877_ _59719_/A _59877_/B _59876_/Y VGND VGND VPWR VPWR _59885_/B sky130_fd_sc_hd__nor3_2
+XFILLER_430_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_11820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_411_2047 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_1158 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_674_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49630_ _49630_/A _49629_/X VGND VGND VPWR VPWR _49630_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_81_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_1723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46842_ _46851_/A _46840_/Y _46842_/C VGND VGND VPWR VPWR _46843_/B sky130_fd_sc_hd__or3_2
+XPHY_12598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58828_ _56366_/X _58828_/B _58827_/Y VGND VGND VPWR VPWR _58829_/B sky130_fd_sc_hd__nor3_2
+XFILLER_317_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77662_ _77663_/CLK _77662_/D VGND VGND VPWR VPWR _60686_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_211_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74874_ _74874_/A _74878_/B _67367_/A VGND VGND VPWR VPWR _74875_/B sky130_fd_sc_hd__nand3_2
+XFILLER_489_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_380_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_457_558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_618_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_1802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_645_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79401_ _79401_/CLK _43242_/Y VGND VGND VPWR VPWR _43240_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_725_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_0_261 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_89_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76613_ VGND VGND VPWR VPWR _76613_/HI la_data_out[109] sky130_fd_sc_hd__conb_1
+XFILLER_584_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_1201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49561_ _49619_/A _49576_/B VGND VGND VPWR VPWR _49561_/X sky130_fd_sc_hd__or2_2
+XFILLER_231_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73825_ _73821_/X _73809_/B _81083_/Q VGND VGND VPWR VPWR _73825_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_49_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_42_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_608_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46773_ _46773_/A VGND VGND VPWR VPWR _46774_/B sky130_fd_sc_hd__inv_8
+X_58759_ _58759_/A _56312_/B VGND VGND VPWR VPWR _58760_/C sky130_fd_sc_hd__nor2_2
+XFILLER_313_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_249_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_463_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77593_ _77880_/CLK _77593_/D VGND VGND VPWR VPWR _61064_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_368_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_772 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_40_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_369_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43985_ _43905_/A _43984_/Y VGND VGND VPWR VPWR _43985_/X sky130_fd_sc_hd__or2_2
+XFILLER_283_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7150 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7161 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_7_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_428_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7172 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48512_ _63133_/A _48494_/B VGND VGND VPWR VPWR _48514_/A sky130_fd_sc_hd__nand2_2
+XFILLER_437_271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79332_ _78940_/CLK _43558_/Y VGND VGND VPWR VPWR _71060_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_49_777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45724_ _45724_/A _45754_/B VGND VGND VPWR VPWR _45728_/A sky130_fd_sc_hd__nor2_2
+XFILLER_647_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76544_ VGND VGND VPWR VPWR _76544_/HI la_data_out[40] sky130_fd_sc_hd__conb_1
+XPHY_7183 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_565_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_543_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42936_ _42934_/X _42936_/B VGND VGND VPWR VPWR _42936_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_209_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_663_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73756_ _73756_/A _73756_/B _81101_/Q VGND VGND VPWR VPWR _73756_/Y sky130_fd_sc_hd__nand3_2
+X_61770_ _61770_/A _62235_/B VGND VGND VPWR VPWR _61771_/C sky130_fd_sc_hd__nor2_2
+XFILLER_428_2555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_166_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49492_ _49487_/Y _49492_/B VGND VGND VPWR VPWR _78324_/D sky130_fd_sc_hd__nand2_2
+XFILLER_387_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7194 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70968_ _70968_/A VGND VGND VPWR VPWR _71939_/B sky130_fd_sc_hd__buf_1
+XFILLER_643_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_168_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_98_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_1510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60721_ _60721_/A _60095_/B VGND VGND VPWR VPWR _60721_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_326_2829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48443_ _48414_/A _48437_/B VGND VGND VPWR VPWR _48444_/B sky130_fd_sc_hd__or2_2
+X_72707_ _72705_/X _72706_/Y VGND VGND VPWR VPWR _72707_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_504_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79263_ _79268_/CLK _79263_/D VGND VGND VPWR VPWR _44010_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_94_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_45655_ _45649_/A _45653_/Y _45654_/Y VGND VGND VPWR VPWR _79110_/D sky130_fd_sc_hd__nor3_2
+XPHY_38230 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_686 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_608_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76475_ VGND VGND VPWR VPWR _76475_/HI io_oeb[35] sky130_fd_sc_hd__conb_1
+XFILLER_453_786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_42867_ _42867_/A _42843_/A VGND VGND VPWR VPWR _42870_/B sky130_fd_sc_hd__nor2_2
+XFILLER_452_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73687_ _73630_/A VGND VGND VPWR VPWR _73689_/A sky130_fd_sc_hd__buf_1
+XFILLER_445_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_252_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38241 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_2694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70899_ _70101_/Y _70114_/X _70120_/Y _70898_/X VGND VGND VPWR VPWR _70899_/X sky130_fd_sc_hd__o22a_4
+XFILLER_149_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_185_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_381_1423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38252 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38263 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78214_ _77689_/CLK _78214_/D VGND VGND VPWR VPWR _78214_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_346_1554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_44606_ _44606_/A _44606_/B VGND VGND VPWR VPWR _44607_/B sky130_fd_sc_hd__nor2_2
+XPHY_5781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63440_ _48629_/A _63440_/B VGND VGND VPWR VPWR _63440_/Y sky130_fd_sc_hd__nor2_2
+X_75426_ _75453_/A VGND VGND VPWR VPWR _75572_/B sky130_fd_sc_hd__buf_1
+XFILLER_504_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41818_ _41818_/A _41818_/B VGND VGND VPWR VPWR _41818_/Y sky130_fd_sc_hd__nand2_2
+XPHY_38274 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60652_ _60636_/Y _60651_/Y VGND VGND VPWR VPWR _60652_/Y sky130_fd_sc_hd__nor2_2
+XPHY_5792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48374_ _48374_/A _48367_/B VGND VGND VPWR VPWR _48377_/A sky130_fd_sc_hd__nand2_2
+XFILLER_561_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72638_ _72632_/A _72632_/B _67595_/A VGND VGND VPWR VPWR _72639_/B sky130_fd_sc_hd__nand3_2
+XFILLER_283_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_79194_ _79194_/CLK _79194_/D VGND VGND VPWR VPWR _44776_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_444_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_440_447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37540 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_45586_ _71474_/A _45580_/B VGND VGND VPWR VPWR _45587_/C sky130_fd_sc_hd__nor2_2
+XPHY_38285 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_53_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42798_ _70012_/A VGND VGND VPWR VPWR _42799_/A sky130_fd_sc_hd__buf_1
+XFILLER_166_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37551 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38296 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_229_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_2487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_402_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37562 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2329 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_693_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47325_ _42223_/Y _47302_/X _42226_/A _47304_/X VGND VGND VPWR VPWR _47512_/A sky130_fd_sc_hd__o22a_4
+XFILLER_147_1052 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_595_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78145_ _78138_/CLK _78145_/D VGND VGND VPWR VPWR _78145_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_37573 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_381_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44537_ _44560_/A _44537_/B _44536_/Y VGND VGND VPWR VPWR _44537_/Y sky130_fd_sc_hd__nor3_2
+X_75357_ _75367_/A _75360_/B _67363_/A VGND VGND VPWR VPWR _75358_/B sky130_fd_sc_hd__nand3_2
+XFILLER_539_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_31_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63371_ _63054_/A _63371_/B _63370_/Y VGND VGND VPWR VPWR _63371_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_38_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_140_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_41749_ _79085_/Q VGND VGND VPWR VPWR _41749_/Y sky130_fd_sc_hd__inv_8
+XFILLER_204_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37584 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60583_ _60267_/A _60581_/Y _60582_/Y VGND VGND VPWR VPWR _60583_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_60_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_676_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72569_ _72569_/A _72568_/Y VGND VGND VPWR VPWR _81396_/D sky130_fd_sc_hd__nand2_2
+XFILLER_378_565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_686_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36850 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37595 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_476_2818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_14_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_222_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_393_502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_36861 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65110_ _65110_/A _65109_/Y VGND VGND VPWR VPWR _65110_/Y sky130_fd_sc_hd__nor2_2
+XPHY_27083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_366_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_2898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62322_ _62158_/X _62322_/B _62322_/C VGND VGND VPWR VPWR _62322_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_72_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36872 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74308_ _74308_/A _74308_/B _80967_/Q VGND VGND VPWR VPWR _74308_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_320_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_602_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47256_ _47253_/X _47256_/B _42286_/B VGND VGND VPWR VPWR _47256_/X sky130_fd_sc_hd__or3_2
+X_66090_ _66090_/A _66090_/B _66090_/C VGND VGND VPWR VPWR _66091_/B sky130_fd_sc_hd__nor3_2
+XFILLER_299_1328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_78076_ _77558_/CLK _50438_/Y VGND VGND VPWR VPWR _78076_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_36883 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44468_ _44468_/A _44451_/X VGND VGND VPWR VPWR _44468_/X sky130_fd_sc_hd__and2_2
+XFILLER_716_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75288_ _75409_/A _75186_/A VGND VGND VPWR VPWR _75288_/X sky130_fd_sc_hd__or2_2
+XFILLER_398_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36894 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_302_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_637_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_379_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_144_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_1738 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_188_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_654_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_615_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_277_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_46207_ _46207_/A _46203_/X VGND VGND VPWR VPWR _46208_/D sky130_fd_sc_hd__nor2_2
+XFILLER_13_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65041_ _65231_/A _65041_/B _65041_/C VGND VGND VPWR VPWR _65045_/B sky130_fd_sc_hd__nor3_2
+XPHY_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77027_ _77029_/CLK _54412_/Y VGND VGND VPWR VPWR _60152_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_26393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43419_ _42937_/A _43415_/X VGND VGND VPWR VPWR _43421_/A sky130_fd_sc_hd__or2_2
+XFILLER_594_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62253_ _62253_/A _62243_/Y _62252_/Y VGND VGND VPWR VPWR _62254_/B sky130_fd_sc_hd__nor3_2
+XFILLER_400_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_125_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74239_ _74224_/X _74235_/X _66104_/A VGND VGND VPWR VPWR _74240_/B sky130_fd_sc_hd__nand3_2
+XFILLER_517_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_47187_ _47182_/B _47186_/Y _47184_/C VGND VGND VPWR VPWR _78891_/D sky130_fd_sc_hd__nor3_2
+XFILLER_220_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_31_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44399_ _44393_/B VGND VGND VPWR VPWR _44400_/A sky130_fd_sc_hd__buf_1
+XFILLER_717_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_508_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_352_0_u_core.clock clkbuf_9_353_0_u_core.clock/A VGND VGND VPWR VPWR _77112_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_118_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_34_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61204_ _60733_/A _61204_/B _61203_/Y VGND VGND VPWR VPWR _61204_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_195_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_8_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_787 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_535_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_46138_ _45074_/A _46138_/B VGND VGND VPWR VPWR _46138_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_670_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_2614 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_12_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62184_ _62184_/A _61714_/X VGND VGND VPWR VPWR _62186_/B sky130_fd_sc_hd__nor2_2
+XFILLER_537_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_294_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_69_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_944 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_346_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_361_421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_533_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_2198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_490_1120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_333_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_550_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_1994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68800_ _68783_/Y _68800_/B VGND VGND VPWR VPWR _68801_/B sky130_fd_sc_hd__nor2_2
+XFILLER_523_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_550_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_275_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_274_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_61135_ _61761_/A _61135_/B _61134_/Y VGND VGND VPWR VPWR _61139_/B sky130_fd_sc_hd__nor3_2
+XFILLER_416_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_635_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38960_ _38963_/A _38959_/X _80245_/Q VGND VGND VPWR VPWR _38960_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_668_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46069_ _46064_/A _46065_/Y _46069_/C VGND VGND VPWR VPWR _79040_/D sky130_fd_sc_hd__nor3_2
+XFILLER_550_2452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69780_ _69593_/B _55884_/C _69796_/B VGND VGND VPWR VPWR _69801_/B sky130_fd_sc_hd__and3_2
+XFILLER_631_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66992_ _66992_/A _66990_/Y _66992_/C VGND VGND VPWR VPWR _66993_/C sky130_fd_sc_hd__nor3_2
+XFILLER_357_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78978_ _79100_/CLK _78978_/D _46689_/X VGND VGND VPWR VPWR _46637_/B sky130_fd_sc_hd__dfrtp_4
+XFILLER_416_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_999 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_255_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_236_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_711_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_318_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_613_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_550_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_361_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68731_ _68731_/A VGND VGND VPWR VPWR _69188_/B sky130_fd_sc_hd__buf_1
+XFILLER_172_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_65943_ _65943_/A VGND VGND VPWR VPWR _66116_/B sky130_fd_sc_hd__buf_1
+XFILLER_173_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61066_ _51957_/A _61066_/B VGND VGND VPWR VPWR _61067_/C sky130_fd_sc_hd__nor2_2
+X_77929_ _77937_/CLK _77929_/D VGND VGND VPWR VPWR _62303_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_64_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38891_ _38891_/A _38891_/B VGND VGND VPWR VPWR _80264_/D sky130_fd_sc_hd__nand2_2
+XFILLER_370_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_503 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_125_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_158_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_476_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_255_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_302_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_708_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_354_71 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_487_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60017_ _60017_/A _60015_/Y _60017_/C VGND VGND VPWR VPWR _60018_/C sky130_fd_sc_hd__nor3_2
+XFILLER_331_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_9_468_0_u_core.clock clkbuf_9_469_0_u_core.clock/A VGND VGND VPWR VPWR _80826_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_531_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49828_ _53650_/A _49828_/B VGND VGND VPWR VPWR _49838_/A sky130_fd_sc_hd__or2_2
+XFILLER_98_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68662_ _38649_/C _68165_/B VGND VGND VPWR VPWR _68663_/C sky130_fd_sc_hd__nor2_2
+X_80940_ _80911_/CLK _74415_/Y VGND VGND VPWR VPWR _69188_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_312_1200 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_988 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_683_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65874_ _65874_/A _65712_/B VGND VGND VPWR VPWR _65874_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_140_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_60_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_302_587 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_288_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67613_ _40930_/C _68269_/B VGND VGND VPWR VPWR _67614_/C sky130_fd_sc_hd__nor2_2
+XFILLER_661_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_190_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_665_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64825_ _64825_/A _69502_/B VGND VGND VPWR VPWR _64826_/C sky130_fd_sc_hd__nor2_2
+XFILLER_618_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49759_ _49757_/Y _49758_/X VGND VGND VPWR VPWR _49759_/Y sky130_fd_sc_hd__nand2_2
+X_80871_ _80725_/CLK _74708_/Y VGND VGND VPWR VPWR _80871_/Q sky130_fd_sc_hd__dfxtp_4
+X_68593_ _68593_/A _68593_/B VGND VGND VPWR VPWR _68594_/B sky130_fd_sc_hd__nor2_2
+XFILLER_64_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_652_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_721_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_528_2955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_429_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_39_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_411_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_288_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_42_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39512_ _39123_/A _39524_/B VGND VGND VPWR VPWR _39512_/X sky130_fd_sc_hd__or2_2
+XFILLER_329_2420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67544_ _65898_/A VGND VGND VPWR VPWR _67545_/B sky130_fd_sc_hd__buf_1
+XFILLER_622_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_383_2934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_214_1698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52770_ _52770_/A _52770_/B VGND VGND VPWR VPWR _77458_/D sky130_fd_sc_hd__nand2_2
+XFILLER_583_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64756_ _64562_/A _64752_/Y _64756_/C VGND VGND VPWR VPWR _64757_/C sky130_fd_sc_hd__nor3_2
+XFILLER_417_956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_481_2535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_97_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61968_ _61968_/A _61657_/B VGND VGND VPWR VPWR _61968_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_633_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1445 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_67_585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_54_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_23_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_471_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51721_ _51809_/A _51733_/B VGND VGND VPWR VPWR _51722_/B sky130_fd_sc_hd__or2_2
+XFILLER_481_2568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39443_ _39443_/A _39442_/Y VGND VGND VPWR VPWR _80125_/D sky130_fd_sc_hd__nand2_2
+XFILLER_389_808 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_63707_ _59295_/A _63705_/Y _63707_/C VGND VGND VPWR VPWR _63711_/B sky130_fd_sc_hd__nor3_2
+XFILLER_661_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_282_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60919_ _60919_/A _60919_/B _60919_/C VGND VGND VPWR VPWR _60923_/B sky130_fd_sc_hd__nor3_2
+XFILLER_58_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_180_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67475_ _80033_/Q _67475_/B VGND VGND VPWR VPWR _67475_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_589_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_368_1790 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_110_1641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64687_ _65194_/A _64687_/B _64686_/Y VGND VGND VPWR VPWR _64688_/C sky130_fd_sc_hd__nor3_2
+XFILLER_110_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_698_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_424_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_149_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61899_ _61277_/A _61897_/Y _61898_/Y VGND VGND VPWR VPWR _61899_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_443_274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_3010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_360_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_471_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_260_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_69214_ _58700_/A _64444_/X VGND VGND VPWR VPWR _69214_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_632_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54440_ _54438_/Y _54440_/B VGND VGND VPWR VPWR _77019_/D sky130_fd_sc_hd__nand2_2
+XFILLER_345_1031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_642_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66426_ _81083_/Q _66425_/X VGND VGND VPWR VPWR _66427_/C sky130_fd_sc_hd__nor2_2
+XFILLER_282_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51652_ _77755_/Q _51662_/B VGND VGND VPWR VPWR _51652_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_39_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39374_ _75303_/A _38620_/A VGND VGND VPWR VPWR _39397_/A sky130_fd_sc_hd__or2_2
+X_63638_ _63348_/A _63638_/B VGND VGND VPWR VPWR _63671_/B sky130_fd_sc_hd__nor2_2
+XPHY_3119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_620_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_431_458 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_677_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_74_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_262_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_165_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_75_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38325_ _38205_/A _38314_/B VGND VGND VPWR VPWR _38327_/A sky130_fd_sc_hd__or2_2
+XFILLER_479_2431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50603_ _50601_/Y _50602_/X VGND VGND VPWR VPWR _78031_/D sky130_fd_sc_hd__nand2_2
+X_81423_ _81425_/CLK _72464_/Y VGND VGND VPWR VPWR _81423_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_282_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69145_ _44060_/A VGND VGND VPWR VPWR _69145_/Y sky130_fd_sc_hd__inv_8
+XPHY_2418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2216 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_596_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54371_ _54064_/X VGND VGND VPWR VPWR _54464_/A sky130_fd_sc_hd__buf_1
+XFILLER_620_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_66357_ _66357_/A VGND VGND VPWR VPWR _67010_/B sky130_fd_sc_hd__buf_1
+XFILLER_558_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_168_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_221_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51583_ _51732_/A VGND VGND VPWR VPWR _51584_/A sky130_fd_sc_hd__buf_1
+XPHY_2429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_16809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_63569_ _62955_/A _63567_/Y _63568_/Y VGND VGND VPWR VPWR _63569_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_162_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_243_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_719_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_225_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_698_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_306_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_303_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_2705 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_1706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_51_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_36_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56110_ _56116_/A _56110_/B _56110_/C VGND VGND VPWR VPWR wbs_dat_o[19] sky130_fd_sc_hd__nor3_2
+XFILLER_301_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53322_ _53626_/A VGND VGND VPWR VPWR _53448_/A sky130_fd_sc_hd__buf_1
+XFILLER_52_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65308_ _64631_/A _65306_/Y _65307_/Y VGND VGND VPWR VPWR _65309_/C sky130_fd_sc_hd__nor3_2
+XFILLER_52_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_38256_ _38260_/A _38260_/B _80423_/Q VGND VGND VPWR VPWR _38257_/B sky130_fd_sc_hd__nand3_2
+XFILLER_357_738 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50534_ _62195_/A _50519_/X VGND VGND VPWR VPWR _50536_/A sky130_fd_sc_hd__nand2_2
+X_57090_ _57008_/A _57090_/B _57090_/C VGND VGND VPWR VPWR _57091_/C sky130_fd_sc_hd__nor3_2
+XFILLER_411_182 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81354_ _81354_/CLK _72730_/Y VGND VGND VPWR VPWR _81354_/Q sky130_fd_sc_hd__dfxtp_4
+X_69076_ _79595_/Q _69354_/B VGND VGND VPWR VPWR _69076_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_401_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_299_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66288_ _65950_/A _66288_/B _66288_/C VGND VGND VPWR VPWR _66288_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_323_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_516_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_495_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_123_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_149_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_143_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_178_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56041_ _45229_/B _56041_/B VGND VGND VPWR VPWR _56041_/Y sky130_fd_sc_hd__nor2_2
+X_68027_ _68027_/A VGND VGND VPWR VPWR _68035_/A sky130_fd_sc_hd__buf_1
+X_80305_ _80332_/CLK _80305_/D VGND VGND VPWR VPWR _80305_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_183_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_167_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_202_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65239_ _65734_/A _65237_/Y _65239_/C VGND VGND VPWR VPWR _65244_/B sky130_fd_sc_hd__nor3_2
+XPHY_33509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53253_ _53225_/A _53271_/B VGND VGND VPWR VPWR _53254_/B sky130_fd_sc_hd__or2_2
+XFILLER_13_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_637_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_538_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50465_ _50400_/X _50486_/B VGND VGND VPWR VPWR _50466_/B sky130_fd_sc_hd__or2_2
+XFILLER_457_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_81285_ _81285_/CLK _81285_/D VGND VGND VPWR VPWR _68089_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_637_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_1704 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_71_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_2653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_2383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_375_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52204_ _52220_/B VGND VGND VPWR VPWR _52214_/B sky130_fd_sc_hd__buf_1
+XFILLER_295_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_618_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80236_ _80238_/CLK _80236_/D VGND VGND VPWR VPWR _69271_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_353_933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_325_624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_352_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53184_ _53178_/A _53244_/A VGND VGND VPWR VPWR _53186_/A sky130_fd_sc_hd__or2_2
+XFILLER_393_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_614_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_715_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50396_ _50394_/Y _50395_/X VGND VGND VPWR VPWR _50396_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_195_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_371_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_30_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_295_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_702_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59800_ _59800_/A _59800_/B VGND VGND VPWR VPWR _59802_/B sky130_fd_sc_hd__nor2_2
+XFILLER_470_2940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_438_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52135_ _52135_/A _52138_/B VGND VGND VPWR VPWR _52137_/A sky130_fd_sc_hd__nand2_2
+XFILLER_50_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_551_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_163_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80167_ _80163_/CLK _39285_/Y VGND VGND VPWR VPWR _80167_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_65_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57992_ _58082_/A _57992_/B _57992_/C VGND VGND VPWR VPWR _57997_/B sky130_fd_sc_hd__nor3_2
+XFILLER_434_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_156_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69978_ _69978_/A VGND VGND VPWR VPWR _70260_/A sky130_fd_sc_hd__inv_8
+XFILLER_317_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_703_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_685_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_416_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_3046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_375_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_11_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_576 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_102_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_65_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_191_1702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_219_2277 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_352_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_324_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_533_1960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59731_ _60050_/A _59731_/B _59730_/Y VGND VGND VPWR VPWR _59732_/C sky130_fd_sc_hd__nor3_2
+XPHY_11105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_470_2995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40080_ _40076_/A _40069_/B _79964_/Q VGND VGND VPWR VPWR _40080_/Y sky130_fd_sc_hd__nand3_2
+X_56943_ _57094_/A _56943_/B _56943_/C VGND VGND VPWR VPWR _56947_/B sky130_fd_sc_hd__nor3_2
+X_52066_ _52068_/A VGND VGND VPWR VPWR _52067_/B sky130_fd_sc_hd__buf_1
+X_68929_ _68929_/A _68261_/X VGND VGND VPWR VPWR _68929_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_8_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_156_1844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_1084 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80098_ _80094_/CLK _39544_/Y VGND VGND VPWR VPWR _57886_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_20905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_232_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_666_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_588_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_412_2345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_352_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_81_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_46_1126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_232_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51017_ _62017_/A _51017_/B VGND VGND VPWR VPWR _51017_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_120_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_219_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_2757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59662_ _76992_/Q _59499_/B VGND VGND VPWR VPWR _59663_/C sky130_fd_sc_hd__nor2_2
+XFILLER_271_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71940_ _71500_/A _71940_/B _71939_/Y VGND VGND VPWR VPWR _71940_/Y sky130_fd_sc_hd__nor3_2
+XPHY_10415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56874_ _81301_/Q _56778_/B VGND VGND VPWR VPWR _56874_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_264_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_412_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_117_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_667_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_156_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_63_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_584_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_172_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_58613_ _58342_/X _58596_/Y _58613_/C VGND VGND VPWR VPWR _58613_/X sky130_fd_sc_hd__or3_2
+XFILLER_330_2078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55825_ _55825_/A _55829_/B VGND VGND VPWR VPWR _55828_/A sky130_fd_sc_hd__nand2_2
+XFILLER_271_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_41_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71871_ _47049_/A VGND VGND VPWR VPWR _71872_/B sky130_fd_sc_hd__inv_8
+XFILLER_510_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59593_ _59240_/A VGND VGND VPWR VPWR _59594_/A sky130_fd_sc_hd__buf_1
+XFILLER_28_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_24_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2432 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_523_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73610_ _73538_/A VGND VGND VPWR VPWR _73623_/A sky130_fd_sc_hd__buf_1
+Xclkbuf_6_17_0_u_core.clock clkbuf_5_8_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_7_35_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_644_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_625_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_308_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_493_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58544_ _58534_/Y _58544_/B VGND VGND VPWR VPWR _58545_/B sky130_fd_sc_hd__nor2_2
+X_70822_ _70826_/B _70771_/B VGND VGND VPWR VPWR _70824_/A sky130_fd_sc_hd__nand2_2
+XPHY_29903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_419_282 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43770_ _43770_/A _43807_/B _43769_/X VGND VGND VPWR VPWR _43770_/X sky130_fd_sc_hd__and3_2
+XPHY_5000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_55756_ _54980_/A _55756_/B VGND VGND VPWR VPWR _55757_/B sky130_fd_sc_hd__or2_2
+XFILLER_210_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74590_ _74580_/A _74590_/B _80899_/Q VGND VGND VPWR VPWR _74590_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_46_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_111_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40982_ _40982_/A _40992_/B _79732_/Q VGND VGND VPWR VPWR _40982_/Y sky130_fd_sc_hd__nand3_2
+XPHY_29914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52968_ _54879_/A _52968_/B VGND VGND VPWR VPWR _52994_/B sky130_fd_sc_hd__or2_2
+XFILLER_189_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_480_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_428_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_37_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_133_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_675 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_1449 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_42721_ _42717_/Y _42721_/B _42720_/X _42712_/D VGND VGND VPWR VPWR _42721_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_248_1216 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_562_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_508_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54707_ _54707_/A _54706_/X VGND VGND VPWR VPWR _76948_/D sky130_fd_sc_hd__nand2_2
+XFILLER_284_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_245_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73541_ _73521_/A VGND VGND VPWR VPWR _73545_/B sky130_fd_sc_hd__buf_1
+XFILLER_480_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_720_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_467_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_425_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_206_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51919_ _51919_/A VGND VGND VPWR VPWR _51935_/B sky130_fd_sc_hd__buf_1
+XPHY_19424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58475_ _68785_/A _58225_/X VGND VGND VPWR VPWR _58475_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_41_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70753_ _70753_/A VGND VGND VPWR VPWR _70753_/X sky130_fd_sc_hd__buf_1
+XFILLER_185_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_2730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_445_2176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_5055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55687_ _45424_/Y _55705_/B VGND VGND VPWR VPWR _55687_/Y sky130_fd_sc_hd__nor2_2
+XPHY_19435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_651_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_261_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_540_2621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XANTENNA_201 _76343_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_2_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_406_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52899_ _52842_/A _52899_/B VGND VGND VPWR VPWR _52899_/X sky130_fd_sc_hd__or2_2
+XPHY_18701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_212 _74157_/B VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_76_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_223 _74738_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_638_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57426_ _57423_/X _57426_/B _57426_/C VGND VGND VPWR VPWR _57432_/B sky130_fd_sc_hd__nor3_2
+X_45440_ _44970_/A VGND VGND VPWR VPWR _45450_/A sky130_fd_sc_hd__buf_1
+X_76260_ _76260_/A _76259_/Y VGND VGND VPWR VPWR _80486_/D sky130_fd_sc_hd__nand2_2
+XPHY_4343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42652_ _42451_/A VGND VGND VPWR VPWR _42664_/B sky130_fd_sc_hd__buf_1
+XFILLER_677_2421 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XANTENNA_234 _76168_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_73472_ _74948_/A VGND VGND VPWR VPWR _73484_/A sky130_fd_sc_hd__buf_1
+X_54638_ _54638_/A _54702_/B VGND VGND VPWR VPWR _54639_/A sky130_fd_sc_hd__or2_2
+XFILLER_460_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_579_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_27_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_382_1765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_540_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70684_ _70684_/A _70684_/B VGND VGND VPWR VPWR _70684_/X sky130_fd_sc_hd__or2_2
+XANTENNA_245 _38662_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_221_509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XANTENNA_256 _40142_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_57_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75211_ _75218_/A _75218_/B _75211_/C VGND VGND VPWR VPWR _75211_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_458_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_718_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_441_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41603_ _41616_/A _41606_/B _41603_/C VGND VGND VPWR VPWR _41604_/B sky130_fd_sc_hd__nand3_2
+XFILLER_37_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_1312 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_267 _40445_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_2290 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72423_ _72423_/A _72423_/B VGND VGND VPWR VPWR _72423_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_341_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45371_ _45267_/A _45371_/B VGND VGND VPWR VPWR _45371_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_578_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57357_ _80603_/Q _57282_/B VGND VGND VPWR VPWR _57357_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_35_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_278 _56478_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_36135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76191_ _74787_/A VGND VGND VPWR VPWR _76320_/A sky130_fd_sc_hd__buf_1
+XFILLER_595_2132 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_3653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_360_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42583_ _79474_/Q _42572_/B VGND VGND VPWR VPWR _42583_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_199_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_521_1341 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_54569_ _59661_/A _54569_/B VGND VGND VPWR VPWR _54569_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_261_1427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XANTENNA_289 _46861_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_720_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_387_351 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_199_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_540_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_399_2963 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_36157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_816 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47110_ _46410_/B _47110_/B VGND VGND VPWR VPWR _47111_/B sky130_fd_sc_hd__or2_2
+XFILLER_241_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_658_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_41_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44322_ _44322_/A VGND VGND VPWR VPWR _44489_/A sky130_fd_sc_hd__buf_1
+XPHY_3686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56308_ _57037_/A VGND VGND VPWR VPWR _56309_/B sky130_fd_sc_hd__buf_1
+X_75142_ _75131_/A _75145_/B _66226_/A VGND VGND VPWR VPWR _75142_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_592_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41534_ _41534_/A _41534_/B VGND VGND VPWR VPWR _79594_/D sky130_fd_sc_hd__nand2_2
+XPHY_35434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48090_ _48060_/A _48093_/B VGND VGND VPWR VPWR _48090_/X sky130_fd_sc_hd__or2_2
+XFILLER_423_1784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72354_ _72326_/A _72350_/X _72354_/C VGND VGND VPWR VPWR _72355_/B sky130_fd_sc_hd__nand3_2
+XPHY_3697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_611_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57288_ _57288_/A _57288_/B _57287_/Y VGND VGND VPWR VPWR _57289_/B sky130_fd_sc_hd__nor3_2
+XFILLER_348_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_34700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_395_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_2974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_109_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_1473 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_536_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2579 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59027_ _54400_/A _59347_/B VGND VGND VPWR VPWR _59031_/B sky130_fd_sc_hd__nor2_2
+X_47041_ _71836_/A _47015_/X VGND VGND VPWR VPWR _47041_/Y sky130_fd_sc_hd__nor2_2
+X_71305_ _71304_/Y _71305_/B VGND VGND VPWR VPWR _71305_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_174_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_375_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44253_ _72940_/A _44267_/B VGND VGND VPWR VPWR _44254_/C sky130_fd_sc_hd__nor2_2
+XPHY_2996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_9_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56239_ _56600_/A VGND VGND VPWR VPWR _56239_/Y sky130_fd_sc_hd__inv_8
+X_79950_ _79947_/CLK _79950_/D VGND VGND VPWR VPWR _40132_/C sky130_fd_sc_hd__dfxtp_4
+X_75073_ _75070_/X _75073_/B VGND VGND VPWR VPWR _80780_/D sky130_fd_sc_hd__nand2_2
+Xclkbuf_2_0_1_u_core.clock clkbuf_2_0_1_u_core.clock/A VGND VGND VPWR VPWR clkbuf_2_0_2_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_619_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41465_ _41453_/X _41454_/X _57446_/A VGND VGND VPWR VPWR _41466_/B sky130_fd_sc_hd__nand3_2
+XPHY_34744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_35489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72285_ _72291_/A _72291_/B _72285_/C VGND VGND VPWR VPWR _72285_/Y sky130_fd_sc_hd__nand3_2
+XPHY_24221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_50_0_u_core.clock clkbuf_7_51_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_7_50_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_390_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_196_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_714_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_616_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_2414 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_538_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_13_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_196_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_344_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_329_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43204_ _43177_/C _43204_/B _43183_/X _43203_/X VGND VGND VPWR VPWR _43204_/Y sky130_fd_sc_hd__nor4_2
+XFILLER_671_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74024_ _76099_/A VGND VGND VPWR VPWR _74024_/X sky130_fd_sc_hd__buf_1
+XFILLER_294_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78901_ _78899_/CLK _47156_/Y VGND VGND VPWR VPWR _78901_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_573_2485 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40416_ _40414_/X _40416_/B VGND VGND VPWR VPWR _40416_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_295_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2895 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_456_2272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71236_ _71235_/X VGND VGND VPWR VPWR _71357_/A sky130_fd_sc_hd__buf_1
+XPHY_34788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44184_ _44206_/A _44184_/B _44184_/C VGND VGND VPWR VPWR _44185_/C sky130_fd_sc_hd__nor3_2
+XFILLER_315_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_276_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_390_549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79881_ _80010_/CLK _40398_/Y VGND VGND VPWR VPWR _68815_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_489_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_1509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41396_ _41392_/X VGND VGND VPWR VPWR _41509_/B sky130_fd_sc_hd__buf_1
+XPHY_34799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_572_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_139_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_319_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_315_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_491_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_632_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_2_3_0_u_core.clock clkbuf_2_3_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_2_3_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_354_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_316_657 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43135_ _43135_/A VGND VGND VPWR VPWR _45130_/A sky130_fd_sc_hd__buf_1
+XPHY_13030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78832_ _78403_/CLK _78832_/D VGND VGND VPWR VPWR _78832_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_371_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_5_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40347_ _40347_/A _40347_/B VGND VGND VPWR VPWR _40347_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_452_2158 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_29_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71167_ _71090_/X _71166_/Y _71083_/X _71180_/B VGND VGND VPWR VPWR _71167_/X sky130_fd_sc_hd__o22a_4
+XPHY_13041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48992_ _49050_/A _48989_/B VGND VGND VPWR VPWR _48993_/B sky130_fd_sc_hd__or2_2
+XPHY_23575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_155_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_177_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_456_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_87_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_13052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_22841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_331_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_22852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70118_ _71093_/A _70117_/Y VGND VGND VPWR VPWR _70119_/A sky130_fd_sc_hd__or2_2
+XPHY_13074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_181_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47943_ _47943_/A _47943_/B VGND VGND VPWR VPWR _47943_/Y sky130_fd_sc_hd__nand2_2
+X_43066_ _45317_/A VGND VGND VPWR VPWR _46109_/A sky130_fd_sc_hd__inv_8
+XFILLER_532_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59929_ _59929_/A _59928_/Y VGND VGND VPWR VPWR _59929_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_269_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78763_ _77836_/CLK _78763_/D VGND VGND VPWR VPWR _47765_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_13085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40278_ _40278_/A _40271_/X _68457_/A VGND VGND VPWR VPWR _40279_/B sky130_fd_sc_hd__nand3_2
+XFILLER_319_1987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_1080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_107_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75975_ _75978_/A _75983_/B _68541_/A VGND VGND VPWR VPWR _75975_/Y sky130_fd_sc_hd__nand3_2
+X_71098_ _71078_/X _71098_/B _71097_/Y VGND VGND VPWR VPWR _71098_/X sky130_fd_sc_hd__or3_2
+XFILLER_48_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_430_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_605_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_2223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_659_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_469_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2292 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42017_ _42014_/Y _42017_/B _42009_/C VGND VGND VPWR VPWR _42017_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_465_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77714_ _77714_/CLK _77714_/D VGND VGND VPWR VPWR _51804_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_42_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62940_ _62940_/A _63251_/B VGND VGND VPWR VPWR _62940_/Y sky130_fd_sc_hd__nor2_2
+XPHY_12384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74926_ _74926_/A _74926_/B VGND VGND VPWR VPWR _80819_/D sky130_fd_sc_hd__nand2_2
+XFILLER_489_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_70049_ _70048_/Y VGND VGND VPWR VPWR _70104_/A sky130_fd_sc_hd__buf_1
+XFILLER_646_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_3_22 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47874_ _78736_/Q _47860_/B VGND VGND VPWR VPWR _47876_/A sky130_fd_sc_hd__nand2_2
+XFILLER_458_856 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_387_3056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_122_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78694_ _78186_/CLK _78694_/D VGND VGND VPWR VPWR _78694_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_257_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_2888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_69_839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_229_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_332_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_313_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_649_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_348_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_674_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_484_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49613_ _49455_/A VGND VGND VPWR VPWR _49912_/A sky130_fd_sc_hd__buf_1
+XFILLER_168_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_465_2519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46825_ _43195_/A _46827_/A VGND VGND VPWR VPWR _46826_/B sky130_fd_sc_hd__nor2_2
+X_77645_ _77716_/CLK _77645_/D VGND VGND VPWR VPWR _52062_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_256_417 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1777 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_68_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74857_ _74855_/X _74856_/Y VGND VGND VPWR VPWR _80838_/D sky130_fd_sc_hd__nand2_2
+X_62871_ _62400_/A _62871_/B _62871_/C VGND VGND VPWR VPWR _62876_/B sky130_fd_sc_hd__nor3_2
+XPHY_11694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_95_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_541_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_284_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_1799 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_10971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_248_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_485_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_725_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_447_2942 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64610_ _64776_/A _64610_/B VGND VGND VPWR VPWR _64610_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_265_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_725_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61822_ _61667_/A _61814_/Y _61821_/Y VGND VGND VPWR VPWR _61822_/Y sky130_fd_sc_hd__nor3_2
+X_49544_ _49542_/Y _49544_/B VGND VGND VPWR VPWR _78313_/D sky130_fd_sc_hd__nand2_2
+XFILLER_643_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73808_ _73771_/X VGND VGND VPWR VPWR _73809_/B sky130_fd_sc_hd__buf_1
+XFILLER_42_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46756_ _46720_/X VGND VGND VPWR VPWR _46762_/A sky130_fd_sc_hd__inv_8
+XFILLER_237_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_721_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65590_ _66090_/A _65590_/B _65589_/Y VGND VGND VPWR VPWR _65590_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_463_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_37_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77576_ _78535_/CLK _52331_/Y VGND VGND VPWR VPWR _52328_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_250_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_623_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43968_ _43967_/A _43968_/B VGND VGND VPWR VPWR _43968_/Y sky130_fd_sc_hd__nor2_2
+Xclkbuf_9_142_0_u_core.clock clkbuf_8_71_0_u_core.clock/X VGND VGND VPWR VPWR _79116_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_615_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74788_ _75277_/A _74779_/X VGND VGND VPWR VPWR _74788_/X sky130_fd_sc_hd__or2_2
+XFILLER_567_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_662_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_36_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_0_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_706_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_425_241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_545_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79315_ _79315_/CLK _79315_/D VGND VGND VPWR VPWR _71675_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_209_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45707_ _45684_/X _45702_/Y _45707_/C VGND VGND VPWR VPWR _79105_/D sky130_fd_sc_hd__nor3_2
+XFILLER_643_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64541_ _64541_/A _64541_/B _64541_/C VGND VGND VPWR VPWR _64563_/B sky130_fd_sc_hd__nor3_2
+XFILLER_283_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76527_ VGND VGND VPWR VPWR _76527_/HI la_data_out[19] sky130_fd_sc_hd__conb_1
+XFILLER_97_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_697_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42919_ _42919_/A _42930_/B VGND VGND VPWR VPWR _42919_/X sky130_fd_sc_hd__or2_2
+XFILLER_75_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_30_0_u_core.clock clkbuf_7_15_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_61_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_61753_ _59378_/A VGND VGND VPWR VPWR _61753_/X sky130_fd_sc_hd__buf_1
+XFILLER_252_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73739_ _73739_/A _73739_/B VGND VGND VPWR VPWR _73739_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_292_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49475_ _49475_/A _49475_/B VGND VGND VPWR VPWR _78328_/D sky130_fd_sc_hd__nand2_2
+XFILLER_498_3018 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_630_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46687_ _46686_/A VGND VGND VPWR VPWR _46687_/X sky130_fd_sc_hd__buf_1
+XFILLER_91_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_307_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_660_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43899_ _72922_/A _43931_/B VGND VGND VPWR VPWR _43900_/C sky130_fd_sc_hd__nor2_2
+XFILLER_64_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_506_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_283_2353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_58_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_428_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_346_2074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_440_211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_8_251_0_u_core.clock clkbuf_8_251_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_503_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_614_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60704_ _77790_/Q _60235_/B VGND VGND VPWR VPWR _60706_/B sky130_fd_sc_hd__nor2_2
+XFILLER_307_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48426_ _48423_/X VGND VGND VPWR VPWR _48448_/B sky130_fd_sc_hd__buf_1
+XFILLER_92_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_504_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_79246_ _79245_/CLK _44263_/Y VGND VGND VPWR VPWR _79246_/Q sky130_fd_sc_hd__dfxtp_4
+X_67260_ _65412_/A VGND VGND VPWR VPWR _67261_/B sky130_fd_sc_hd__buf_1
+XFILLER_263_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45638_ _71915_/A _45638_/B VGND VGND VPWR VPWR _45638_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38060 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64472_ _64472_/A VGND VGND VPWR VPWR _65894_/A sky130_fd_sc_hd__buf_1
+X_76458_ VGND VGND VPWR VPWR _76458_/HI io_oeb[18] sky130_fd_sc_hd__conb_1
+XFILLER_586_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_580_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_61684_ _61684_/A _61218_/X VGND VGND VPWR VPWR _61685_/C sky130_fd_sc_hd__nor2_2
+XFILLER_94_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38071 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_244_1603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_283_2397 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_398_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_280_987 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_45_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38082 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_326_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_94_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_229_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_66211_ _44226_/A _66048_/B VGND VGND VPWR VPWR _66211_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_342_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38093 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_261_2640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63423_ _62955_/A _63423_/B _63422_/Y VGND VGND VPWR VPWR _63423_/Y sky130_fd_sc_hd__nor3_2
+X_75409_ _75409_/A _75413_/B VGND VGND VPWR VPWR _75409_/X sky130_fd_sc_hd__or2_2
+XFILLER_578_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48357_ _48357_/A _48357_/B VGND VGND VPWR VPWR _78615_/D sky130_fd_sc_hd__nand2_2
+X_60635_ _60778_/A _60631_/Y _60635_/C VGND VGND VPWR VPWR _60635_/Y sky130_fd_sc_hd__nor3_2
+X_67191_ _67191_/A _67191_/B _67191_/C VGND VGND VPWR VPWR _67192_/B sky130_fd_sc_hd__nor3_2
+XFILLER_261_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79177_ _79207_/CLK _44891_/Y VGND VGND VPWR VPWR _44888_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_55_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45569_ _45552_/X _45569_/B _45569_/C VGND VGND VPWR VPWR _45569_/Y sky130_fd_sc_hd__nor3_2
+XPHY_37370 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76389_ _76389_/A _76397_/B _80451_/Q VGND VGND VPWR VPWR _76389_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_324_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_695_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_2137 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_3005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_422_992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_37381 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_258_0_u_core.clock clkbuf_9_259_0_u_core.clock/A VGND VGND VPWR VPWR _77294_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_71_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_244_1658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_695_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_717_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_37392 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_421_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_178_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_47308_ _47343_/B _47430_/A VGND VGND VPWR VPWR _47308_/X sky130_fd_sc_hd__or2_2
+XFILLER_222_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66142_ _65486_/A _66140_/Y _66142_/C VGND VGND VPWR VPWR _66142_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_578_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78128_ _78129_/CLK _78128_/D VGND VGND VPWR VPWR _78128_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_457_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39090_ _39087_/X _39089_/Y VGND VGND VPWR VPWR _39090_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_338_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63354_ _49216_/A _63507_/B VGND VGND VPWR VPWR _63354_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_394_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_359_2413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_18_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_2247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48288_ _48042_/A _48102_/A VGND VGND VPWR VPWR _52150_/A sky130_fd_sc_hd__or2_2
+X_60566_ _60566_/A _60566_/B VGND VGND VPWR VPWR _60566_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_60_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_242_2083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_1358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_656_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_439_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_638_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1283 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_299_1136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_672_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_338_248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_53_1653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62305_ _62152_/X _62303_/Y _62305_/C VGND VGND VPWR VPWR _62306_/C sky130_fd_sc_hd__nor3_2
+XFILLER_109_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_73_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47239_ _44931_/A _47239_/B VGND VGND VPWR VPWR _47240_/B sky130_fd_sc_hd__and2_2
+XFILLER_200_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66073_ _66073_/A _66556_/B VGND VGND VPWR VPWR _66073_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_619_2371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_583_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_105_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78059_ _78062_/CLK _78059_/D VGND VGND VPWR VPWR _78059_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_716_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_2348 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_366_579 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_63285_ _63285_/A _63440_/B VGND VGND VPWR VPWR _63285_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_552_2503 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_257_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60497_ _60650_/A _60497_/B _60496_/Y VGND VGND VPWR VPWR _60497_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_457_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_379_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_255_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_474_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_822 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_203_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_637_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1978 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_381_527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_88_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_65024_ _65024_/A _65024_/B VGND VGND VPWR VPWR _65024_/Y sky130_fd_sc_hd__nor2_2
+X_69901_ _69775_/X VGND VGND VPWR VPWR _55251_/A sky130_fd_sc_hd__inv_8
+XFILLER_164_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_146_833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_615_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50250_ _50250_/A _50273_/B VGND VGND VPWR VPWR _50250_/X sky130_fd_sc_hd__or2_2
+XFILLER_34_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62236_ _62083_/A _62236_/B _62236_/C VGND VGND VPWR VPWR _62243_/B sky130_fd_sc_hd__nor3_2
+XFILLER_277_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81070_ _80809_/CLK _73875_/Y VGND VGND VPWR VPWR _69461_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_346_270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_146_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2908 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_362_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_285_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_556_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_294_2460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_86_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_255_2422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80021_ _80147_/CLK _39858_/Y VGND VGND VPWR VPWR _39857_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_336_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_318_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_146_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_69832_ _69621_/D VGND VGND VPWR VPWR _70580_/A sky130_fd_sc_hd__buf_1
+XFILLER_157_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50181_ _50181_/A _50181_/B VGND VGND VPWR VPWR _50181_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_12_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62167_ _62167_/A _62014_/B VGND VGND VPWR VPWR _62167_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_712_654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39992_ _39986_/A _39985_/X _79987_/Q VGND VGND VPWR VPWR _39992_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_650_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_277_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_585_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2389 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_689_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_350_936 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_1781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_160_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_10_0_u_core.clock clkbuf_8_5_0_u_core.clock/X VGND VGND VPWR VPWR _77571_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_550_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61118_ _60795_/A _61116_/Y _61117_/Y VGND VGND VPWR VPWR _61118_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_118_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38943_ _38567_/A _38935_/B VGND VGND VPWR VPWR _38945_/A sky130_fd_sc_hd__or2_2
+XFILLER_365_92 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_350_2922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69763_ _69793_/A VGND VGND VPWR VPWR _70484_/B sky130_fd_sc_hd__buf_1
+XFILLER_25_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_511_749 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66975_ _66975_/A _66639_/B VGND VGND VPWR VPWR _66977_/B sky130_fd_sc_hd__nor2_2
+X_62098_ _61583_/X _62098_/B VGND VGND VPWR VPWR _62137_/B sky130_fd_sc_hd__nor2_2
+XFILLER_648_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_533_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_255_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_233_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_177_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_495_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_8609 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_192_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_2944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_99_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68714_ _76368_/C _68556_/B VGND VGND VPWR VPWR _68715_/C sky130_fd_sc_hd__nor2_2
+XFILLER_331_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_550_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53940_ _77150_/Q _53940_/B VGND VGND VPWR VPWR _53940_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_82_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65926_ _65926_/A _65434_/B VGND VGND VPWR VPWR _65927_/C sky130_fd_sc_hd__nor2_2
+X_61049_ _59457_/A VGND VGND VPWR VPWR _61052_/A sky130_fd_sc_hd__buf_1
+XFILLER_64_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38874_ _38874_/A _38873_/Y VGND VGND VPWR VPWR _80268_/D sky130_fd_sc_hd__nand2_2
+XFILLER_487_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_331_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_232_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_69694_ _69694_/A _69685_/Y _69694_/C VGND VGND VPWR VPWR _69695_/C sky130_fd_sc_hd__or3_2
+XFILLER_216_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7908 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_173_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_138_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7919 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_99_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_151_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1088 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80923_ _80923_/CLK _74489_/Y VGND VGND VPWR VPWR _66430_/A sky130_fd_sc_hd__dfxtp_4
+X_68645_ _69254_/A _68645_/B _68644_/Y VGND VGND VPWR VPWR _68645_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_436_517 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_271_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_511_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53871_ _59639_/A _53856_/X VGND VGND VPWR VPWR _53871_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_667_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65857_ _80279_/Q _65857_/B VGND VGND VPWR VPWR _65857_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_25_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_395 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_102_969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_41_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_566_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_645_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_429_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55610_ _55595_/X _55609_/X VGND VGND VPWR VPWR _55610_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_641_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_284_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_475_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52822_ _52829_/A VGND VGND VPWR VPWR _52841_/B sky130_fd_sc_hd__buf_1
+XFILLER_28_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64808_ _64334_/A VGND VGND VPWR VPWR _67309_/A sky130_fd_sc_hd__buf_1
+XFILLER_483_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56590_ _56590_/A _56589_/Y VGND VGND VPWR VPWR _56591_/B sky130_fd_sc_hd__nor2_2
+X_80854_ _80826_/CLK _74786_/Y VGND VGND VPWR VPWR _80854_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_583_2080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68576_ _68906_/A _68572_/Y _68576_/C VGND VGND VPWR VPWR _68577_/C sky130_fd_sc_hd__nor3_2
+XFILLER_386_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65788_ _65955_/A _65788_/B _65787_/Y VGND VGND VPWR VPWR _65868_/B sky130_fd_sc_hd__nor3_2
+XFILLER_696_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_407_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_626_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_721_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_386_1164 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_622_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_256_984 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_243_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_491_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55541_ _55624_/A _55539_/Y _55541_/C VGND VGND VPWR VPWR _55541_/Y sky130_fd_sc_hd__nor3_2
+X_67527_ _66877_/A _67527_/B _67526_/Y VGND VGND VPWR VPWR _67531_/B sky130_fd_sc_hd__nor3_2
+XFILLER_444_561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64739_ _64739_/A VGND VGND VPWR VPWR _64899_/B sky130_fd_sc_hd__buf_1
+X_52753_ _52753_/A _52730_/A VGND VGND VPWR VPWR _52753_/X sky130_fd_sc_hd__or2_2
+XFILLER_95_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_2649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_509_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_481_2365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_1878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_724_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_606_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80785_ _81326_/CLK _75053_/Y VGND VGND VPWR VPWR _64715_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_94_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_77_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_347_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_249_1558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_720_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_1848 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_271_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_2584 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51704_ _51716_/A VGND VGND VPWR VPWR _51710_/B sky130_fd_sc_hd__buf_1
+X_39426_ _39426_/A VGND VGND VPWR VPWR _39437_/B sky130_fd_sc_hd__buf_1
+XFILLER_427_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58260_ _58252_/Y _58259_/Y VGND VGND VPWR VPWR _58260_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_604_2673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_544_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55472_ _55461_/A _55472_/B VGND VGND VPWR VPWR _55472_/X sky130_fd_sc_hd__or2_2
+X_67458_ _74330_/C _66803_/X VGND VGND VPWR VPWR _67460_/B sky130_fd_sc_hd__nor2_2
+XFILLER_424_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52684_ _52741_/A _52693_/B VGND VGND VPWR VPWR _52684_/X sky130_fd_sc_hd__or2_2
+XPHY_27819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_63_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_325_1413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_54_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_42_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57211_ _56294_/A VGND VGND VPWR VPWR _57223_/A sky130_fd_sc_hd__buf_1
+XFILLER_522_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_145_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_400_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54423_ _77023_/Q _54426_/B VGND VGND VPWR VPWR _54425_/A sky130_fd_sc_hd__nand2_2
+XFILLER_169_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66409_ _80411_/Q _66732_/B VGND VGND VPWR VPWR _66411_/B sky130_fd_sc_hd__nor2_2
+XPHY_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_388_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1222 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39357_ _39357_/A _39357_/B VGND VGND VPWR VPWR _39357_/Y sky130_fd_sc_hd__nand2_2
+X_51635_ _51663_/A _51638_/B VGND VGND VPWR VPWR _51635_/X sky130_fd_sc_hd__or2_2
+XFILLER_32_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_179_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58191_ _68311_/A _58273_/B VGND VGND VPWR VPWR _58191_/Y sky130_fd_sc_hd__nor2_2
+XPHY_17329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_224_881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_498_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_262_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67389_ _66731_/A _67387_/Y _67389_/C VGND VGND VPWR VPWR _67389_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_19_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_149_1692 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_600_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_342_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_2004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_937 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_2150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_23_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_93_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38308_ _38305_/A _38305_/B _80409_/Q VGND VGND VPWR VPWR _38308_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_574_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_948 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57142_ _57226_/A _57142_/B _57141_/Y VGND VGND VPWR VPWR _57142_/X sky130_fd_sc_hd__or3_2
+XFILLER_243_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69128_ _38637_/C _68990_/B VGND VGND VPWR VPWR _69128_/Y sky130_fd_sc_hd__nor2_2
+X_81406_ _81435_/CLK _81406_/D VGND VGND VPWR VPWR _72532_/C sky130_fd_sc_hd__dfxtp_4
+XPHY_1503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_196_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_401_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_400_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_2248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_491 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54354_ _54236_/X _54360_/B VGND VGND VPWR VPWR _54355_/B sky130_fd_sc_hd__or2_2
+XPHY_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_459_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_1880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_707_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39288_ _39288_/A _39292_/B _80166_/Q VGND VGND VPWR VPWR _39288_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_401_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51566_ _51715_/A VGND VGND VPWR VPWR _51568_/A sky130_fd_sc_hd__buf_1
+XPHY_2259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_211_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_558_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_168_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_15905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_195_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_518_337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_719_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_1334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_36_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_545_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_1957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_664 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53305_ _53219_/A _53280_/A VGND VGND VPWR VPWR _53306_/B sky130_fd_sc_hd__or2_2
+XFILLER_52_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_538_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_15927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_11_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_574_2750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38239_ _38277_/A VGND VGND VPWR VPWR _38239_/X sky130_fd_sc_hd__buf_1
+XFILLER_475_1402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50517_ _50815_/A VGND VGND VPWR VPWR _50517_/X sky130_fd_sc_hd__buf_1
+X_57073_ _56913_/X _57071_/Y _57073_/C VGND VGND VPWR VPWR _57074_/C sky130_fd_sc_hd__nor3_2
+XFILLER_260_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_674_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81337_ _81305_/CLK _72795_/Y VGND VGND VPWR VPWR _66119_/A sky130_fd_sc_hd__dfxtp_4
+X_69059_ _72999_/C _69199_/B VGND VGND VPWR VPWR _69059_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_32_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54285_ _77060_/Q _54289_/B VGND VGND VPWR VPWR _54285_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_299_2393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_178_2011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51497_ _51522_/B VGND VGND VPWR VPWR _51497_/X sky130_fd_sc_hd__buf_1
+XFILLER_570_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_1569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_557_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_197_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_177_980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_10_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_104_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_2189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_637_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_573_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_123_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56024_ _45307_/Y _56010_/X VGND VGND VPWR VPWR _56024_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_401_1389 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_101_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41250_ _41176_/X VGND VGND VPWR VPWR _41264_/B sky130_fd_sc_hd__buf_1
+XFILLER_6_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53236_ _53236_/A _53235_/X VGND VGND VPWR VPWR _53236_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_158_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72070_ _72061_/Y _72068_/Y _72141_/A VGND VGND VPWR VPWR _72087_/A sky130_fd_sc_hd__a21o_4
+XFILLER_637_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_50448_ _50446_/Y _50447_/X VGND VGND VPWR VPWR _78073_/D sky130_fd_sc_hd__nand2_2
+XFILLER_156_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81268_ _81513_/CLK _73088_/Y VGND VGND VPWR VPWR _65278_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_377_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_13_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_542_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_554_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_551_2035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_556_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_418_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40201_ _39827_/X _40201_/B VGND VGND VPWR VPWR _40201_/X sky130_fd_sc_hd__or2_2
+XPHY_22104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71021_ _71018_/Y _71020_/Y VGND VGND VPWR VPWR _71022_/B sky130_fd_sc_hd__nor2_2
+XFILLER_137_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_88_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_164_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_192_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80219_ _80315_/CLK _39058_/Y VGND VGND VPWR VPWR _80219_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_22115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_100_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_570_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41181_ _41173_/A _41178_/B _58410_/A VGND VGND VPWR VPWR _41182_/B sky130_fd_sc_hd__nand3_2
+XFILLER_137_888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53167_ _77353_/Q _53173_/B VGND VGND VPWR VPWR _53168_/B sky130_fd_sc_hd__nand2_2
+XFILLER_371_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_2671 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_353_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50379_ _50372_/A VGND VGND VPWR VPWR _50389_/B sky130_fd_sc_hd__buf_1
+XFILLER_195_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81199_ _80813_/CLK _73383_/Y VGND VGND VPWR VPWR _64220_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_22137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_117_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_371_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9800 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_627 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_30_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_487 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_171_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_297_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9811 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40132_ _40129_/X _40143_/B _40132_/C VGND VGND VPWR VPWR _40132_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_136_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52118_ _77629_/Q _52112_/B VGND VGND VPWR VPWR _52120_/A sky130_fd_sc_hd__nand2_2
+XPHY_21414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_22159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_178_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9822 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_631_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_336_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_551_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_3_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_2100 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_492_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_1510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_689_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57975_ _80323_/Q _57813_/B VGND VGND VPWR VPWR _57977_/B sky130_fd_sc_hd__nor2_2
+XFILLER_434_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53098_ _53096_/Y _53098_/B VGND VGND VPWR VPWR _77368_/D sky130_fd_sc_hd__nand2_2
+XFILLER_65_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_31959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_1444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_9833 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_310_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_1231 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_611_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_431_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_5_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9844 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1242 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_251_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_425_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9855 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_3_879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59714_ _59392_/A _59714_/B _59714_/C VGND VGND VPWR VPWR _59733_/A sky130_fd_sc_hd__nor3_2
+XPHY_21458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_290_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_191_1543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_642_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_2_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_2776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52049_ _52046_/Y _52048_/X VGND VGND VPWR VPWR _52049_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_486_2210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40063_ _40063_/A _40062_/Y VGND VGND VPWR VPWR _40063_/Y sky130_fd_sc_hd__nand2_2
+X_56926_ _57163_/A _56920_/Y _56925_/Y VGND VGND VPWR VPWR _56926_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_8_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44940_ _41721_/A VGND VGND VPWR VPWR _44940_/Y sky130_fd_sc_hd__inv_8
+XPHY_9866 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_709_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75760_ _75755_/X _75749_/B _75760_/C VGND VGND VPWR VPWR _75761_/B sky130_fd_sc_hd__nand3_2
+XPHY_21469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_254_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_104_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_568_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_495_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72972_ _72972_/A VGND VGND VPWR VPWR _76213_/A sky130_fd_sc_hd__buf_1
+XFILLER_239_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9877 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_120_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_216_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_219_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_412_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9888 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_394_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_250_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_82_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9899 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_662_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_683_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74711_ _74711_/A _74729_/B VGND VGND VPWR VPWR _74713_/A sky130_fd_sc_hd__or2_2
+XFILLER_115_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_191_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59645_ _59645_/A VGND VGND VPWR VPWR _59646_/B sky130_fd_sc_hd__buf_1
+X_71923_ _71923_/A _71675_/B VGND VGND VPWR VPWR _71923_/X sky130_fd_sc_hd__and2_2
+XFILLER_293_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_330_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44871_ _44869_/X _44870_/X VGND VGND VPWR VPWR _44871_/X sky130_fd_sc_hd__and2_2
+XFILLER_486_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56857_ _57257_/A VGND VGND VPWR VPWR _57170_/B sky130_fd_sc_hd__buf_1
+XFILLER_77_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_616_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75691_ _75691_/A _75690_/Y VGND VGND VPWR VPWR _80627_/D sky130_fd_sc_hd__nand2_2
+XFILLER_384_2506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_666_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_643_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_361_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_467_697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_267_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_568_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_722_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_510_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_1_0_3_u_core.clock clkbuf_1_0_3_u_core.clock/A VGND VGND VPWR VPWR clkbuf_1_0_3_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_59_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46610_ _45038_/A _41758_/A VGND VGND VPWR VPWR _46613_/A sky130_fd_sc_hd__nand2_2
+XPHY_10278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_529_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_25_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77430_ _76779_/CLK _77430_/D VGND VGND VPWR VPWR _60600_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_644_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43822_ _43822_/A _43938_/A VGND VGND VPWR VPWR _43822_/Y sky130_fd_sc_hd__nor2_2
+X_55808_ _55808_/A _55797_/B VGND VGND VPWR VPWR _55810_/A sky130_fd_sc_hd__nand2_2
+XFILLER_671_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_2120 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74642_ _74639_/X _74641_/Y VGND VGND VPWR VPWR _80885_/D sky130_fd_sc_hd__nand2_2
+XFILLER_349_2659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_98_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59576_ _59576_/A _63880_/B VGND VGND VPWR VPWR _59576_/Y sky130_fd_sc_hd__nor2_2
+X_71854_ _70690_/Y _71854_/B VGND VGND VPWR VPWR _71855_/B sky130_fd_sc_hd__or2_2
+X_47590_ _47590_/A _47590_/B VGND VGND VPWR VPWR _78808_/D sky130_fd_sc_hd__nand2_2
+XFILLER_388_1974 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_92_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_293_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_21_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56788_ _56710_/A _56788_/B _56788_/C VGND VGND VPWR VPWR _56793_/B sky130_fd_sc_hd__nor3_2
+XFILLER_112_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_962 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_707_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_447_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_18_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_265_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_185_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_111_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_1149 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58527_ _57729_/A VGND VGND VPWR VPWR _58665_/B sky130_fd_sc_hd__buf_1
+XFILLER_280_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46541_ _46541_/A _46523_/X VGND VGND VPWR VPWR _46543_/A sky130_fd_sc_hd__or2_2
+X_70805_ _70793_/A VGND VGND VPWR VPWR _45204_/A sky130_fd_sc_hd__buf_1
+XFILLER_540_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77361_ _76762_/CLK _77361_/D VGND VGND VPWR VPWR _77361_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_29733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+Xclkbuf_5_0_0_u_core.clock clkbuf_5_1_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_5_0_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_660_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43753_ _69005_/A _43725_/X VGND VGND VPWR VPWR _43761_/B sky130_fd_sc_hd__nor2_2
+X_55739_ _53221_/B _55739_/B VGND VGND VPWR VPWR _55740_/A sky130_fd_sc_hd__or2_2
+XPHY_19210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_210_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74573_ _74029_/X _74562_/B VGND VGND VPWR VPWR _74575_/A sky130_fd_sc_hd__or2_2
+XFILLER_308_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_284_2651 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_46_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_527_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_228 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_59_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_98_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40965_ _40965_/A _40964_/Y VGND VGND VPWR VPWR _79737_/D sky130_fd_sc_hd__nand2_2
+XFILLER_249_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_612_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_19221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71785_ _71785_/A _71785_/B VGND VGND VPWR VPWR _71785_/X sky130_fd_sc_hd__and2_2
+XFILLER_670_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_382_2263 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_19232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2504 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79100_ _79100_/CLK _79100_/D VGND VGND VPWR VPWR _42234_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_523_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_128_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_462_380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_379_104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76312_ _38314_/A _76312_/B VGND VGND VPWR VPWR _76312_/X sky130_fd_sc_hd__or2_2
+XPHY_19243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_623_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42704_ _69987_/A _42704_/B VGND VGND VPWR VPWR _42704_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_480_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49260_ _49257_/Y _49259_/X VGND VGND VPWR VPWR _49260_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_94_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73524_ _73524_/A _73523_/Y VGND VGND VPWR VPWR _73524_/Y sky130_fd_sc_hd__nand2_2
+XPHY_29777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_1824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_95_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_1993 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_128_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46472_ _43505_/X _46471_/Y VGND VGND VPWR VPWR _46472_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_568_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58458_ _39006_/C _58137_/B VGND VGND VPWR VPWR _58460_/B sky130_fd_sc_hd__nor2_2
+XFILLER_327_2979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70736_ _70672_/X _70736_/B _70735_/Y VGND VGND VPWR VPWR _70736_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_501_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77292_ _77283_/CLK _77292_/D VGND VGND VPWR VPWR _53409_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_91_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43684_ _43769_/B VGND VGND VPWR VPWR _43778_/A sky130_fd_sc_hd__inv_8
+XPHY_4140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_720_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_411_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_423_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40896_ _40386_/A _40900_/B VGND VGND VPWR VPWR _40899_/A sky130_fd_sc_hd__or2_2
+XFILLER_2_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_505_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_244 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_18531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_1083 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48211_ _48182_/A _48223_/B VGND VGND VPWR VPWR _48211_/X sky130_fd_sc_hd__or2_2
+XPHY_4162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_19287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79031_ _78899_/CLK _79031_/D VGND VGND VPWR VPWR _43125_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_501_2424 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45423_ _44937_/A _55690_/B VGND VGND VPWR VPWR _45423_/X sky130_fd_sc_hd__or2_2
+XFILLER_215_870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57409_ _57409_/A VGND VGND VPWR VPWR _57417_/A sky130_fd_sc_hd__buf_1
+XFILLER_445_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_76_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_167_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_208_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76243_ _76280_/A VGND VGND VPWR VPWR _76259_/A sky130_fd_sc_hd__buf_1
+XFILLER_379_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_19298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42635_ _42558_/A VGND VGND VPWR VPWR _42636_/A sky130_fd_sc_hd__buf_1
+XFILLER_596_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_261_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_245_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_638_2202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73455_ _73466_/A _73444_/X _73455_/C VGND VGND VPWR VPWR _73458_/A sky130_fd_sc_hd__nand3_2
+XFILLER_262_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_54_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49191_ _49133_/A _49179_/B VGND VGND VPWR VPWR _49191_/X sky130_fd_sc_hd__or2_2
+XFILLER_701_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_4184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70667_ _69625_/A _69752_/X _70667_/C VGND VGND VPWR VPWR _70667_/X sky130_fd_sc_hd__and3_2
+X_58389_ _80616_/Q _58389_/B VGND VGND VPWR VPWR _58391_/B sky130_fd_sc_hd__nor2_2
+XFILLER_692_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_215_892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_388_671 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_163_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_18586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_17841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_690_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_262_2993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_243_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_618_2809 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_37_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_148_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48142_ _47829_/A VGND VGND VPWR VPWR _48178_/A sky130_fd_sc_hd__buf_1
+X_72406_ _72443_/A VGND VGND VPWR VPWR _72422_/B sky130_fd_sc_hd__buf_1
+X_60420_ _60420_/A _60419_/X VGND VGND VPWR VPWR _60423_/B sky130_fd_sc_hd__nor2_2
+XPHY_17852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_187_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_677_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45354_ _45328_/A _45354_/B _45353_/Y VGND VGND VPWR VPWR _45354_/Y sky130_fd_sc_hd__nor3_2
+XPHY_35220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_163_1656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_76174_ _38307_/A _76161_/B VGND VGND VPWR VPWR _76177_/A sky130_fd_sc_hd__or2_2
+XPHY_17863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42566_ _42474_/X _42565_/X VGND VGND VPWR VPWR _42566_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_198_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_376_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73386_ _73501_/B VGND VGND VPWR VPWR _73387_/B sky130_fd_sc_hd__buf_1
+XFILLER_310_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_35_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_35231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70598_ _70471_/A _70595_/Y _70522_/A _70597_/Y VGND VGND VPWR VPWR _70598_/X sky130_fd_sc_hd__o22a_4
+XFILLER_673_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_35242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_105_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_1210 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_278_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_2760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_30_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_477_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_321_2578 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_376_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_18_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44305_ _44305_/A _44304_/X VGND VGND VPWR VPWR _44305_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_278_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75125_ _75122_/X _75124_/Y VGND VGND VPWR VPWR _75125_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_376_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_651_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41517_ _41517_/A _41517_/B _79598_/Q VGND VGND VPWR VPWR _41517_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_41_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60351_ _53737_/A _59868_/B VGND VGND VPWR VPWR _60352_/C sky130_fd_sc_hd__nor2_2
+X_48073_ _48014_/A _50033_/A VGND VGND VPWR VPWR _48074_/A sky130_fd_sc_hd__or2_2
+XPHY_2782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_161_2092 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72337_ _72335_/X _72337_/B VGND VGND VPWR VPWR _81455_/D sky130_fd_sc_hd__nand2_2
+XFILLER_147_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_175_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45285_ _45283_/X _45284_/X VGND VGND VPWR VPWR _55284_/B sky130_fd_sc_hd__nand2_2
+XFILLER_128_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_34530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_493_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42497_ _42476_/X _42495_/X _70113_/X _42496_/X VGND VGND VPWR VPWR _42497_/X sky130_fd_sc_hd__o22a_4
+XFILLER_70_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_391_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_436_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_50_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_32_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_278_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_518_2954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47024_ _71780_/A _47015_/X VGND VGND VPWR VPWR _47026_/B sky130_fd_sc_hd__nor2_2
+XFILLER_536_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44236_ _44268_/A _44234_/Y _44236_/C VGND VGND VPWR VPWR _44237_/C sky130_fd_sc_hd__nor3_2
+X_63070_ _62363_/X _63070_/B _63069_/Y VGND VGND VPWR VPWR _63071_/B sky130_fd_sc_hd__nor3_2
+XFILLER_580_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75056_ _75056_/A _75055_/X VGND VGND VPWR VPWR _80784_/D sky130_fd_sc_hd__nand2_2
+X_79933_ _80031_/CLK _40196_/Y VGND VGND VPWR VPWR _79933_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_376_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41448_ _41446_/X _41447_/Y VGND VGND VPWR VPWR _41448_/Y sky130_fd_sc_hd__nand2_2
+XPHY_34574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_573_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72268_ _72268_/A VGND VGND VPWR VPWR _72291_/A sky130_fd_sc_hd__buf_1
+X_60282_ _60122_/A _60282_/B _60282_/C VGND VGND VPWR VPWR _60290_/B sky130_fd_sc_hd__nor3_2
+XFILLER_454_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_714_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2976 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_87_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_2244 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_517_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_24062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_356_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_678_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_466_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_2490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_534_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_83_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_671_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74007_ _74007_/A VGND VGND VPWR VPWR _74025_/B sky130_fd_sc_hd__buf_1
+X_62021_ _62003_/Y _62021_/B VGND VGND VPWR VPWR _62022_/B sky130_fd_sc_hd__nor2_2
+XFILLER_31_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_471_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_295_2791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_127_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71219_ _75450_/A VGND VGND VPWR VPWR _40393_/A sky130_fd_sc_hd__buf_1
+XFILLER_651_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_551_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_633_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44167_ _44167_/A _44167_/B VGND VGND VPWR VPWR _44167_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_274_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_48_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79864_ _79805_/CLK _40477_/Y VGND VGND VPWR VPWR _66011_/A sky130_fd_sc_hd__dfxtp_4
+X_41379_ _41376_/X _41379_/B VGND VGND VPWR VPWR _41379_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_721_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72199_ _72866_/A VGND VGND VPWR VPWR _72200_/A sky130_fd_sc_hd__buf_1
+XFILLER_259_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_100_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_157_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_417_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_48_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_291_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_512_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_252_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_23372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_2930 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_331_413 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_616_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_23383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_532_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43118_ _43116_/A VGND VGND VPWR VPWR _45026_/A sky130_fd_sc_hd__buf_1
+XFILLER_135_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_393_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_354_2387 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_315_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78815_ _78800_/CLK _47559_/Y VGND VGND VPWR VPWR _63380_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_505_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_294_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_22660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48975_ _63243_/A _48960_/B VGND VGND VPWR VPWR _48977_/A sky130_fd_sc_hd__nand2_2
+XFILLER_288_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44098_ _43995_/A _44098_/B _44098_/C VGND VGND VPWR VPWR _44098_/Y sky130_fd_sc_hd__nor3_2
+X_79795_ _79794_/CLK _79795_/D VGND VGND VPWR VPWR _65171_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_87_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_586_2610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_22671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_471_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_371_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_233_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_647_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_332_2652 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_413_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_22693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_389_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47926_ _47926_/A VGND VGND VPWR VPWR _47930_/B sky130_fd_sc_hd__buf_1
+X_43049_ _43049_/A _43048_/X VGND VGND VPWR VPWR _43049_/Y sky130_fd_sc_hd__nand2_2
+X_78746_ _78746_/CLK _78746_/D VGND VGND VPWR VPWR _78746_/Q sky130_fd_sc_hd__dfxtp_4
+X_66760_ _66273_/A _66760_/B _66759_/Y VGND VGND VPWR VPWR _66764_/B sky130_fd_sc_hd__nor3_2
+XPHY_12170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_458_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_1298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63972_ _59516_/A _63972_/B _63972_/C VGND VGND VPWR VPWR _63973_/C sky130_fd_sc_hd__nor3_2
+X_75958_ _75941_/X _75967_/B _75958_/C VGND VGND VPWR VPWR _75958_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_567_2031 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_410_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_152_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_21970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_170_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_48_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_313_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_684_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65711_ _43705_/Y _65381_/B VGND VGND VPWR VPWR _65713_/B sky130_fd_sc_hd__nor2_2
+XPHY_21992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62923_ _62292_/X _62923_/B _62923_/C VGND VGND VPWR VPWR _62927_/B sky130_fd_sc_hd__nor3_2
+X_74909_ _74872_/A VGND VGND VPWR VPWR _74910_/B sky130_fd_sc_hd__buf_1
+XFILLER_69_658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47857_ _47857_/A _47886_/B VGND VGND VPWR VPWR _53650_/A sky130_fd_sc_hd__or2_2
+XFILLER_430_1563 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_22_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_567_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_66691_ _66050_/X _66691_/B _66691_/C VGND VGND VPWR VPWR _66692_/D sky130_fd_sc_hd__nor3_2
+XPHY_11480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_78677_ _78671_/CLK _78677_/D VGND VGND VPWR VPWR _78677_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_332_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_2726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75889_ _75889_/A _75889_/B _80575_/Q VGND VGND VPWR VPWR _75889_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_473_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_606_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_545_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_494 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_8_157_0_u_core.clock clkbuf_7_78_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_9_315_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_649_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68430_ _41424_/C _68603_/B VGND VGND VPWR VPWR _68430_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_84_617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_2415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_111_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46808_ _46704_/B VGND VGND VPWR VPWR _46809_/B sky130_fd_sc_hd__inv_8
+X_65642_ _56978_/A _65123_/B VGND VGND VPWR VPWR _65642_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_645_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77628_ _77628_/CLK _77628_/D VGND VGND VPWR VPWR _52124_/A sky130_fd_sc_hd__dfxtp_4
+X_38590_ _76196_/A VGND VGND VPWR VPWR _38590_/X sky130_fd_sc_hd__buf_1
+X_62854_ _62854_/A _62227_/B VGND VGND VPWR VPWR _62854_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_528_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47788_ _48042_/A _47570_/A VGND VGND VPWR VPWR _53586_/A sky130_fd_sc_hd__or2_2
+XFILLER_61_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_204_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2302 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_445_358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_10790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_238_973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_365_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2603 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_284_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_627_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_725_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61805_ _61645_/A _61805_/B _61804_/Y VGND VGND VPWR VPWR _61805_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_348_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49527_ _49641_/A _49485_/X VGND VGND VPWR VPWR _49527_/X sky130_fd_sc_hd__or2_2
+XFILLER_627_1972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68361_ _68198_/A _68359_/Y _68360_/Y VGND VGND VPWR VPWR _68361_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_266_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_541_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46739_ _46726_/X VGND VGND VPWR VPWR _46744_/A sky130_fd_sc_hd__inv_8
+XFILLER_94_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65573_ _65892_/A _65573_/B _65572_/Y VGND VGND VPWR VPWR _65573_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_482_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_65_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_77559_ _78559_/CLK _52393_/Y VGND VGND VPWR VPWR _77559_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_42_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_62785_ _78100_/Q _63251_/B VGND VGND VPWR VPWR _62787_/B sky130_fd_sc_hd__nor2_2
+XFILLER_76_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_463_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_20_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_90_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_168_1534 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_2483 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_541_2248 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_545_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67312_ _66816_/A _67312_/B _67312_/C VGND VGND VPWR VPWR _67313_/C sky130_fd_sc_hd__nor3_2
+XFILLER_77_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_404_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64524_ _67239_/A VGND VGND VPWR VPWR _64531_/A sky130_fd_sc_hd__buf_1
+XFILLER_399_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_697_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_1507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61736_ _61109_/A _61736_/B _61735_/Y VGND VGND VPWR VPWR _61744_/B sky130_fd_sc_hd__nor3_2
+X_49458_ _49457_/X VGND VGND VPWR VPWR _49458_/X sky130_fd_sc_hd__buf_1
+X_80570_ _80543_/CLK _75909_/Y VGND VGND VPWR VPWR _66233_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_498_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68292_ _68276_/X _68292_/B _68292_/C VGND VGND VPWR VPWR _68293_/B sky130_fd_sc_hd__nor3_2
+XFILLER_408_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_601_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_630_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_92_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_225_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_695_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39211_ _39211_/A VGND VGND VPWR VPWR _39213_/A sky130_fd_sc_hd__buf_1
+XFILLER_283_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_261_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_224_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_501_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48409_ _48409_/A _48409_/B VGND VGND VPWR VPWR _78601_/D sky130_fd_sc_hd__nand2_2
+XFILLER_326_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_283_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_678_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67243_ _67901_/A _67243_/B _67242_/Y VGND VGND VPWR VPWR _67243_/Y sky130_fd_sc_hd__nor3_2
+X_79229_ _79238_/CLK _79229_/D VGND VGND VPWR VPWR _44459_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_0_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_64455_ _65228_/A VGND VGND VPWR VPWR _68722_/A sky130_fd_sc_hd__buf_1
+XFILLER_601_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_2147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_244_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61667_ _61667_/A _61667_/B _61667_/C VGND VGND VPWR VPWR _61668_/B sky130_fd_sc_hd__nor3_2
+X_49389_ _49387_/Y _49388_/X VGND VGND VPWR VPWR _78352_/D sky130_fd_sc_hd__nand2_2
+XFILLER_459_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_55_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_342_1034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_656_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1668 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_307_1165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_556_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39142_ _39142_/A VGND VGND VPWR VPWR _39142_/X sky130_fd_sc_hd__buf_1
+X_51420_ _77816_/Q _51397_/X VGND VGND VPWR VPWR _51420_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_523_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63406_ _59094_/A VGND VGND VPWR VPWR _63819_/B sky130_fd_sc_hd__buf_1
+XFILLER_21_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_163_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60618_ _59818_/X VGND VGND VPWR VPWR _60765_/A sky130_fd_sc_hd__buf_1
+X_67174_ _68821_/A VGND VGND VPWR VPWR _67333_/B sky130_fd_sc_hd__buf_1
+XFILLER_656_2346 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_593_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_64386_ _39611_/C _69329_/B VGND VGND VPWR VPWR _64387_/C sky130_fd_sc_hd__nor2_2
+XFILLER_716_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_1308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_75_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61598_ _61598_/A VGND VGND VPWR VPWR _62075_/B sky130_fd_sc_hd__buf_1
+XFILLER_441_1681 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_33_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_567_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_240_1319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_71_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_695_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_222_2454 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_402_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_1089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_320_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_20_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_359_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_53_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66125_ _66125_/A _66124_/Y VGND VGND VPWR VPWR _66125_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_296_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51351_ _61076_/A _51339_/X VGND VGND VPWR VPWR _51353_/A sky130_fd_sc_hd__nand2_2
+X_39073_ _39070_/X _39072_/Y VGND VGND VPWR VPWR _80215_/D sky130_fd_sc_hd__nand2_2
+X_63337_ _63337_/A _63337_/B _63337_/C VGND VGND VPWR VPWR _63337_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_138_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_222_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_2476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60549_ _60549_/A _60385_/B VGND VGND VPWR VPWR _60550_/C sky130_fd_sc_hd__nor2_2
+XFILLER_18_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_1277 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_476_2478 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_203_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_676_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_615_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_555_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_179_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50302_ _62046_/A _50308_/B VGND VGND VPWR VPWR _50302_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_619_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_591_2360 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81122_ _81156_/CLK _81122_/D VGND VGND VPWR VPWR _73677_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_320_1365 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_493_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_634_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54070_ _53316_/A VGND VGND VPWR VPWR _54674_/A sky130_fd_sc_hd__buf_1
+X_66056_ _66056_/A VGND VGND VPWR VPWR _66707_/A sky130_fd_sc_hd__buf_1
+XFILLER_393_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_437_1717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_394_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_390_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51282_ _53193_/A _51310_/B VGND VGND VPWR VPWR _51308_/B sky130_fd_sc_hd__or2_2
+X_63268_ _63249_/Y _63267_/Y VGND VGND VPWR VPWR _63269_/B sky130_fd_sc_hd__nor2_2
+XFILLER_200_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_2419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_3029 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_437_1728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_634_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_372_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_222_1797 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_634_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_2180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_192_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_1595 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_492_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1127 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53021_ _60566_/A _53018_/B VGND VGND VPWR VPWR _53023_/A sky130_fd_sc_hd__nand2_2
+XFILLER_88_1535 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_524_852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_65007_ _65007_/A _65357_/B VGND VGND VPWR VPWR _65008_/C sky130_fd_sc_hd__nor2_2
+XFILLER_376_91 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_713_952 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50233_ _78126_/Q _50233_/B VGND VGND VPWR VPWR _50233_/Y sky130_fd_sc_hd__nand2_2
+X_62219_ _61752_/A _62219_/B _62218_/Y VGND VGND VPWR VPWR _62219_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_88_1546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81053_ _81083_/CLK _81053_/D VGND VGND VPWR VPWR _73938_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_415_2727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_31_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_88_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_119_899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63199_ _59756_/A VGND VGND VPWR VPWR _63199_/X sky130_fd_sc_hd__buf_1
+XFILLER_134_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_552_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_85_0_u_core.clock clkbuf_9_85_0_u_core.clock/A VGND VGND VPWR VPWR _76993_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_66_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9107 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_1731 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_80004_ _79940_/CLK _80004_/D VGND VGND VPWR VPWR _67980_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_279_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_118_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_696_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2143 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_323_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_145_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69815_ _69687_/A _76681_/Q _55885_/C VGND VGND VPWR VPWR _69815_/X sky130_fd_sc_hd__and3_2
+XFILLER_435_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_9118 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50164_ _50180_/B VGND VGND VPWR VPWR _50168_/B sky130_fd_sc_hd__buf_1
+XFILLER_337_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_726 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_322_435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39975_ _39975_/A _39975_/B VGND VGND VPWR VPWR _39975_/Y sky130_fd_sc_hd__nand2_2
+XPHY_9129 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_1064 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_496_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_2739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_712_495 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_650_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_413_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2296 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8406 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_431_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_118_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8417 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_245_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38926_ _38926_/A _38926_/B _80254_/Q VGND VGND VPWR VPWR _38926_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_315_2872 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_133_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_511_2063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57760_ _57760_/A _57517_/B VGND VGND VPWR VPWR _57761_/C sky130_fd_sc_hd__nor2_2
+XFILLER_568_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8428 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_69746_ _69745_/X VGND VGND VPWR VPWR _69746_/X sky130_fd_sc_hd__buf_1
+XFILLER_118_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2863 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_50095_ _50093_/X _50114_/B VGND VGND VPWR VPWR _50095_/X sky130_fd_sc_hd__or2_2
+X_54972_ _60048_/A _54976_/B VGND VGND VPWR VPWR _54975_/A sky130_fd_sc_hd__nand2_2
+XFILLER_5_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_1584 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_66958_ _66612_/X _66953_/Y _66957_/Y VGND VGND VPWR VPWR _66958_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_470_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8439 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_138_1371 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_710_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_116_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_2016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7705 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_233_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_565_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_638_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_667_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7716 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_2104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_56711_ _65150_/A _56886_/B VGND VGND VPWR VPWR _56713_/B sky130_fd_sc_hd__nor2_2
+XFILLER_170_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_628_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53923_ _77154_/Q _53932_/B VGND VGND VPWR VPWR _53923_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_99_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65909_ _80408_/Q _65909_/B VGND VGND VPWR VPWR _65911_/B sky130_fd_sc_hd__nor2_2
+XFILLER_628_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7727 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_512 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38857_ _38851_/A _38750_/B _38857_/C VGND VGND VPWR VPWR _38857_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_476_472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_464_612 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_59_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57691_ _67122_/A _57447_/X VGND VGND VPWR VPWR _57691_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_82_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69677_ _69677_/A VGND VGND VPWR VPWR _69678_/A sky130_fd_sc_hd__inv_8
+XFILLER_248_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_490 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_7738 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_181_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_1315 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66889_ _75127_/C _67215_/B VGND VGND VPWR VPWR _66889_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_101_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_2203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_60_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7749 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_492_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_585_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_585_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59430_ _59753_/A _59430_/B _59429_/Y VGND VGND VPWR VPWR _59430_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_9_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39508 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56642_ _57951_/A VGND VGND VPWR VPWR _56643_/B sky130_fd_sc_hd__buf_1
+XFILLER_151_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_80906_ _81005_/CLK _74564_/Y VGND VGND VPWR VPWR _80906_/Q sky130_fd_sc_hd__dfxtp_4
+X_68628_ _67968_/X _68624_/Y _68628_/C VGND VGND VPWR VPWR _68629_/C sky130_fd_sc_hd__nor3_2
+XFILLER_102_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_131_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_487_1873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53854_ _53854_/A _53853_/X VGND VGND VPWR VPWR _53854_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_366_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_74_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39519 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_542_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_102_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38788_ _38786_/X _38787_/Y VGND VGND VPWR VPWR _80291_/D sky130_fd_sc_hd__nand2_2
+XFILLER_448_1835 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_429_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_75_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_1631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_186_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_366_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_112_1533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_546_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_29018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_1114 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_448_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38807 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_211_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_2473 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52805_ _52833_/A _52811_/B VGND VGND VPWR VPWR _52805_/X sky130_fd_sc_hd__or2_2
+XFILLER_268_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_429_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59361_ _54277_/A _59064_/X VGND VGND VPWR VPWR _59361_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_465_2883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_38818 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_56573_ _56200_/X _56539_/X _56572_/X _74531_/Y _56413_/X VGND VGND VPWR VPWR _56573_/Y
++ sky130_fd_sc_hd__a32oi_4
+XFILLER_28_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80837_ _80867_/CLK _74861_/Y VGND VGND VPWR VPWR _80837_/Q sky130_fd_sc_hd__dfxtp_4
+X_68559_ _67894_/A _68548_/Y _68558_/Y VGND VGND VPWR VPWR _68560_/B sky130_fd_sc_hd__nor3_2
+XFILLER_524_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_266_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_290_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_55_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53785_ _53844_/A _53793_/B VGND VGND VPWR VPWR _53786_/B sky130_fd_sc_hd__or2_2
+XFILLER_244_932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38829 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_622_2036 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_451_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_405_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_249_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_50997_ _51027_/B VGND VGND VPWR VPWR _51017_/B sky130_fd_sc_hd__buf_1
+XFILLER_652_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_366_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_309_2643 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_227_2310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_481_2173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_186_1634 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_83_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_622_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58312_ _80263_/Q _58311_/X VGND VGND VPWR VPWR _58313_/C sky130_fd_sc_hd__nor2_2
+XFILLER_383_2583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_2370 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_442_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55524_ _55551_/A VGND VGND VPWR VPWR _55688_/A sky130_fd_sc_hd__buf_1
+XFILLER_721_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_2255 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_83_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40750_ _40756_/A _40750_/B _64825_/A VGND VGND VPWR VPWR _40750_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_505_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_52736_ _77466_/Q _52728_/X VGND VGND VPWR VPWR _52736_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_249_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59292_ _59627_/A VGND VGND VPWR VPWR _59295_/A sky130_fd_sc_hd__buf_1
+X_71570_ _71570_/A _71512_/B VGND VGND VPWR VPWR _71570_/X sky130_fd_sc_hd__and2_2
+XFILLER_407_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80768_ _80826_/CLK _75121_/Y VGND VGND VPWR VPWR _80768_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_604_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_43_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_77_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_506_2891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_227_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_216_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_32 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_227_1620 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_702_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39409_ _39143_/A _39422_/B VGND VGND VPWR VPWR _39411_/A sky130_fd_sc_hd__or2_2
+XFILLER_325_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_164_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70521_ _70518_/Y _70048_/Y _70053_/A _70520_/X VGND VGND VPWR VPWR _70521_/X sky130_fd_sc_hd__o22a_4
+X_58243_ _56244_/X VGND VGND VPWR VPWR _58563_/B sky130_fd_sc_hd__buf_1
+XFILLER_540_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_578_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_432_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_420_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55455_ _55466_/A _55454_/Y VGND VGND VPWR VPWR _55456_/B sky130_fd_sc_hd__nor2_2
+XFILLER_164_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_2821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40681_ _40681_/A VGND VGND VPWR VPWR _40685_/B sky130_fd_sc_hd__buf_1
+XPHY_26904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52667_ _60465_/A _52667_/B VGND VGND VPWR VPWR _52669_/A sky130_fd_sc_hd__nand2_2
+XPHY_27649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2001 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_420_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_712 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_344_1866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_231_648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_696_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80699_ _80734_/CLK _75379_/Y VGND VGND VPWR VPWR _66385_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_26915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_54_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2012 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_167_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_262_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_247_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_579_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_16403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2023 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_17148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_36_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42420_ _42186_/A VGND VGND VPWR VPWR _42468_/A sky130_fd_sc_hd__buf_1
+XPHY_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_54406_ _54406_/A _54420_/B VGND VGND VPWR VPWR _54409_/A sky130_fd_sc_hd__nand2_2
+XFILLER_325_1265 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_169_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73240_ _73239_/X VGND VGND VPWR VPWR _73253_/A sky130_fd_sc_hd__buf_1
+XPHY_26937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2034 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_51618_ _53529_/A _51554_/B VGND VGND VPWR VPWR _51644_/B sky130_fd_sc_hd__or2_2
+XFILLER_297_2308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58174_ _68112_/A _58016_/X VGND VGND VPWR VPWR _58176_/B sky130_fd_sc_hd__nor2_2
+X_70452_ _71498_/A _70397_/Y VGND VGND VPWR VPWR _70452_/X sky130_fd_sc_hd__or2_2
+XPHY_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_344_1899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_208_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_500_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2045 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55386_ _55416_/A _55386_/B VGND VGND VPWR VPWR _55386_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_357_321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_90_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_620_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_142_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52598_ _52569_/A _52601_/B VGND VGND VPWR VPWR _52598_/X sky130_fd_sc_hd__or2_2
+XFILLER_12_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_19_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2056 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_16436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_103_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_646 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_360_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_600_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_399_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_196_552 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_639_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_450 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2067 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_145_1375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_212_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_459_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_184_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_57125_ _56798_/X _57123_/Y _57124_/Y VGND VGND VPWR VPWR _57131_/B sky130_fd_sc_hd__nor3_2
+XPHY_1333 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_205_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_2565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_23_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2078 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_547_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54337_ _54252_/X _54314_/A VGND VGND VPWR VPWR _54338_/B sky130_fd_sc_hd__or2_2
+XPHY_16458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42351_ _42373_/A _46541_/A VGND VGND VPWR VPWR _42353_/B sky130_fd_sc_hd__nor2_2
+XFILLER_157_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_637_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73171_ _73189_/A _73161_/X _81250_/Q VGND VGND VPWR VPWR _73171_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_211_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1344 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_377_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51549_ _51519_/A _51549_/B VGND VGND VPWR VPWR _51549_/X sky130_fd_sc_hd__or2_2
+XFILLER_205_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_707_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_440_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_345_505 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_2089 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70383_ _70383_/A _70383_/B _70168_/X VGND VGND VPWR VPWR _70384_/C sky130_fd_sc_hd__nor3_2
+XFILLER_357_365 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_168_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1561 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_373_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_207 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_459_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_201_2549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1366 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_592_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_475_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_303_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41302_ _41038_/X _41291_/B VGND VGND VPWR VPWR _41305_/A sky130_fd_sc_hd__or2_2
+XFILLER_23_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_33114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72122_ _72122_/A VGND VGND VPWR VPWR _38859_/A sky130_fd_sc_hd__buf_1
+XPHY_1377 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_570_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45070_ _45413_/A VGND VGND VPWR VPWR _45176_/A sky130_fd_sc_hd__buf_1
+XFILLER_197_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_715_2465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_616_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_437_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57056_ _57056_/A _56809_/B VGND VGND VPWR VPWR _57056_/Y sky130_fd_sc_hd__nor2_2
+XPHY_1388 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42282_ _42279_/Y _42281_/X VGND VGND VPWR VPWR _42282_/X sky130_fd_sc_hd__or2_2
+XFILLER_722_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54268_ _54268_/A _54271_/B VGND VGND VPWR VPWR _54270_/A sky130_fd_sc_hd__nand2_2
+XFILLER_455_1817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_240_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_557_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_14_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_418_2340 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_397_1090 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_377_1664 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_338_1615 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_139_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_10_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_33158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44021_ _79254_/Q VGND VGND VPWR VPWR _44023_/A sky130_fd_sc_hd__inv_8
+XFILLER_99_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_56007_ _56169_/A VGND VGND VPWR VPWR _56007_/X sky130_fd_sc_hd__buf_1
+XFILLER_292_2931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_109_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_453_2242 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_49_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41233_ _41230_/X _41233_/B VGND VGND VPWR VPWR _41233_/Y sky130_fd_sc_hd__nand2_2
+XPHY_32424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_418_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_53219_ _53219_/A _53203_/A VGND VGND VPWR VPWR _53219_/X sky130_fd_sc_hd__or2_2
+XPHY_33169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76930_ _76947_/CLK _76930_/D VGND VGND VPWR VPWR _76930_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_338_1637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72053_ _72053_/A _72053_/B _72052_/X VGND VGND VPWR VPWR _72053_/X sky130_fd_sc_hd__or3_2
+XFILLER_650_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1304 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_87_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_358_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_2663 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_688_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54199_ _54199_/A _54199_/B VGND VGND VPWR VPWR _54199_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_273_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_390_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_164_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_414_2226 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_499_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_355_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_71004_ _71004_/A _71003_/X VGND VGND VPWR VPWR _71004_/X sky130_fd_sc_hd__or2_2
+XFILLER_174_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_238_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_124_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_514_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_418_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_611_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_32468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_151_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_668_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_693 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41164_ _41158_/A _41158_/B _69224_/A VGND VGND VPWR VPWR _41165_/B sky130_fd_sc_hd__nand3_2
+XFILLER_180_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76861_ _78386_/CLK _76861_/D VGND VGND VPWR VPWR _76861_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_390_1842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_611_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_570_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_101_1982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_84_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_390_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+Xclkbuf_4_3_1_u_core.clock clkbuf_4_3_0_u_core.clock/X VGND VGND VPWR VPWR clkbuf_5_7_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_21222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_646_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_453_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9630 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_180_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_21233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_234_1602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_530_866 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78600_ _78599_/CLK _78600_/D VGND VGND VPWR VPWR _48410_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_139_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_155_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9641 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40115_ _40112_/A _40104_/X _64988_/A VGND VGND VPWR VPWR _40115_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_97_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_372_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_10_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75812_ _75812_/A _75812_/B VGND VGND VPWR VPWR _75812_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_155_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_3025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_48760_ _48758_/Y _48760_/B VGND VGND VPWR VPWR _78509_/D sky130_fd_sc_hd__nand2_2
+XPHY_9652 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_314_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79580_ _79584_/CLK _79580_/D VGND VGND VPWR VPWR _66618_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_234_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45972_ _42710_/B _45968_/B VGND VGND VPWR VPWR _45973_/C sky130_fd_sc_hd__nor2_2
+X_41095_ _41081_/X _41076_/X _57377_/A VGND VGND VPWR VPWR _41096_/B sky130_fd_sc_hd__nand3_2
+X_57958_ _67821_/A _57794_/B VGND VGND VPWR VPWR _57958_/Y sky130_fd_sc_hd__nor2_2
+XPHY_31789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76792_ _76815_/CLK _76792_/D VGND VGND VPWR VPWR _76792_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_9663 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_1808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_65_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_169 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_1003 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_9674 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_273_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8940 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9685 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47711_ _47741_/A _47710_/X VGND VGND VPWR VPWR _47711_/X sky130_fd_sc_hd__or2_2
+XFILLER_152_1324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_10020 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78531_ _78517_/CLK _48683_/Y VGND VGND VPWR VPWR _63843_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_43_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_568_2373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40046_ _40038_/X _40031_/X _79973_/Q VGND VGND VPWR VPWR _40046_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_332_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8951 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9696 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44923_ _79174_/Q _44968_/A VGND VGND VPWR VPWR _44923_/X sky130_fd_sc_hd__and2_2
+XFILLER_709_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56909_ _56738_/X _56872_/X _56908_/X _74514_/Y _56824_/X VGND VGND VPWR VPWR _76650_/D
++ sky130_fd_sc_hd__a32oi_4
+XPHY_20554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_4_6_0_u_core.clock clkbuf_4_7_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_4_6_1_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_709_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75743_ _75743_/A _75742_/Y VGND VGND VPWR VPWR _80614_/D sky130_fd_sc_hd__nand2_2
+XPHY_21299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_289_1102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_2683 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_130_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10031 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_431_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_548_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_72955_ _74792_/A VGND VGND VPWR VPWR _73969_/A sky130_fd_sc_hd__buf_1
+XFILLER_78_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8962 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48691_ _63436_/A _48681_/B VGND VGND VPWR VPWR _48693_/A sky130_fd_sc_hd__nand2_2
+XPHY_20565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_3037 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_26_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10042 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57889_ _57970_/A _57889_/B _57888_/Y VGND VGND VPWR VPWR _57889_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_431_1883 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_427_314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8973 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_495_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_20576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_628_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_915 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_117_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_10053 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_8984 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_10064 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_212_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2724 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_529_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8995 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_427_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_2268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_2658 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_266_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_71906_ _70733_/B _71906_/B VGND VGND VPWR VPWR _71908_/B sky130_fd_sc_hd__or2_2
+X_47642_ _47639_/Y _47641_/X VGND VGND VPWR VPWR _78794_/D sky130_fd_sc_hd__nand2_2
+X_59628_ _76936_/Q _58916_/B VGND VGND VPWR VPWR _59630_/B sky130_fd_sc_hd__nor2_2
+X_78462_ _78464_/CLK _78462_/D VGND VGND VPWR VPWR _78462_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_10075 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_683_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_447_1301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44854_ _65378_/A _44667_/X VGND VGND VPWR VPWR _44854_/X sky130_fd_sc_hd__or2_2
+X_75674_ _75656_/A VGND VGND VPWR VPWR _75678_/B sky130_fd_sc_hd__buf_1
+XFILLER_452_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_388_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_384_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10086 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_286_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_267_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72886_ _73793_/A _72872_/B VGND VGND VPWR VPWR _72888_/A sky130_fd_sc_hd__or2_2
+XFILLER_305_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_388_1771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_10097 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_455_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1372 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_444_2912 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_640_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_627_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_289_1179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1400 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_207_601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1214 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77413_ _76907_/CLK _52939_/Y VGND VGND VPWR VPWR _60455_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_5_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43805_ _43805_/A VGND VGND VPWR VPWR _43805_/Y sky130_fd_sc_hd__inv_8
+XFILLER_384_2369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_581_2573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74625_ _74625_/A _74613_/X VGND VGND VPWR VPWR _74625_/X sky130_fd_sc_hd__or2_2
+XFILLER_98_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_486 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_427_1919 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_365_1012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47573_ _47572_/X VGND VGND VPWR VPWR _47573_/X sky130_fd_sc_hd__buf_1
+XFILLER_640_2125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59559_ _59393_/X _59559_/B _59558_/Y VGND VGND VPWR VPWR _59559_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_408_572 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_47_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71837_ _71235_/X _71837_/B VGND VGND VPWR VPWR _71837_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_671_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_281_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78393_ _78758_/CLK _49212_/Y VGND VGND VPWR VPWR _63643_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_564_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44785_ _44449_/A VGND VGND VPWR VPWR _44848_/A sky130_fd_sc_hd__buf_1
+XPHY_29530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_2945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_631_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41997_ _45341_/A _41972_/X VGND VGND VPWR VPWR _42003_/B sky130_fd_sc_hd__nor2_2
+XFILLER_605_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_542_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_542_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_507_2666 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_447_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_1932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_482_1258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_4_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49312_ _41905_/Y _47259_/X _41927_/Y _47247_/X VGND VGND VPWR VPWR _49312_/X sky130_fd_sc_hd__o22a_4
+XFILLER_206_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_954 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_703_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_234_431 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_569_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_345_1619 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_169_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_62_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46524_ _42298_/B _46523_/X VGND VGND VPWR VPWR _46527_/A sky130_fd_sc_hd__or2_2
+XFILLER_284_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_74_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_546_1992 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_482_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77344_ _76871_/CLK _53211_/Y VGND VGND VPWR VPWR _77344_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_0_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_29563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43736_ _43674_/X _43736_/B _43736_/C VGND VGND VPWR VPWR _43737_/C sky130_fd_sc_hd__nor3_2
+XFILLER_147_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_343_2022 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62570_ _62099_/X _62568_/Y _62569_/Y VGND VGND VPWR VPWR _62575_/B sky130_fd_sc_hd__nor3_2
+XFILLER_644_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74556_ _74546_/A VGND VGND VPWR VPWR _74557_/A sky130_fd_sc_hd__buf_1
+XFILLER_347_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_280_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_612_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40948_ _40586_/A _40932_/X VGND VGND VPWR VPWR _40950_/A sky130_fd_sc_hd__or2_2
+XPHY_29574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71768_ _71768_/A VGND VGND VPWR VPWR _73213_/A sky130_fd_sc_hd__inv_8
+XFILLER_640_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_46_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1845 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_597_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_323_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_94_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_28851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_2388 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_206_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_3070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_19073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_308_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73507_ _73506_/X VGND VGND VPWR VPWR _73507_/X sky130_fd_sc_hd__buf_1
+XPHY_28862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_2833 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49243_ _49243_/A _49236_/X VGND VGND VPWR VPWR _49243_/X sky130_fd_sc_hd__or2_2
+X_61521_ _61215_/A _61519_/Y _61520_/Y VGND VGND VPWR VPWR _61521_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_597_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2620 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_17_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_321_3032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46455_ _46452_/X _46453_/Y _46454_/Y VGND VGND VPWR VPWR _46455_/X sky130_fd_sc_hd__o21a_4
+X_70719_ _70723_/B _70558_/B VGND VGND VPWR VPWR _70721_/A sky130_fd_sc_hd__nand2_2
+XFILLER_501_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_396_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_284_1780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77275_ _77275_/CLK _53475_/Y VGND VGND VPWR VPWR _77275_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_15_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_146_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_640_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43667_ _72985_/A _73106_/X VGND VGND VPWR VPWR _43668_/B sky130_fd_sc_hd__or2_2
+XPHY_18350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_605_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74487_ _66430_/A VGND VGND VPWR VPWR _74488_/A sky130_fd_sc_hd__inv_8
+XPHY_19095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_397_2708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40879_ _72341_/Y _69859_/B VGND VGND VPWR VPWR _40880_/A sky130_fd_sc_hd__or2_2
+XFILLER_657_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_600_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_442_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71699_ _71699_/A _42955_/A VGND VGND VPWR VPWR _71699_/X sky130_fd_sc_hd__or2_2
+XFILLER_343_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_653_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_345_0_u_core.clock clkbuf_9_345_0_u_core.clock/A VGND VGND VPWR VPWR _78170_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_28895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_280_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_79014_ _79014_/CLK _79014_/D VGND VGND VPWR VPWR _79014_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_21_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45406_ _45391_/Y _45405_/Y VGND VGND VPWR VPWR _45406_/X sky130_fd_sc_hd__and2_2
+X_64240_ _64240_/A _64240_/B _64240_/C VGND VGND VPWR VPWR _64240_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_128_1551 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_180_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_579_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76226_ _38346_/A _76234_/B VGND VGND VPWR VPWR _76226_/X sky130_fd_sc_hd__or2_2
+XPHY_18383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_304_1316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42618_ _55164_/A _42607_/B VGND VGND VPWR VPWR _42618_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_221_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_1299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61452_ _61452_/A _61442_/Y _61452_/C VGND VGND VPWR VPWR _61473_/A sky130_fd_sc_hd__nor3_2
+XFILLER_378_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_49174_ _78403_/Q _49169_/X VGND VGND VPWR VPWR _49176_/A sky130_fd_sc_hd__nand2_2
+X_73438_ _73400_/A VGND VGND VPWR VPWR _73438_/X sky130_fd_sc_hd__buf_1
+XFILLER_187_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_360_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_844 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46386_ _46374_/Y _46385_/X VGND VGND VPWR VPWR _46387_/B sky130_fd_sc_hd__nand2_2
+XFILLER_321_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_308_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_692_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_348_321 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_597_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3280 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43598_ _43544_/A VGND VGND VPWR VPWR _43599_/B sky130_fd_sc_hd__buf_1
+XFILLER_159_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_222_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_726_521 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_280_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_226_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_692_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_356_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_509_123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3291 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_203_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_638_2065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_48125_ _48123_/Y _48124_/X VGND VGND VPWR VPWR _78671_/D sky130_fd_sc_hd__nand2_2
+X_60403_ _54881_/A _60403_/B VGND VGND VPWR VPWR _60404_/C sky130_fd_sc_hd__nor2_2
+XFILLER_50_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_17682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_198_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_364_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_321_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_226_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35050 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_553_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45337_ _45035_/X _55641_/B VGND VGND VPWR VPWR _45337_/Y sky130_fd_sc_hd__nor2_2
+X_76157_ _76153_/A _76153_/B _80509_/Q VGND VGND VPWR VPWR _76157_/Y sky130_fd_sc_hd__nand3_2
+X_64171_ _64171_/A VGND VGND VPWR VPWR _66563_/A sky130_fd_sc_hd__buf_1
+XFILLER_141_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_364_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42549_ _42561_/A _42549_/B _42548_/Y VGND VGND VPWR VPWR _42549_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_677_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73369_ _75046_/A _73366_/B VGND VGND VPWR VPWR _73369_/X sky130_fd_sc_hd__or2_2
+X_61383_ _61228_/A _61381_/Y _61382_/Y VGND VGND VPWR VPWR _61383_/Y sky130_fd_sc_hd__nor3_2
+XPHY_35061 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_638_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_15_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_321_1652 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_30_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_364_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_174_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35072 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_556_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_2590 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1310 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2798 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_653_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_9_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35083 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_635_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75108_ _75351_/A _75101_/B VGND VGND VPWR VPWR _75108_/X sky130_fd_sc_hd__or2_2
+XFILLER_493_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63122_ _62489_/X _63122_/B _63121_/Y VGND VGND VPWR VPWR _63141_/A sky130_fd_sc_hd__nor3_2
+XFILLER_651_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_70_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_616_2341 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_35094 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48056_ _63617_/A _48044_/X VGND VGND VPWR VPWR _48058_/A sky130_fd_sc_hd__nand2_2
+XFILLER_375_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60334_ _60334_/A _60332_/Y _60334_/C VGND VGND VPWR VPWR _60335_/C sky130_fd_sc_hd__nor3_2
+XFILLER_102_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45268_ _76750_/Q VGND VGND VPWR VPWR _45268_/Y sky130_fd_sc_hd__inv_8
+XFILLER_638_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76088_ _76088_/A _76087_/Y VGND VGND VPWR VPWR _80523_/D sky130_fd_sc_hd__nand2_2
+XFILLER_525_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_493_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_390_121 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_419_2159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_376_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_395_1753 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_34371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_1015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_106_1893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_196_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_534_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_363_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_239_1502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47007_ _71706_/A _46982_/B VGND VGND VPWR VPWR _47010_/B sky130_fd_sc_hd__nor2_2
+XFILLER_116_803 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_631_2839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44219_ _44219_/A _44045_/X VGND VGND VPWR VPWR _44219_/X sky130_fd_sc_hd__and2_2
+XFILLER_514_2626 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_67930_ _68753_/A VGND VGND VPWR VPWR _68588_/B sky130_fd_sc_hd__buf_1
+XFILLER_390_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63053_ _78853_/Q _62901_/B VGND VGND VPWR VPWR _63053_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_458_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75039_ _75039_/A _75039_/B VGND VGND VPWR VPWR _75039_/X sky130_fd_sc_hd__or2_2
+X_79916_ _79907_/CLK _40259_/Y VGND VGND VPWR VPWR _79916_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_190_728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60265_ _76972_/Q _60107_/B VGND VGND VPWR VPWR _60267_/B sky130_fd_sc_hd__nor2_2
+XFILLER_363_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_332_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_580_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_2763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45199_ _45190_/X _45198_/X VGND VGND VPWR VPWR _45199_/X sky130_fd_sc_hd__and2_2
+XFILLER_517_1059 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_317_785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_454_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_33681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_128_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_710_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_2375 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_89_1888 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_588_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62004_ _62004_/A _62470_/B VGND VGND VPWR VPWR _62006_/B sky130_fd_sc_hd__nor2_2
+XPHY_33692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_354_2162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_319_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_31_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_922 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_3062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_291_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_67861_ _80676_/Q _67526_/B VGND VGND VPWR VPWR _67862_/C sky130_fd_sc_hd__nor2_2
+XPHY_23180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79847_ _80039_/CLK _40551_/Y VGND VGND VPWR VPWR _68480_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_586_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_436_1772 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60196_ _60196_/A _60354_/B VGND VGND VPWR VPWR _60196_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_291_2474 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_1800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_514_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_23191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_332_755 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_48_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2126 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_563_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_721_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_686_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_256_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_668_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_512_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_44_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_304_457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_139_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_256_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69600_ _69600_/A VGND VGND VPWR VPWR _69943_/B sky130_fd_sc_hd__buf_1
+XFILLER_514_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_142_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66812_ _65989_/A VGND VGND VPWR VPWR _66816_/A sky130_fd_sc_hd__buf_1
+XFILLER_119_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_39760_ _39758_/X _39759_/Y VGND VGND VPWR VPWR _80042_/D sky130_fd_sc_hd__nand2_2
+XFILLER_115_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_1279 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_410_2613 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48958_ _63943_/A _48958_/B VGND VGND VPWR VPWR _48961_/A sky130_fd_sc_hd__nand2_2
+X_67792_ _67792_/A _68279_/B VGND VGND VPWR VPWR _67792_/Y sky130_fd_sc_hd__nor2_2
+XPHY_22490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_413_1035 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79778_ _79778_/CLK _40809_/Y VGND VGND VPWR VPWR _67652_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_547_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_350_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_354_1494 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_97_720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_1023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_83_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38711_ _38696_/X _38701_/B _80311_/Q VGND VGND VPWR VPWR _38712_/B sky130_fd_sc_hd__nand3_2
+XFILLER_257_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_298 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_69531_ _79822_/Q _64560_/B VGND VGND VPWR VPWR _69531_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_681_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1524 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47909_ _63149_/A _47909_/B VGND VGND VPWR VPWR _47911_/A sky130_fd_sc_hd__nand2_2
+XFILLER_130_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66743_ _73938_/C _66423_/X VGND VGND VPWR VPWR _66745_/B sky130_fd_sc_hd__nor2_2
+XFILLER_311_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78729_ _78728_/CLK _78729_/D VGND VGND VPWR VPWR _63608_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_449_2834 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_211_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39691_ _39198_/A _39688_/B VGND VGND VPWR VPWR _39694_/A sky130_fd_sc_hd__or2_2
+X_63955_ _63411_/X _63953_/Y _63954_/Y VGND VGND VPWR VPWR _63955_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_215_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_1079 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_135_1555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_586_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_315_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48889_ _48889_/A _54638_/A VGND VGND VPWR VPWR _48890_/A sky130_fd_sc_hd__or2_2
+XFILLER_233_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_623_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_152_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_484_2747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38642_ _38639_/X _38641_/Y VGND VGND VPWR VPWR _80330_/D sky130_fd_sc_hd__nand2_2
+X_50920_ _50920_/A _50919_/X VGND VGND VPWR VPWR _50920_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_96_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62906_ _62899_/X _62902_/Y _62906_/C VGND VGND VPWR VPWR _62906_/Y sky130_fd_sc_hd__nor3_2
+X_69462_ _73753_/C _68897_/B VGND VGND VPWR VPWR _69463_/C sky130_fd_sc_hd__nor2_2
+XFILLER_664_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_170_1479 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66674_ _66674_/A _66674_/B _66673_/Y VGND VGND VPWR VPWR _66679_/B sky130_fd_sc_hd__nor3_2
+XFILLER_362_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_408_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_57_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_63886_ _63333_/A _63884_/Y _63886_/C VGND VGND VPWR VPWR _63886_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_328_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_215_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_229_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_183_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_563_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_1774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_627_2481 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_2602 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_473_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_690_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_2372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_433_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_68413_ _65943_/A VGND VGND VPWR VPWR _68917_/B sky130_fd_sc_hd__buf_1
+XFILLER_265_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_650_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65625_ _66118_/A _65623_/Y _65624_/Y VGND VGND VPWR VPWR _65625_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_348_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38573_ _38573_/A _38558_/B VGND VGND VPWR VPWR _38573_/X sky130_fd_sc_hd__or2_2
+XFILLER_541_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50851_ _62608_/A _50855_/B VGND VGND VPWR VPWR _50854_/A sky130_fd_sc_hd__nand2_2
+XFILLER_547_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_525_2744 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62837_ _60236_/A VGND VGND VPWR VPWR _62837_/X sky130_fd_sc_hd__buf_1
+XFILLER_697_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69393_ _69393_/A _64392_/B VGND VGND VPWR VPWR _69393_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_37_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_211_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_246_2218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_560_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_2005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_623_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4909 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_225_420 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_426_1418 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_528_1188 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_263_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_237_291 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_840 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_65_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_78_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80622_ _80495_/CLK _80622_/D VGND VGND VPWR VPWR _80622_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_399_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68344_ _68344_/A _67857_/B VGND VGND VPWR VPWR _68344_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_631_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_560_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_1199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_267_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_94_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_465_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53570_ _77249_/Q _53570_/B VGND VGND VPWR VPWR _53572_/A sky130_fd_sc_hd__nand2_2
+X_65556_ _65556_/A _65712_/B VGND VGND VPWR VPWR _65556_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_129_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_52_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_976 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50782_ _50724_/X _50779_/B VGND VGND VPWR VPWR _50782_/X sky130_fd_sc_hd__or2_2
+X_62768_ _62768_/A _62767_/X VGND VGND VPWR VPWR _62771_/B sky130_fd_sc_hd__nor2_2
+XFILLER_224_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_721_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_1032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1528 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_443_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_404_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_107_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_2144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_20_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_164_1206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_1539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_602_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_2288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_129_1326 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_442_884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_588_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_80_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_52521_ _54432_/A _52428_/X VGND VGND VPWR VPWR _52547_/B sky130_fd_sc_hd__or2_2
+X_64507_ _65249_/A VGND VGND VPWR VPWR _65063_/B sky130_fd_sc_hd__buf_1
+XFILLER_548_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_285_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_77_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_209_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1754 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1705 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_593_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_387_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61719_ _61551_/A _61713_/Y _61719_/C VGND VGND VPWR VPWR _61719_/Y sky130_fd_sc_hd__nor3_2
+X_80553_ _80584_/CLK _75973_/Y VGND VGND VPWR VPWR _68701_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_478_2507 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_399_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_380_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68275_ _67940_/X _68267_/Y _68274_/Y VGND VGND VPWR VPWR _68275_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_541_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_225_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_679_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65487_ _65132_/A _65487_/B _65486_/Y VGND VGND VPWR VPWR _65487_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_129_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_326_2297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62699_ _78195_/Q _62544_/B VGND VGND VPWR VPWR _62700_/C sky130_fd_sc_hd__nor2_2
+XFILLER_224_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_146_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1896 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_213_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55240_ _42789_/A _45444_/A VGND VGND VPWR VPWR _55241_/B sky130_fd_sc_hd__nor2_2
+X_67226_ _66900_/A _67224_/Y _67225_/Y VGND VGND VPWR VPWR _67227_/C sky130_fd_sc_hd__nor3_2
+XFILLER_420_1006 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52452_ _52338_/A _52439_/X VGND VGND VPWR VPWR _52452_/X sky130_fd_sc_hd__or2_2
+XFILLER_601_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_64438_ _64438_/A VGND VGND VPWR VPWR _67044_/A sky130_fd_sc_hd__buf_1
+XFILLER_601_2698 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_244_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_678_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_280_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_80484_ _80408_/CLK _76268_/Y VGND VGND VPWR VPWR _80484_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_597_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_224_1837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_300_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_90_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_51403_ _51403_/A _51403_/B VGND VGND VPWR VPWR _77820_/D sky130_fd_sc_hd__nand2_2
+XFILLER_166_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_39125_ _39123_/X _39125_/B VGND VGND VPWR VPWR _39125_/Y sky130_fd_sc_hd__nand2_2
+XPHY_15009 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_1072 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_457_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55171_ _55171_/A _55164_/B _55171_/C VGND VGND VPWR VPWR _55174_/A sky130_fd_sc_hd__nor3_2
+XFILLER_617_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67157_ _80031_/Q _66652_/B VGND VGND VPWR VPWR _67158_/C sky130_fd_sc_hd__nor2_2
+XFILLER_374_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_593_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_402_1451 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_100_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52383_ _52320_/X _52395_/B VGND VGND VPWR VPWR _52384_/B sky130_fd_sc_hd__or2_2
+XPHY_24809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64369_ _79823_/Q _69408_/B VGND VGND VPWR VPWR _64369_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_593_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_558_1852 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_257_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_476_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_456_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_2752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2029 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_519_2559 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_472_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_339_387 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_366_173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14308 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54122_ _54088_/A _54119_/B VGND VGND VPWR VPWR _54123_/B sky130_fd_sc_hd__or2_2
+XFILLER_33_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_66108_ _65593_/X _66108_/B _66108_/C VGND VGND VPWR VPWR _66124_/A sky130_fd_sc_hd__nor3_2
+XFILLER_20_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39056_ _38558_/A _39056_/B VGND VGND VPWR VPWR _39058_/A sky130_fd_sc_hd__or2_2
+X_51334_ _51334_/A _51333_/X VGND VGND VPWR VPWR _51334_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_381_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_374_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14319 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_574_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_1348 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_33_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_704_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_719_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67088_ _67088_/A _67255_/B VGND VGND VPWR VPWR _67088_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_500_1096 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_11_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_715_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_1583 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_105_1370 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_296_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_120_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_165_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_2250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_320_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_66_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_2560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13607 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_378_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_14_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_1749 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_552_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_2396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81105_ _81106_/CLK _73739_/Y VGND VGND VPWR VPWR _64740_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_175_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54053_ _53938_/A _54044_/B VGND VGND VPWR VPWR _54054_/B sky130_fd_sc_hd__or2_2
+X_58930_ _59077_/A VGND VGND VPWR VPWR _59163_/A sky130_fd_sc_hd__buf_1
+XPHY_13618 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_515_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_1662 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66039_ _69419_/B VGND VGND VPWR VPWR _66039_/X sky130_fd_sc_hd__buf_1
+XFILLER_134_600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51265_ _51426_/A VGND VGND VPWR VPWR _53072_/A sky130_fd_sc_hd__buf_1
+XFILLER_10_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_157_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13629 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_1148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_335_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_390_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_6_63_0_u_core.clock clkbuf_6_63_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_6_63_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_146_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_630_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_101_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_274_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_257_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_570_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_180_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12906 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_469_704 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_308_796 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_175_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53004_ _53004_/A _53003_/X VGND VGND VPWR VPWR _77395_/D sky130_fd_sc_hd__nand2_2
+XFILLER_415_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_633 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_12917 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_333_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_133_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50216_ _50213_/Y _50216_/B VGND VGND VPWR VPWR _78132_/D sky130_fd_sc_hd__nand2_2
+X_81036_ _81004_/CLK _74004_/Y VGND VGND VPWR VPWR _81036_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_530_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_858 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_27_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12928 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_615_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58861_ _46647_/B _58862_/B VGND VGND VPWR VPWR _58861_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_700_410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_450_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_51196_ _51196_/A _51195_/X VGND VGND VPWR VPWR _51196_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_279_114 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_162_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_12939 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2858 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_658_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_88_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_114_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_611_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_748 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_372_2295 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_238_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_270_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_30318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57812_ _57413_/A VGND VGND VPWR VPWR _57815_/A sky130_fd_sc_hd__buf_1
+XFILLER_69_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_30329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_512_877 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_66_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8203 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_175_1368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_669_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50147_ _50145_/Y _50146_/X VGND VGND VPWR VPWR _78151_/D sky130_fd_sc_hd__nand2_2
+XFILLER_701_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1933 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_686_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_1173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_431_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_0_602 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39958_ _40317_/A _39957_/X VGND VGND VPWR VPWR _39958_/X sky130_fd_sc_hd__or2_2
+XPHY_8214 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58792_ _79822_/Q _58658_/B VGND VGND VPWR VPWR _58794_/B sky130_fd_sc_hd__nor2_2
+XFILLER_323_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_216_1321 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_235_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_411_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_192_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8225 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_432_2893 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_673_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8236 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_295_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_2571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_311_2522 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_47_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_7502 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8247 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_569_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_684_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_294_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38909_ _38909_/A _38908_/Y VGND VGND VPWR VPWR _80259_/D sky130_fd_sc_hd__nand2_2
+X_57743_ _80288_/Q _57666_/B VGND VGND VPWR VPWR _57744_/C sky130_fd_sc_hd__nor2_2
+XPHY_7513 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_173_1070 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_235_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69729_ _69729_/A _69719_/X _69728_/Y VGND VGND VPWR VPWR _69730_/A sky130_fd_sc_hd__or3_2
+XFILLER_608_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_409_303 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_8258 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_477_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_5_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50078_ _50078_/A _50071_/B VGND VGND VPWR VPWR _50078_/Y sky130_fd_sc_hd__nand2_2
+X_54955_ _54955_/A _54955_/B VGND VGND VPWR VPWR _54955_/X sky130_fd_sc_hd__or2_2
+XFILLER_385_2601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_233_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_25_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7524 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39889_ _39901_/A VGND VGND VPWR VPWR _40002_/B sky130_fd_sc_hd__buf_1
+XFILLER_88_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8269 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_663_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1793 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7535 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_287_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_118_1786 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_483_2213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_448_3078 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6801 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_703_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_1591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_112_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7546 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_409_336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_268_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41920_ _79409_/Q _41919_/X VGND VGND VPWR VPWR _41921_/A sky130_fd_sc_hd__or2_2
+XFILLER_641_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53906_ _53906_/A _53903_/B VGND VGND VPWR VPWR _53908_/A sky130_fd_sc_hd__nand2_2
+XPHY_6812 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_134_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_216_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_703_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_665_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72740_ _72200_/A _72728_/B VGND VGND VPWR VPWR _72740_/X sky130_fd_sc_hd__or2_2
+XPHY_7557 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_528_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_437_656 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_350_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_311_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57674_ _74340_/C _57584_/B VGND VGND VPWR VPWR _57675_/C sky130_fd_sc_hd__nor2_2
+XFILLER_272_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39305 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_628_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_628_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6823 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7568 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_483_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54886_ _54942_/A _54898_/B VGND VGND VPWR VPWR _54887_/B sky130_fd_sc_hd__or2_2
+XFILLER_125_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_492_762 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_385_1911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_2607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39316 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_504 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6834 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_437_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_7579 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_480_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_444_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_680_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_40_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39327 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_585_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6845 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_526_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59413_ _77254_/Q _63745_/B VGND VGND VPWR VPWR _59415_/B sky130_fd_sc_hd__nor2_2
+XFILLER_444_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_436_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39338 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_680_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56625_ _56465_/X _56622_/Y _56624_/Y VGND VGND VPWR VPWR _56625_/Y sky130_fd_sc_hd__nor3_2
+XPHY_6856 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_99_2184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_41851_ _41827_/A _41851_/B VGND VGND VPWR VPWR _41851_/X sky130_fd_sc_hd__or2_2
+XFILLER_79_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38604 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53837_ _53837_/A VGND VGND VPWR VPWR _53841_/B sky130_fd_sc_hd__buf_1
+XPHY_39349 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_581_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72671_ _72674_/A _72674_/B _66120_/A VGND VGND VPWR VPWR _72671_/Y sky130_fd_sc_hd__nand3_2
+XPHY_6867 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_561_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_291_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_95_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_1091 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_609 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_704_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38615 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_464_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_1906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6878 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_563_2281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_186_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_957 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38626 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2407 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_366_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_29_875 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_6889 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28103 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_1_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74410_ _74410_/A _74414_/B VGND VGND VPWR VPWR _74410_/X sky130_fd_sc_hd__or2_2
+XFILLER_448_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_38637 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_385_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40802_ _40815_/A _40815_/B _67988_/A VGND VGND VPWR VPWR _40803_/B sky130_fd_sc_hd__nand3_2
+XFILLER_112_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_28114 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_504_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71622_ _71616_/X _71622_/B _71619_/Y _71621_/Y VGND VGND VPWR VPWR _71622_/X sky130_fd_sc_hd__or4_2
+XFILLER_186_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_407_2041 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_59344_ _77014_/Q _59344_/B VGND VGND VPWR VPWR _59344_/Y sky130_fd_sc_hd__nor2_2
+XPHY_37903 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38648 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44570_ _74774_/A _44570_/B VGND VGND VPWR VPWR _44571_/C sky130_fd_sc_hd__nor2_2
+XFILLER_44_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_524_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28125 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56556_ _56556_/A _56371_/B VGND VGND VPWR VPWR _56556_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_112_1385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_75390_ _75372_/A VGND VGND VPWR VPWR _75391_/B sky130_fd_sc_hd__buf_1
+XFILLER_38_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41782_ wbs_dat_i[10] VGND VGND VPWR VPWR _41782_/Y sky130_fd_sc_hd__inv_8
+XPHY_37914 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53768_ _53831_/A _53791_/B VGND VGND VPWR VPWR _53768_/X sky130_fd_sc_hd__or2_2
+XFILLER_327_1327 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38659 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28136 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_147_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_55_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37925 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27402 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_284_1054 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_243_250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_28147 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_129_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37936 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27413 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_2654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_141_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28158 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_498_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43521_ _55064_/A _55062_/B VGND VGND VPWR VPWR _55054_/B sky130_fd_sc_hd__nor2_2
+XFILLER_229_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_246_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_461_2588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_407_1340 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_92_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55507_ _55461_/A _55506_/Y VGND VGND VPWR VPWR _55507_/X sky130_fd_sc_hd__or2_2
+XFILLER_622_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_499_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74341_ _74335_/X _74340_/Y VGND VGND VPWR VPWR _80959_/D sky130_fd_sc_hd__nand2_2
+XFILLER_340_2206 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37947 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_281_1920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40733_ _40733_/A _40733_/B VGND VGND VPWR VPWR _79798_/D sky130_fd_sc_hd__nand2_2
+XFILLER_231_412 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_27424 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_405_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52719_ _52717_/Y _52718_/X VGND VGND VPWR VPWR _77471_/D sky130_fd_sc_hd__nand2_2
+XFILLER_44_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_249_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28169 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_422_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59275_ _59086_/Y _59275_/B VGND VGND VPWR VPWR _59275_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_71_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_71553_ _71419_/A _71451_/B _71553_/C VGND VGND VPWR VPWR _71553_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_622_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_125_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37958 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_7_43_0_u_core.clock clkbuf_7_43_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_86_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+X_56487_ _56487_/A _56395_/B VGND VGND VPWR VPWR _56487_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_245_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27435 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_77_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53699_ _53697_/Y _53698_/X VGND VGND VPWR VPWR _53699_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_460_692 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_407_1362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37969 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26701 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_243_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27446 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_594_2219 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_432_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_207_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26712 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_223_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_27457 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_639_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46240_ _46239_/Y _46240_/B VGND VGND VPWR VPWR _46242_/B sky130_fd_sc_hd__nor2_2
+XFILLER_164_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58226_ _68279_/A _58225_/X VGND VGND VPWR VPWR _58229_/B sky130_fd_sc_hd__nor2_2
+XFILLER_325_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70504_ _70504_/A _70484_/B _70484_/C VGND VGND VPWR VPWR _70504_/Y sky130_fd_sc_hd__nor3_2
+X_77060_ _77060_/CLK _54288_/Y VGND VGND VPWR VPWR _77060_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_203_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26723 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27468 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16200 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43452_ _71828_/B _43465_/B VGND VGND VPWR VPWR _43454_/A sky130_fd_sc_hd__or2_2
+X_55438_ _71442_/A _55430_/B VGND VGND VPWR VPWR _55449_/A sky130_fd_sc_hd__or2_2
+X_74272_ _74272_/A _74271_/Y VGND VGND VPWR VPWR _80976_/D sky130_fd_sc_hd__nand2_2
+XFILLER_164_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_344_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_40664_ _40659_/A _40678_/B _68812_/A VGND VGND VPWR VPWR _40665_/B sky130_fd_sc_hd__nand3_2
+XFILLER_674_2232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27479 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26734 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71484_ _69875_/X VGND VGND VPWR VPWR _71484_/X sky130_fd_sc_hd__buf_1
+XFILLER_201_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16211 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_58_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_542 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_7_3_0_u_core.clock clkbuf_7_3_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_6_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_600_2186 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_223_1314 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_26745 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2449 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_1986 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_420_578 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_16222 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_197_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_717_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_3065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26756 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_696_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76011_ _75891_/A _76007_/B VGND VGND VPWR VPWR _76011_/X sky130_fd_sc_hd__or2_2
+XFILLER_502_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16233 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_325_1095 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_42403_ _45974_/A VGND VGND VPWR VPWR _42404_/A sky130_fd_sc_hd__inv_8
+XFILLER_496_1939 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_494_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_73223_ _73129_/X VGND VGND VPWR VPWR _73237_/B sky130_fd_sc_hd__buf_1
+XPHY_564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_674_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26767 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_1726 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_200_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_579_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_367_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16244 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46171_ _79452_/Q _46171_/B VGND VGND VPWR VPWR _46171_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_16_2764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_240_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58157_ _58082_/A _58157_/B _58157_/C VGND VGND VPWR VPWR _58161_/B sky130_fd_sc_hd__nor3_2
+XFILLER_169_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70435_ _69985_/B _70495_/B VGND VGND VPWR VPWR _70435_/X sky130_fd_sc_hd__or2_2
+XFILLER_474_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_199_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26778 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43383_ _43383_/A _43383_/B VGND VGND VPWR VPWR _43383_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_54_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_297_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_15510 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_12_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55369_ _55369_/A _55369_/B VGND VGND VPWR VPWR _55369_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_593_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16255 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_40595_ _40594_/X _40581_/B _66505_/A VGND VGND VPWR VPWR _40596_/B sky130_fd_sc_hd__nand3_2
+XFILLER_107_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_3049 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_401_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_395_1005 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_12_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_670_2118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26789 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_201_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_205_2493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_220_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1415 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15521 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_123_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_537_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_476 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_162 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16266 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_600_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_597 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_1152 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_379_1759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_240_2384 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16277 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15532 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_106_1156 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_537_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_535_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_45122_ _45062_/A _45121_/Y VGND VGND VPWR VPWR _45123_/B sky130_fd_sc_hd__nor2_2
+XFILLER_199_1836 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57108_ _72285_/C _57031_/B VGND VGND VPWR VPWR _57108_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_723_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_639_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_16288 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_275_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15543 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42334_ _42334_/A _42334_/B VGND VGND VPWR VPWR _42335_/C sky130_fd_sc_hd__nor2_2
+XFILLER_372_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_562_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73154_ _73129_/X VGND VGND VPWR VPWR _73154_/X sky130_fd_sc_hd__buf_1
+XFILLER_300_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_574_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_1174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_15554 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_141_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_518_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58088_ _58088_/A _58088_/B VGND VGND VPWR VPWR _58105_/B sky130_fd_sc_hd__nor2_2
+XPHY_16299 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70366_ _70388_/A _70366_/B _70388_/C VGND VGND VPWR VPWR _70367_/B sky130_fd_sc_hd__or3_2
+XFILLER_490_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_346_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_2135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14820 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_71_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_652_2552 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_1185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_373_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_15565 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_576_1996 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_490_2239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_534_446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_561_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_2195 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14831 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_592_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15576 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_7_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_14842 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72105_ _70893_/X _72105_/B VGND VGND VPWR VPWR _72105_/Y sky130_fd_sc_hd__nor2_2
+XPHY_15587 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_535_2361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_49930_ _49845_/A _49933_/B VGND VGND VPWR VPWR _49930_/X sky130_fd_sc_hd__or2_2
+X_57039_ _57039_/A VGND VGND VPWR VPWR _57118_/B sky130_fd_sc_hd__buf_1
+X_45053_ _45053_/A VGND VGND VPWR VPWR _45388_/A sky130_fd_sc_hd__buf_1
+XFILLER_689_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_708 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14853 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15598 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42265_ _42265_/A VGND VGND VPWR VPWR _44916_/B sky130_fd_sc_hd__inv_8
+X_77962_ _77956_/CLK _77962_/D VGND VGND VPWR VPWR _77962_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_84_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_562_788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73085_ _73085_/A _73084_/X VGND VGND VPWR VPWR _81269_/D sky130_fd_sc_hd__nand2_2
+XFILLER_177_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_221_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_338_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_295_1150 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14864 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_32_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_70297_ _70297_/A VGND VGND VPWR VPWR _70298_/A sky130_fd_sc_hd__inv_8
+XFILLER_193_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_383_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_326_571 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_652_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14875 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_32243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_351_3047 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44004_ _43772_/X VGND VGND VPWR VPWR _44168_/A sky130_fd_sc_hd__buf_1
+XFILLER_535_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79701_ _79751_/CLK _79701_/D VGND VGND VPWR VPWR _65478_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_14886 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_10_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_153_942 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_67_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_109_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_453_2072 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_171_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41216_ _41079_/X _41205_/B VGND VGND VPWR VPWR _41218_/A sky130_fd_sc_hd__or2_2
+XPHY_32254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60050_ _60050_/A _60050_/B _60049_/Y VGND VGND VPWR VPWR _60050_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_390_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_291_1014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_630_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76913_ _76911_/CLK _76913_/D VGND VGND VPWR VPWR _76913_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_14897 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72036_ _69937_/X _72036_/B _72036_/C VGND VGND VPWR VPWR _72037_/B sky130_fd_sc_hd__or3_2
+XFILLER_275_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49861_ _49861_/A _49860_/X VGND VGND VPWR VPWR _49861_/Y sky130_fd_sc_hd__nand2_2
+XPHY_31520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_158_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_530 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42196_ wbs_dat_i[31] VGND VGND VPWR VPWR _42196_/Y sky130_fd_sc_hd__inv_8
+XFILLER_531_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_275_1768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_687_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77893_ _77888_/CLK _51112_/Y VGND VGND VPWR VPWR _77893_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_45_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1506 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_31531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_431_3060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_383_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_370_2958 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_291_1036 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_703_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_113_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_688_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_633_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_3_440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_450_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48812_ _48809_/Y _48811_/X VGND VGND VPWR VPWR _78498_/D sky130_fd_sc_hd__nand2_2
+XFILLER_613_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_291_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79632_ _79694_/CLK _41388_/Y VGND VGND VPWR VPWR _56487_/A sky130_fd_sc_hd__dfxtp_4
+X_41147_ _41269_/A _41147_/B VGND VGND VPWR VPWR _41147_/X sky130_fd_sc_hd__or2_2
+XPHY_31564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_316_1743 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_405 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_76844_ _76844_/CLK _76844_/D VGND VGND VPWR VPWR _41668_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_611_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_171_1700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_668_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49792_ _47821_/B _49828_/B VGND VGND VPWR VPWR _49805_/A sky130_fd_sc_hd__or2_2
+Xclkbuf_9_135_0_u_core.clock clkbuf_8_67_0_u_core.clock/X VGND VGND VPWR VPWR _78281_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_31575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_30830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_646_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_253_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9460 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_336_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_731 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_285_106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_629_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_589 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_9471 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_21074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_80_1616 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_234_1443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_663_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_502_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_112_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_279_681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48743_ _48733_/X VGND VGND VPWR VPWR _48744_/B sky130_fd_sc_hd__buf_1
+Xclkbuf_8_23_0_u_core.clock clkbuf_8_22_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_47_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_9482 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_21085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_61_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_79563_ _79411_/CLK _41759_/Y _41753_/X VGND VGND VPWR VPWR _45080_/A sky130_fd_sc_hd__dfrtp_4
+XFILLER_152_1121 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_234_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45955_ _41643_/B _45958_/B VGND VGND VPWR VPWR _45957_/B sky130_fd_sc_hd__nor2_2
+XFILLER_171_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41078_ _41075_/X _41078_/B VGND VGND VPWR VPWR _41078_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_583_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76775_ _77422_/CLK _55791_/Y VGND VGND VPWR VPWR _76775_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_312_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_9493 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_726_3070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_21096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_20351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_65_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2012 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_73987_ _73999_/A _73987_/B _64200_/A VGND VGND VPWR VPWR _73988_/B sky130_fd_sc_hd__nand3_2
+XPHY_30885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_474_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_20362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_7_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_428_634 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_685_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_431_1680 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_2229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_8_244_0_u_core.clock clkbuf_8_245_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_9_489_0_u_core.clock/A
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_310_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_3_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8770 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_644_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_230_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_349_2220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_691_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_368_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_43_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_310_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78514_ _78514_/CLK _48745_/Y VGND VGND VPWR VPWR _63705_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_23_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8781 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_548_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40029_ _40148_/A _40034_/B VGND VGND VPWR VPWR _40029_/X sky130_fd_sc_hd__or2_2
+X_44906_ _44909_/A VGND VGND VPWR VPWR _44951_/A sky130_fd_sc_hd__buf_1
+XFILLER_709_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63740_ _63314_/A _63740_/B _63739_/Y VGND VGND VPWR VPWR _63740_/Y sky130_fd_sc_hd__nor3_2
+XPHY_20384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_681_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75726_ _75726_/A _75713_/B _80618_/Q VGND VGND VPWR VPWR _75727_/B sky130_fd_sc_hd__nand3_2
+XFILLER_388_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_564_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_38_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_564_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48674_ _47388_/B _48578_/B VGND VGND VPWR VPWR _54432_/A sky130_fd_sc_hd__or2_2
+X_60952_ _60166_/A VGND VGND VPWR VPWR _60953_/B sky130_fd_sc_hd__buf_1
+XFILLER_114_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8792 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_182_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72938_ _72930_/A _72948_/B _81304_/Q VGND VGND VPWR VPWR _72938_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_709_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1107 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_20395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_79494_ _78988_/Q _79494_/D _42289_/X VGND VGND VPWR VPWR io_out[21] sky130_fd_sc_hd__dfrtp_4
+X_45886_ _45878_/A _45883_/Y _45886_/C VGND VGND VPWR VPWR _79081_/D sky130_fd_sc_hd__nor3_2
+XFILLER_152_1176 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_212_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_21_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_130_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_546_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_666_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_1732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_149_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_471_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_415_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_329_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_632_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47625_ _47625_/A _47625_/B VGND VGND VPWR VPWR _47625_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_642_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78445_ _77506_/CLK _78445_/D VGND VGND VPWR VPWR _78445_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_544_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_482_1011 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63671_ _63144_/X _63671_/B _63670_/Y VGND VGND VPWR VPWR _63672_/B sky130_fd_sc_hd__nor3_2
+X_44837_ _44835_/A _44768_/X _44837_/C VGND VGND VPWR VPWR _44837_/Y sky130_fd_sc_hd__nor3_2
+X_75657_ _75898_/A _75657_/B VGND VGND VPWR VPWR _75657_/X sky130_fd_sc_hd__or2_2
+XFILLER_19_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_82_918 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_507_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72869_ _72867_/X _72868_/Y VGND VGND VPWR VPWR _81319_/D sky130_fd_sc_hd__nand2_2
+X_60883_ _60883_/A _60883_/B VGND VGND VPWR VPWR _60884_/C sky130_fd_sc_hd__nor2_2
+XFILLER_486_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_616_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_362_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_408_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_310_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_286_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_247_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_345_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_384_2188 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_581_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65410_ _67223_/A VGND VGND VPWR VPWR _65906_/B sky130_fd_sc_hd__buf_1
+XFILLER_286_1853 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_503_3039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_462_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_722_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_47_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_2199 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2687 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_613_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74608_ _74598_/A _74605_/B _74608_/C VGND VGND VPWR VPWR _74608_/Y sky130_fd_sc_hd__nand3_2
+X_62622_ _62002_/A _62618_/Y _62622_/C VGND VGND VPWR VPWR _62623_/C sky130_fd_sc_hd__nor3_2
+XFILLER_588_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_235_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_561_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_456 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_81_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_464_2190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_47556_ _47556_/A _47556_/B VGND VGND VPWR VPWR _78816_/D sky130_fd_sc_hd__nand2_2
+X_66390_ _66390_/A _66709_/B VGND VGND VPWR VPWR _66390_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_605_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_349_1585 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_34_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_605_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_281_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_228_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_78376_ _78593_/CLK _49273_/Y VGND VGND VPWR VPWR _78376_/Q sky130_fd_sc_hd__dfxtp_4
+X_44768_ _44628_/A VGND VGND VPWR VPWR _44768_/X sky130_fd_sc_hd__buf_1
+XPHY_29360 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_440_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75588_ _75598_/A VGND VGND VPWR VPWR _75695_/B sky130_fd_sc_hd__buf_1
+XFILLER_444_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_286_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_130_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29371 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_460_2043 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1975 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_641_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_1274 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_245_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_698_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_29382 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_262_570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_423_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_62_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_46507_ _46505_/Y _46506_/X VGND VGND VPWR VPWR _46507_/X sky130_fd_sc_hd__or2_2
+X_65341_ _64070_/X VGND VGND VPWR VPWR _65840_/A sky130_fd_sc_hd__buf_1
+XPHY_29393 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_425_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77327_ _77333_/CLK _53270_/Y VGND VGND VPWR VPWR _77327_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_569_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43719_ _43702_/Y _43906_/A VGND VGND VPWR VPWR _43895_/A sky130_fd_sc_hd__or2_4
+XFILLER_262_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_62553_ _62553_/A _62553_/B VGND VGND VPWR VPWR _62553_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_362_2475 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74539_ _64206_/A VGND VGND VPWR VPWR _74539_/Y sky130_fd_sc_hd__inv_8
+XFILLER_280_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_264_2885 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_207_497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_696_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47487_ _47817_/A VGND VGND VPWR VPWR _47601_/A sky130_fd_sc_hd__buf_1
+XFILLER_35_878 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1861 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_263_1138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_692_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_28670 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_44699_ _44716_/B _44699_/B VGND VGND VPWR VPWR _44700_/B sky130_fd_sc_hd__or2_2
+XFILLER_612_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_395_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_143_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_327_1872 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_245_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_28681 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_577_2439 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_597_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_2275 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_602_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49226_ _49226_/A _54963_/A VGND VGND VPWR VPWR _49252_/B sky130_fd_sc_hd__or2_2
+X_61504_ _61040_/A _61504_/B _61503_/Y VGND VGND VPWR VPWR _61505_/C sky130_fd_sc_hd__nor3_2
+XFILLER_280_2175 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_72_1100 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68060_ _68060_/A _68060_/B VGND VGND VPWR VPWR _68061_/B sky130_fd_sc_hd__nor2_2
+XPHY_28692 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_95_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_343_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_50_837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_675_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46438_ _43178_/A _46438_/B _46437_/Y VGND VGND VPWR VPWR _46460_/B sky130_fd_sc_hd__nor3_2
+XFILLER_657_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_2674 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_501_2051 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65272_ _65439_/A _65272_/B _65272_/C VGND VGND VPWR VPWR _65273_/C sky130_fd_sc_hd__nor3_2
+X_77258_ _77128_/CLK _77258_/D VGND VGND VPWR VPWR _60059_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_222_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_108_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_128_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_195_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_62484_ _62169_/X _62482_/Y _62483_/Y VGND VGND VPWR VPWR _62484_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_72_1122 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_91_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_657_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_73_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_1874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_403_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_18191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_340_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_600_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27980 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_501_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_61_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_499_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67011_ _67181_/A _67011_/B _67011_/C VGND VGND VPWR VPWR _67012_/C sky130_fd_sc_hd__nor3_2
+XFILLER_397_1815 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_203_670 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_27991 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_1887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76209_ _74806_/A VGND VGND VPWR VPWR _76333_/A sky130_fd_sc_hd__buf_1
+X_64223_ _64223_/A _64213_/B VGND VGND VPWR VPWR _64223_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_160_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_348_140 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61435_ _60800_/A _61435_/B _61434_/Y VGND VGND VPWR VPWR _61435_/Y sky130_fd_sc_hd__nor3_2
+X_49157_ _49157_/A _49157_/B VGND VGND VPWR VPWR _78408_/D sky130_fd_sc_hd__nand2_2
+XFILLER_590_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_403_1760 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_419 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_2775 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_337_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_301_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_46369_ _46114_/A _46369_/B VGND VGND VPWR VPWR _46388_/A sky130_fd_sc_hd__and2_2
+XFILLER_280_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77189_ _77190_/CLK _77189_/D VGND VGND VPWR VPWR _59114_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_520_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_72_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_91_1789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_894 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_223_2582 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_618_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_17490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_692_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_2628 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_692_2398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_50_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48108_ _48018_/A _48107_/X VGND VGND VPWR VPWR _48109_/B sky130_fd_sc_hd__or2_2
+XFILLER_198_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_321_1460 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_89_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_726_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64154_ _67063_/A VGND VGND VPWR VPWR _64167_/B sky130_fd_sc_hd__buf_1
+XFILLER_141_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_89_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_438_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61366_ _60585_/A VGND VGND VPWR VPWR _61519_/B sky130_fd_sc_hd__buf_1
+X_49088_ _49088_/A _49087_/X VGND VGND VPWR VPWR _49088_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_148_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_553_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_2284 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_15_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_223_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_673_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_395_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63105_ _62761_/X _63105_/B VGND VGND VPWR VPWR _63143_/B sky130_fd_sc_hd__nor2_2
+XFILLER_590_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_608 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_60317_ _60317_/A _60317_/B _60316_/Y VGND VGND VPWR VPWR _60317_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_201_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48039_ _63011_/A _48039_/B VGND VGND VPWR VPWR _48039_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_129_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_568 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_391_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_651_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_619 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_68962_ _68791_/X _68957_/Y _68962_/C VGND VGND VPWR VPWR _68962_/Y sky130_fd_sc_hd__nor3_2
+X_64085_ _64085_/A VGND VGND VPWR VPWR _64117_/A sky130_fd_sc_hd__buf_1
+XFILLER_141_1592 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_490_2762 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61297_ _61920_/A _61297_/B _61297_/C VGND VGND VPWR VPWR _61298_/C sky130_fd_sc_hd__nor3_2
+XFILLER_128_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_258_2689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_552_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_634_1069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_2970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_2669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_338 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_305_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_1711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51050_ _51048_/Y _51049_/X VGND VGND VPWR VPWR _51050_/Y sky130_fd_sc_hd__nand2_2
+X_67913_ _67752_/A _67913_/B _67913_/C VGND VGND VPWR VPWR _67914_/C sky130_fd_sc_hd__nor3_2
+XFILLER_512_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63036_ _62364_/X _63036_/B VGND VGND VPWR VPWR _63070_/B sky130_fd_sc_hd__nor2_2
+XFILLER_711_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_2513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_670_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_334_2533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60248_ _59763_/A _60248_/B _60247_/Y VGND VGND VPWR VPWR _60248_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_239_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68893_ _68068_/A VGND VGND VPWR VPWR _69323_/A sky130_fd_sc_hd__buf_1
+XFILLER_553_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_293_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_258_1988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_714_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_667_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2768 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_507_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_654_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_137_1617 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_217_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50001_ _50001_/A _50000_/X VGND VGND VPWR VPWR _50001_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_416_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_1181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_478_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39812_ _39812_/A _39811_/Y VGND VGND VPWR VPWR _80031_/D sky130_fd_sc_hd__nand2_2
+XFILLER_432_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_432_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_320_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_63_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_334_2577 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_274_1278 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_647_2109 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67844_ _80227_/Q _67843_/X VGND VGND VPWR VPWR _67844_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_172_1519 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_217_2364 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_360_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_320_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_60179_ _59856_/A _60178_/Y VGND VGND VPWR VPWR _60179_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_171_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_28_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_154_1931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_655_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_26_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_666_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39743_ _39364_/A VGND VGND VPWR VPWR _39815_/A sky130_fd_sc_hd__buf_1
+XFILLER_389_2055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_369_2629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_2592 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_115_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_135_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_2066 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_67775_ _79389_/Q _67942_/B VGND VGND VPWR VPWR _67777_/B sky130_fd_sc_hd__nor2_2
+XFILLER_80_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_2554 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_792 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64987_ _67932_/A VGND VGND VPWR VPWR _64987_/X sky130_fd_sc_hd__buf_1
+XFILLER_334_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_97_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_217_1685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_230_2531 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_113_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_389_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_629_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_523_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_115_1937 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_547_2243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69514_ _58825_/A _69236_/B VGND VGND VPWR VPWR _69515_/C sky130_fd_sc_hd__nor2_2
+XFILLER_389_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6108 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54740_ _54756_/B VGND VGND VPWR VPWR _54753_/B sky130_fd_sc_hd__buf_1
+X_66726_ _66726_/A _66724_/Y _66726_/C VGND VGND VPWR VPWR _66727_/C sky130_fd_sc_hd__nor3_2
+XFILLER_647_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_527_2828 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_723 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_51952_ _51950_/Y _51952_/B VGND VGND VPWR VPWR _51952_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_213_1549 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_1598 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39674_ _39656_/A VGND VGND VPWR VPWR _39678_/B sky130_fd_sc_hd__buf_1
+XFILLER_367_2331 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63938_ _63938_/A _58995_/B VGND VGND VPWR VPWR _63938_/Y sky130_fd_sc_hd__nor2_2
+XPHY_6119 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_407_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_664_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_367_3087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_61_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_41_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_2899 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_1941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_681_2770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2841 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_582_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_131_1238 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_547_2287 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_1775 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_2408 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_419_689 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_6_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_418_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_385_1229 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5407 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_50903_ _50988_/A _50900_/B VGND VGND VPWR VPWR _50903_/X sky130_fd_sc_hd__or2_2
+XFILLER_26_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38625_ _38624_/X VGND VGND VPWR VPWR _38641_/B sky130_fd_sc_hd__buf_1
+XFILLER_723_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_662_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69445_ _80526_/Q _69027_/B VGND VGND VPWR VPWR _69446_/C sky130_fd_sc_hd__nor2_2
+XFILLER_484_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_445_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_406_317 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_96_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_606_1105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5418 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_2949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_635_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54671_ _54791_/A _54694_/B VGND VGND VPWR VPWR _54672_/B sky130_fd_sc_hd__or2_2
+XFILLER_560_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66657_ _66657_/A VGND VGND VPWR VPWR _66991_/B sky130_fd_sc_hd__buf_1
+XFILLER_406_328 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51883_ _51880_/Y _51882_/X VGND VGND VPWR VPWR _77694_/D sky130_fd_sc_hd__nand2_2
+XFILLER_380_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_5429 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_664_1788 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63869_ _78723_/Q _63869_/B VGND VGND VPWR VPWR _63869_/Y sky130_fd_sc_hd__nor2_2
+XPHY_19809 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_22_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_22_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_1601 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_2796 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_701_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_680_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_211_1262 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_230_1885 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_642_2765 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_113_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_4706 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56410_ _56364_/X _56409_/Y VGND VGND VPWR VPWR _56410_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_55_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_543_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_285_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_38_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_2_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_53622_ _53622_/A VGND VGND VPWR VPWR _53657_/A sky130_fd_sc_hd__buf_1
+XFILLER_465_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65608_ _65081_/A _65608_/B _65607_/Y VGND VGND VPWR VPWR _65612_/B sky130_fd_sc_hd__nor3_2
+XPHY_4717 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38556_ _38554_/X _38556_/B VGND VGND VPWR VPWR _38556_/Y sky130_fd_sc_hd__nand2_2
+X_50834_ _50834_/A _50833_/X VGND VGND VPWR VPWR _50834_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_26_823 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_84_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_697_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_480_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_57390_ _57316_/A _57390_/B _57390_/C VGND VGND VPWR VPWR _57399_/A sky130_fd_sc_hd__nor3_2
+XFILLER_187_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69376_ _80269_/Q _69516_/B VGND VGND VPWR VPWR _69378_/B sky130_fd_sc_hd__nor2_2
+XFILLER_484_1898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_148_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4728 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_66588_ _74087_/C _67255_/B VGND VGND VPWR VPWR _66589_/C sky130_fd_sc_hd__nor2_2
+XFILLER_263_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_272_378 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_913 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_1696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4739 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_363_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_226_784 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_414_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_56341_ _58737_/A VGND VGND VPWR VPWR _56476_/A sky130_fd_sc_hd__buf_1
+XFILLER_408_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68327_ _80326_/Q _68165_/B VGND VGND VPWR VPWR _68328_/C sky130_fd_sc_hd__nor2_2
+X_80605_ _80597_/CLK _80605_/D VGND VGND VPWR VPWR _80605_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_380_2564 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_328_1669 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_53553_ _53553_/A _53552_/X VGND VGND VPWR VPWR _53553_/Y sky130_fd_sc_hd__nand2_2
+XPHY_36509 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_65539_ _80277_/Q _65857_/B VGND VGND VPWR VPWR _65541_/B sky130_fd_sc_hd__nor2_2
+XFILLER_53_653 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_604_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_1830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_497_2916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38487_ _76089_/A VGND VGND VPWR VPWR _38488_/A sky130_fd_sc_hd__buf_1
+X_50765_ _62613_/A _50765_/B VGND VGND VPWR VPWR _50767_/A sky130_fd_sc_hd__nand2_2
+XFILLER_38_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_224_2313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_1884 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_74_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_168_1183 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_309_1068 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_52_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_164_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_198_636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_224_3069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_721_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_443_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_2111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_468_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2315 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_26008 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_658_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_709_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_430_854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_402_545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_595_2539 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_387_747 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_341_1814 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_165_2794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26019 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52504_ _52560_/A _52516_/B VGND VGND VPWR VPWR _52505_/B sky130_fd_sc_hd__or2_2
+XFILLER_502_1125 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_59060_ _58921_/X VGND VGND VPWR VPWR _59060_/X sky130_fd_sc_hd__buf_1
+XFILLER_306_2679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_506_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_181_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35808 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_402_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80536_ _80577_/CLK _80536_/D VGND VGND VPWR VPWR _65897_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_587_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56272_ _56322_/A VGND VGND VPWR VPWR _58299_/A sky130_fd_sc_hd__buf_1
+XFILLER_197_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_304_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68258_ _64939_/X VGND VGND VPWR VPWR _68934_/A sky130_fd_sc_hd__buf_1
+XFILLER_80_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_718_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53484_ _53481_/Y _53483_/X VGND VGND VPWR VPWR _77273_/D sky130_fd_sc_hd__nand2_2
+XFILLER_71_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1009 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35819 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_306_1956 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50696_ _50695_/X VGND VGND VPWR VPWR _50696_/X sky130_fd_sc_hd__buf_1
+XFILLER_541_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_55_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25307 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_693_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_2982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_263_1683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_244_1060 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_2563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_70_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_591_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2436 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58011_ _58011_/A _58330_/B VGND VGND VPWR VPWR _58011_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_322_1235 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_300_2201 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_126_2789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25318 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_671_2405 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_55223_ _76826_/Q _55173_/B VGND VGND VPWR VPWR _55223_/X sky130_fd_sc_hd__and2_2
+X_67209_ _80736_/Q _67364_/B VGND VGND VPWR VPWR _67209_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_161_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_200_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_13_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_142_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_187_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52435_ _77547_/Q _52430_/X VGND VGND VPWR VPWR _52435_/Y sky130_fd_sc_hd__nand2_2
+XPHY_25329 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_142_1323 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68189_ _68035_/A _68189_/B _68188_/Y VGND VGND VPWR VPWR _68200_/B sky130_fd_sc_hd__nor3_2
+X_80467_ _80518_/CLK _80467_/D VGND VGND VPWR VPWR _65055_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_529_774 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_459_1024 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_139_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_601_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_528_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1871 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_395_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_222_2070 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_161_1968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_367_482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_538_2968 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_459_1046 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_39108_ _39108_/A _39113_/B VGND VGND VPWR VPWR _39108_/X sky130_fd_sc_hd__or2_2
+XFILLER_456_2635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_393_2925 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_70220_ _70211_/X _70218_/X VGND VGND VPWR VPWR _70220_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_90_1288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_202_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_2236 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_519_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_2646 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_24628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55154_ _79473_/Q _55153_/Y VGND VGND VPWR VPWR _55155_/C sky130_fd_sc_hd__nor2_2
+XFILLER_556_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1347 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_166_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14105 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40380_ _40891_/A _40369_/B VGND VGND VPWR VPWR _40380_/X sky130_fd_sc_hd__or2_2
+XFILLER_107_1487 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52366_ _60644_/A _52363_/B VGND VGND VPWR VPWR _52368_/A sky130_fd_sc_hd__nand2_2
+XFILLER_495_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14116 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_241_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_593_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80398_ _80367_/CLK _80398_/D VGND VGND VPWR VPWR _38349_/C sky130_fd_sc_hd__dfxtp_4
+XFILLER_456_1923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_205_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14127 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_300_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_3071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_617_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14138 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54105_ _54105_/A _54033_/B VGND VGND VPWR VPWR _54115_/A sky130_fd_sc_hd__or2_2
+XPHY_23927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_194_2423 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_1322 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_354_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_39039_ _39039_/A _39039_/B VGND VGND VPWR VPWR _39039_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_454_3082 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51317_ _51289_/A _51335_/B VGND VGND VPWR VPWR _51318_/B sky130_fd_sc_hd__or2_2
+XPHY_13404 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_33_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70151_ _70505_/A VGND VGND VPWR VPWR _70183_/A sky130_fd_sc_hd__buf_1
+XPHY_14149 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_472_1213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_327_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_276_2767 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_3044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_59962_ _59812_/A _59960_/Y _59962_/C VGND VGND VPWR VPWR _59962_/Y sky130_fd_sc_hd__nor3_2
+X_55085_ _55073_/B _55085_/B VGND VGND VPWR VPWR _55085_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_154_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_3179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_308_560 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_13415 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_1557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_699 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52297_ _52177_/A _52303_/B VGND VGND VPWR VPWR _52298_/B sky130_fd_sc_hd__or2_2
+XFILLER_279_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_107_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_193_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_218_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_2729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_342_316 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1820 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13426 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_489_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_2499 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_317_2720 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_11_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1588 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_4_215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_159_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_689_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_1929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13437 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_359_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_292_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_181_547 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_688_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_532_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_519_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_2212 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_469_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_434_2955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_159_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42050_ _42050_/A _46074_/A _42050_/C VGND VGND VPWR VPWR _42050_/X sky130_fd_sc_hd__and3_2
+XPHY_12703 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13448 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54036_ _54043_/A VGND VGND VPWR VPWR _54037_/B sky130_fd_sc_hd__buf_1
+XFILLER_317_2742 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_58913_ _58912_/X VGND VGND VPWR VPWR _59384_/A sky130_fd_sc_hd__buf_1
+XFILLER_308_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1676 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_415_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_51248_ _77858_/Q _51262_/B VGND VGND VPWR VPWR _51248_/Y sky130_fd_sc_hd__nand2_2
+XPHY_12714 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_394_1093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70082_ _69931_/Y _70081_/X VGND VGND VPWR VPWR _71212_/A sky130_fd_sc_hd__or2_2
+XPHY_13459 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_634_1581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_2001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59893_ _59893_/A _59893_/B _59892_/Y VGND VGND VPWR VPWR _59893_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_434_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_430_2808 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_12725 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_415_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2644 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_105_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_351_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_323_541 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_292_1345 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_257_1465 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_274_2480 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_49_1135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_29_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_1017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12736 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_513_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41001_ _41000_/X VGND VGND VPWR VPWR _41002_/B sky130_fd_sc_hd__buf_1
+XFILLER_669_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_450_2256 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_106_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12747 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_101_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_73910_ _73668_/A _73904_/B VGND VGND VPWR VPWR _73913_/A sky130_fd_sc_hd__or2_2
+XFILLER_323_563 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_1438 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_81019_ _81106_/CLK _74094_/Y VGND VGND VPWR VPWR _66433_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_27_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_454_1691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_118_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_1_911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_12758 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_489_2444 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58844_ _56536_/A _58840_/Y _58844_/C VGND VGND VPWR VPWR _58845_/B sky130_fd_sc_hd__nor3_2
+XFILLER_669_2378 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_30126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8000 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_136_1116 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51179_ _51172_/A VGND VGND VPWR VPWR _51192_/B sky130_fd_sc_hd__buf_1
+X_74890_ _74872_/A VGND VGND VPWR VPWR _74894_/B sky130_fd_sc_hd__buf_1
+XFILLER_469_567 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_355_1099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12769 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_1610 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_700_251 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_268_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_1007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_450_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_450_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8011 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_150_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_192_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_685_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_350_382 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8022 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_584_2911 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_235_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_647_2632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_645_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_66_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8033 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_313_1927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_725_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_511_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2319 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_121_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73841_ _73838_/X _73840_/Y VGND VGND VPWR VPWR _81079_/D sky130_fd_sc_hd__nand2_2
+XFILLER_438_921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_62_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_1936 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8044 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_567_1918 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_415_1697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58775_ _58775_/A _56358_/B VGND VGND VPWR VPWR _58775_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_311_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_49_904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_270_2399 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_62_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_587_1355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_500_869 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_7310 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8055 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_55987_ _44995_/B _55987_/B VGND VGND VPWR VPWR _55988_/C sky130_fd_sc_hd__nor2_2
+XFILLER_150_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_313_1949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_723_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7321 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_2665 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_76_701 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_8066 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_68 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_926 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_1627 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2139 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_40_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7332 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_673_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_8077 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_650_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_7_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45740_ _44965_/X _45739_/X VGND VGND VPWR VPWR _79102_/D sky130_fd_sc_hd__nor2_2
+X_57726_ _57649_/A _57726_/B VGND VGND VPWR VPWR _57726_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_248_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_27_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76560_ VGND VGND VPWR VPWR _76560_/HI la_data_out[56] sky130_fd_sc_hd__conb_1
+XPHY_7343 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_8088 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_565_3099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42952_ _42952_/A _42955_/B VGND VGND VPWR VPWR _42952_/X sky130_fd_sc_hd__or2_2
+X_54938_ _54945_/A VGND VGND VPWR VPWR _54954_/B sky130_fd_sc_hd__buf_1
+X_73772_ _73771_/X VGND VGND VPWR VPWR _73776_/B sky130_fd_sc_hd__buf_1
+XFILLER_448_2141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_802 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_29_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_248_353 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7354 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_70984_ _70284_/X VGND VGND VPWR VPWR _70985_/A sky130_fd_sc_hd__inv_8
+XPHY_8099 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_2385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39102 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6620 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7365 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_465_773 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_437_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_643_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_251_1097 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39113 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6631 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_625_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7376 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75511_ _76155_/A VGND VGND VPWR VPWR _76014_/A sky130_fd_sc_hd__buf_1
+XFILLER_526_2338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_1039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_614_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41903_ _46695_/A VGND VGND VPWR VPWR _42288_/B sky130_fd_sc_hd__buf_1
+XPHY_39124 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72723_ _72712_/A VGND VGND VPWR VPWR _72799_/A sky130_fd_sc_hd__buf_1
+XPHY_6642 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_114_1469 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7387 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_492_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_409_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_264_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45671_ _45671_/A VGND VGND VPWR VPWR _45673_/A sky130_fd_sc_hd__buf_2
+XFILLER_628_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57657_ _57657_/A _57656_/X VGND VGND VPWR VPWR _57658_/C sky130_fd_sc_hd__nor2_2
+XPHY_39135 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_647_1997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76491_ VGND VGND VPWR VPWR _76491_/HI io_out[13] sky130_fd_sc_hd__conb_1
+XPHY_6653 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_7398 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_453_946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42883_ _42883_/A _42888_/B VGND VGND VPWR VPWR _42883_/X sky130_fd_sc_hd__or2_2
+XFILLER_79_1810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_480_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_3042 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_54869_ _54867_/Y _54869_/B VGND VGND VPWR VPWR _76904_/D sky130_fd_sc_hd__nand2_2
+XFILLER_208_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39146 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38401 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_621_2805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_385_2486 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6664 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_2641 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_79_1821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_483_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_1227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_39157 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38412 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_5930 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6675 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_546_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_47410_ _47410_/A _47410_/B VGND VGND VPWR VPWR _47410_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_461_3064 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38423 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_78230_ _77689_/CLK _78230_/D VGND VGND VPWR VPWR _78230_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_385_1763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_29_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_39168 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_44622_ _44612_/B _44622_/B _44607_/C VGND VGND VPWR VPWR _44624_/B sky130_fd_sc_hd__nor3_2
+XFILLER_40_1666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5941 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_6686 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56608_ _56946_/A _56608_/B _56607_/Y VGND VGND VPWR VPWR _56609_/C sky130_fd_sc_hd__nor3_2
+X_75442_ _75517_/A VGND VGND VPWR VPWR _75442_/X sky130_fd_sc_hd__buf_1
+XFILLER_63_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41834_ _46665_/A _41813_/X _41833_/Y _41815_/X VGND VGND VPWR VPWR _41835_/B sky130_fd_sc_hd__o22a_4
+XPHY_38434 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48390_ _78605_/Q _48369_/B VGND VGND VPWR VPWR _48390_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_29_683 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5952 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_39179 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72654_ _72654_/A _72654_/B VGND VGND VPWR VPWR _81374_/D sky130_fd_sc_hd__nand2_2
+XFILLER_328_2860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_1111 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6697 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_112_1171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_641_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1854 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_2599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37700 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_57588_ _57280_/A _57586_/Y _57588_/C VGND VGND VPWR VPWR _57588_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_327_1113 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38445 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_1736 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+Xclkbuf_6_9_0_u_core.clock ANTENNA_555/DIODE VGND VGND VPWR VPWR clkbuf_6_9_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_302_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5963 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_441_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_57_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37711 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38456 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_613_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1076 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_72_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5974 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_507_1058 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_79_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_186_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_452_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37722 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_307_1709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38467 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_44_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_21_1087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5985 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_676_2305 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_378_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_281_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47341_ _49246_/A VGND VGND VPWR VPWR _47446_/A sky130_fd_sc_hd__buf_1
+XFILLER_610_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59327_ _59327_/A _59325_/Y _59327_/C VGND VGND VPWR VPWR _59327_/Y sky130_fd_sc_hd__nor3_2
+X_71605_ _71253_/X _71578_/Y _71588_/Y _71604_/X VGND VGND VPWR VPWR _42658_/B sky130_fd_sc_hd__o22a_4
+X_78161_ _78708_/CLK _78161_/D VGND VGND VPWR VPWR _78161_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_17_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_144_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37733 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_344_2161 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_38478 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_27210 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56539_ _56695_/A _56539_/B _56539_/C VGND VGND VPWR VPWR _56539_/X sky130_fd_sc_hd__or3_2
+X_44553_ _44560_/A _44547_/Y _44553_/C VGND VGND VPWR VPWR _79218_/D sky130_fd_sc_hd__nor3_2
+XFILLER_281_2451 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5996 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_75373_ _75373_/A _75373_/B VGND VGND VPWR VPWR _75373_/X sky130_fd_sc_hd__or2_2
+XFILLER_229_1556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_186_1272 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_732 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_41765_ wbs_dat_i[8] VGND VGND VPWR VPWR _41765_/Y sky130_fd_sc_hd__inv_8
+XPHY_38489 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37744 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72585_ _72585_/A _72584_/Y VGND VGND VPWR VPWR _72585_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_327_1157 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_524_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27221 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_832 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_264_1447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_378_725 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_422_2347 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_38_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37755 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_520_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_32_815 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_199_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_231_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27232 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+Xclkbuf_9_432_0_u_core.clock clkbuf_9_433_0_u_core.clock/A VGND VGND VPWR VPWR _80144_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_378_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_56_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_504_1946 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_598_2174 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_129_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37766 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_579_696 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77112_ _77112_/CLK _54089_/Y VGND VGND VPWR VPWR _59720_/A sky130_fd_sc_hd__dfxtp_4
+XPHY_27243 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_521_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_502_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_43504_ _42827_/X _43503_/X VGND VGND VPWR VPWR _43504_/X sky130_fd_sc_hd__or2_2
+XFILLER_92_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_559_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74324_ _74324_/A _74324_/B VGND VGND VPWR VPWR _80963_/D sky130_fd_sc_hd__nand2_2
+XPHY_37777 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2759 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_109_2965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40716_ _40597_/A _40709_/X VGND VGND VPWR VPWR _40716_/X sky130_fd_sc_hd__or2_2
+XPHY_27254 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47272_ _76827_/Q _47278_/B VGND VGND VPWR VPWR _47273_/B sky130_fd_sc_hd__or2_2
+XFILLER_593_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_461_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_420_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71536_ _71670_/A _42937_/A VGND VGND VPWR VPWR _71536_/X sky130_fd_sc_hd__or2_2
+X_59258_ _59318_/A VGND VGND VPWR VPWR _59258_/X sky130_fd_sc_hd__buf_1
+XFILLER_108_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_1267 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_78092_ _78092_/CLK _50374_/Y VGND VGND VPWR VPWR _78092_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_105_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_232_776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37788 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44484_ _44483_/X VGND VGND VPWR VPWR _44501_/B sky130_fd_sc_hd__inv_8
+XPHY_26520 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_909 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_281_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27265 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_378_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_90_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41696_ _41696_/A VGND VGND VPWR VPWR _41696_/Y sky130_fd_sc_hd__inv_8
+XFILLER_709_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_340_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_37799 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_496_2448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_359_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_344_1482 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26531 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_207_1843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27276 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_393_706 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_43_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_377_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49011_ _49069_/A _48988_/A VGND VGND VPWR VPWR _49012_/B sky130_fd_sc_hd__or2_2
+XFILLER_657_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27287 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26542 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46223_ _43508_/A _46350_/A VGND VGND VPWR VPWR _46561_/B sky130_fd_sc_hd__and2_2
+X_58209_ _68329_/A _58138_/X VGND VGND VPWR VPWR _58209_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_359_972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_77043_ _77365_/CLK _77043_/D VGND VGND VPWR VPWR _54346_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_520_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27298 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26553 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43435_ _43430_/X _43423_/X _57451_/A VGND VGND VPWR VPWR _43435_/Y sky130_fd_sc_hd__nand3_2
+XPHY_16030 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2461 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74255_ _74243_/X _74265_/B _80981_/Q VGND VGND VPWR VPWR _74256_/B sky130_fd_sc_hd__nand3_2
+XFILLER_422_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2645 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_319_814 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_40647_ _40645_/X _40646_/Y VGND VGND VPWR VPWR _40647_/Y sky130_fd_sc_hd__nand2_2
+XPHY_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_508_914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26564 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_242_1756 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_693_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_693_1940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59189_ _59189_/A _63745_/B VGND VGND VPWR VPWR _59189_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_51_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_71467_ _70993_/Y _71466_/X VGND VGND VPWR VPWR _71468_/B sky130_fd_sc_hd__or2_2
+XFILLER_594_1337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_2509 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_16041 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_576_2472 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_477_1124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_724_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_322_2492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_305_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_372 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26575 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25830 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_386_780 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_357_2500 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_16052 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_51_3197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_717_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25841 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_459_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_383 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26586 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_346_622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_572_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_2801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16063 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_575_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_1146 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61220_ _60593_/A _61217_/Y _61220_/C VGND VGND VPWR VPWR _61221_/C sky130_fd_sc_hd__nor3_2
+XFILLER_86_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25852 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73206_ _73206_/A _73206_/B VGND VGND VPWR VPWR _81243_/D sky130_fd_sc_hd__nand2_2
+XPHY_394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_708_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_2046 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_947 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_26597 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_34_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_1456 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16074 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_16_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46154_ _46152_/X _46153_/X VGND VGND VPWR VPWR _46165_/C sky130_fd_sc_hd__nand2_2
+X_70418_ _70415_/Y _70104_/A _70105_/A _70417_/X VGND VGND VPWR VPWR _70418_/X sky130_fd_sc_hd__o22a_4
+XFILLER_195_2209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25863 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_318_335 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_8_532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_3109 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_43366_ _43478_/B VGND VGND VPWR VPWR _43366_/X sky130_fd_sc_hd__buf_1
+XFILLER_196_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16085 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15340 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_125_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_74186_ _74184_/X _74185_/Y VGND VGND VPWR VPWR _74186_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_477_1168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_455_2134 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_40578_ _40575_/X _40577_/Y VGND VGND VPWR VPWR _79840_/D sky130_fd_sc_hd__nand2_2
+XFILLER_670_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25874 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_375_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71398_ _71398_/A _71398_/B _71397_/Y VGND VGND VPWR VPWR _71398_/X sky130_fd_sc_hd__or3_2
+XFILLER_220_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_16096 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_15351 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_2447 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_129_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25885 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_652_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2300 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_553_1001 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15362 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_537_1744 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_535_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_279_2391 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_2575 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_590_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_455_2156 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_306_508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45105_ _45105_/A VGND VGND VPWR VPWR _45105_/Y sky130_fd_sc_hd__inv_8
+XPHY_25896 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_396_1870 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_2233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15373 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1218 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42317_ _41863_/A VGND VGND VPWR VPWR _42317_/X sky130_fd_sc_hd__buf_1
+XFILLER_195_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_494_1471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61151_ _61151_/A _60999_/B VGND VGND VPWR VPWR _61153_/B sky130_fd_sc_hd__nor2_2
+X_73137_ _73110_/A VGND VGND VPWR VPWR _73230_/A sky130_fd_sc_hd__buf_1
+XFILLER_654_1979 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_396_1881 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_392_2457 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_723_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_518_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15384 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_46085_ _46085_/A _46085_/B _79035_/Q _43011_/Y VGND VGND VPWR VPWR _46085_/X sky130_fd_sc_hd__or4_2
+XFILLER_687_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_70349_ _70349_/A _70335_/X VGND VGND VPWR VPWR _70349_/Y sky130_fd_sc_hd__nor2_2
+X_43297_ _43295_/X _43297_/B VGND VGND VPWR VPWR _79387_/D sky130_fd_sc_hd__nand2_2
+XFILLER_126_920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_635_1367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14650 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_2000 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15395 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_253_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78994_ _79559_/CLK _46599_/X VGND VGND VPWR VPWR _78994_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_103_1863 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_534_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_144_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_2042 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_377_1280 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_370_2700 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_396 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_14661 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_279_1690 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_6_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_4 io_in[18] VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_392_1745 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_60102_ _60005_/Y _60102_/B VGND VGND VPWR VPWR _60102_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_550_1900 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_333_338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14672 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_1679 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_49913_ _49913_/A _49977_/B VGND VGND VPWR VPWR _49914_/A sky130_fd_sc_hd__or2_2
+X_45036_ _45035_/X VGND VGND VPWR VPWR _45082_/A sky130_fd_sc_hd__buf_1
+XPHY_32040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_68_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1876 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42248_ wbs_dat_i[25] VGND VGND VPWR VPWR _42248_/Y sky130_fd_sc_hd__inv_8
+XPHY_14683 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_821 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_61082_ _60614_/A _61074_/Y _61081_/Y VGND VGND VPWR VPWR _61083_/B sky130_fd_sc_hd__nor3_2
+XFILLER_515_2776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_1477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73068_ _73074_/A _73079_/B _66113_/A VGND VGND VPWR VPWR _73070_/A sky130_fd_sc_hd__nand3_2
+X_77945_ _77950_/CLK _77945_/D VGND VGND VPWR VPWR _50921_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_119_2026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_138_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_177_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_689_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_691_34 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_2377 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_531_2044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_14694 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_64_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_197_1390 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_214_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_649_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_950 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_327_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13960 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_292_2580 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_1516 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_119_1303 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_2941 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_32073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_373_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_316_2252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13971 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_302_703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64910_ _68230_/A VGND VGND VPWR VPWR _64915_/A sky130_fd_sc_hd__buf_1
+XFILLER_287_905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_589_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_32084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_60033_ _60033_/A VGND VGND VPWR VPWR _60826_/A sky130_fd_sc_hd__buf_1
+XFILLER_390_2192 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72019_ _47086_/A VGND VGND VPWR VPWR _72020_/B sky130_fd_sc_hd__inv_8
+XPHY_13982 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_503_663 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_275_1587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_67_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49844_ _78232_/Q _49844_/B VGND VGND VPWR VPWR _49844_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_472_1791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_158_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_32095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_31350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_487_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_342_883 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_370_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_13993 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_448_707 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_335_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42179_ _42179_/A _42048_/A VGND VGND VPWR VPWR _42432_/B sky130_fd_sc_hd__nor2_2
+XFILLER_687_1733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65890_ _65890_/A _66394_/B VGND VGND VPWR VPWR _65890_/Y sky130_fd_sc_hd__nor2_2
+X_77876_ _77872_/CLK _77876_/D VGND VGND VPWR VPWR _77876_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_138_1959 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_433_2498 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_351_2165 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_29_2977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_530_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_25_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_136_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_596 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_301_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_292_1890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_665_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_116_2947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_8_98_0_u_core.clock clkbuf_8_98_0_u_core.clock/A VGND VGND VPWR VPWR clkbuf_8_98_0_u_core.clock/X
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_648_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_281 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_79615_ _79610_/CLK _79615_/D VGND VGND VPWR VPWR _67124_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_475_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2215 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_64841_ _66518_/A VGND VGND VPWR VPWR _65540_/B sky130_fd_sc_hd__buf_1
+XFILLER_488_1220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76827_ _76841_/CLK _76827_/D VGND VGND VPWR VPWR _76827_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_668_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49775_ _49690_/A _49787_/B VGND VGND VPWR VPWR _49775_/X sky130_fd_sc_hd__or2_2
+XFILLER_583_2410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_544_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46987_ _46962_/X _71680_/B _71621_/B _46979_/X VGND VGND VPWR VPWR _46988_/B sky130_fd_sc_hd__o22a_4
+XFILLER_79_550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_30671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_9290 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_386_2228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_80_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_626_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_343_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_39_403 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_646_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_560_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_62_2581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_3225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_30693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_509_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48726_ _63093_/A _48723_/B VGND VGND VPWR VPWR _48728_/A sky130_fd_sc_hd__nand2_2
+X_67560_ _67560_/A _67560_/B VGND VGND VPWR VPWR _67561_/B sky130_fd_sc_hd__nor2_2
+XPHY_20170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_351_1497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79546_ _78988_/Q _79546_/D _41891_/X VGND VGND VPWR VPWR _45427_/A sky130_fd_sc_hd__dfstp_4
+XFILLER_20_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2115 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_364_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45938_ _42614_/B _45926_/X VGND VGND VPWR VPWR _45939_/C sky130_fd_sc_hd__nor2_2
+X_64772_ _64599_/X _64772_/B _64771_/Y VGND VGND VPWR VPWR _64773_/C sky130_fd_sc_hd__nor3_2
+X_76758_ _77028_/CLK _55850_/Y VGND VGND VPWR VPWR _76758_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_312_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_485_2864 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_449_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_79_594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61984_ _61984_/A _61983_/X VGND VGND VPWR VPWR _61984_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_94_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_247_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_490_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_295_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_2611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_239_364 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_724_1605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_446_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_20192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_706_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_94_531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_255_824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_481_2717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_267_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_485_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_23_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_607_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_471_710 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66511_ _80091_/Q _66352_/X VGND VGND VPWR VPWR _66512_/C sky130_fd_sc_hd__nor2_2
+XFILLER_329_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_548_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_644_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_3_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_93_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_681_1343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75709_ _75819_/B VGND VGND VPWR VPWR _75713_/B sky130_fd_sc_hd__buf_1
+X_63723_ _48374_/A _63854_/B VGND VGND VPWR VPWR _63723_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_564_1130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_529_1250 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_2684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60935_ _60935_/A VGND VGND VPWR VPWR _60936_/B sky130_fd_sc_hd__buf_1
+XFILLER_255_846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_48657_ _48715_/A _48657_/B VGND VGND VPWR VPWR _48657_/X sky130_fd_sc_hd__or2_2
+XFILLER_709_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67491_ _67658_/A _67491_/B _67491_/C VGND VGND VPWR VPWR _67492_/C sky130_fd_sc_hd__nor3_2
+XFILLER_1_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_1928 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_637 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_67_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79477_ _79474_/CLK _42561_/Y VGND VGND VPWR VPWR _42550_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_681_2099 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45869_ _45757_/Y _45851_/X VGND VGND VPWR VPWR _45871_/B sky130_fd_sc_hd__nor2_2
+XFILLER_110_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_286_2362 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_76689_ _76689_/CLK _76689_/D VGND VGND VPWR VPWR _70713_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_58_2606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_266_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_368_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_715 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_561_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_110_2557 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_2324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_548_1895 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_2138 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_427_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_169_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_345 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_646_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38410_ _38400_/A _38421_/B _66903_/A VGND VGND VPWR VPWR _38411_/B sky130_fd_sc_hd__nand3_2
+XFILLER_364_2548 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69230_ _64531_/A _69228_/Y _69230_/C VGND VGND VPWR VPWR _69230_/Y sky130_fd_sc_hd__nor3_2
+X_47608_ _47608_/A _47608_/B VGND VGND VPWR VPWR _47608_/X sky130_fd_sc_hd__or2_2
+XFILLER_254_356 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66442_ _66442_/A _66274_/X VGND VGND VPWR VPWR _66444_/B sky130_fd_sc_hd__nor2_2
+XFILLER_82_737 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_415_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78428_ _78428_/CLK _49079_/Y VGND VGND VPWR VPWR _78428_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_66_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_3106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_2714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_632_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39390_ _39119_/A _39401_/B VGND VGND VPWR VPWR _39392_/A sky130_fd_sc_hd__or2_2
+X_63654_ _47402_/A _63519_/X VGND VGND VPWR VPWR _63654_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_642_1349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_640_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1945 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_60866_ _60866_/A VGND VGND VPWR VPWR _60886_/A sky130_fd_sc_hd__buf_1
+XFILLER_286_1661 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_507_2271 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_212_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_48588_ _78554_/Q _48581_/B VGND VGND VPWR VPWR _48588_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_19_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2851 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_624_2495 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_346_2971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_39680 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_525_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_247_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_39_2038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_2523 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_345_1224 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_631 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_349_1393 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_39691 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_443_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_110_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_270_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_35_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_660_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38341_ _38221_/A _38331_/B VGND VGND VPWR VPWR _38343_/A sky130_fd_sc_hd__or2_2
+XFILLER_381_2873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_342_2824 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62605_ _62450_/A _62605_/B VGND VGND VPWR VPWR _62605_/Y sky130_fd_sc_hd__nor2_2
+X_69161_ _68530_/X _69157_/Y _69160_/Y VGND VGND VPWR VPWR _69162_/C sky130_fd_sc_hd__nor3_2
+XFILLER_430_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_462_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_17_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_75_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_184_1935 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_702_1947 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_444_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_714 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_108_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47539_ _47538_/X VGND VGND VPWR VPWR _47544_/B sky130_fd_sc_hd__buf_1
+XFILLER_605_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66373_ _66050_/X _66373_/B _66373_/C VGND VGND VPWR VPWR _66373_/Y sky130_fd_sc_hd__nor3_2
+X_78359_ _78823_/CLK _78359_/D VGND VGND VPWR VPWR _78359_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_613_781 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_307_2955 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_91_2221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_598_983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_3014 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_1_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_700_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_596_2826 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_29190 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_444_1860 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_169_1492 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_63585_ _63585_/A _63716_/B VGND VGND VPWR VPWR _63586_/C sky130_fd_sc_hd__nor2_2
+XFILLER_342_2846 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_327_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60797_ _60498_/A _60797_/B _60796_/Y VGND VGND VPWR VPWR _60798_/B sky130_fd_sc_hd__nor3_2
+XFILLER_307_2966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_264_2682 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_208_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_221_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_78_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_585_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_288_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_162_2923 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_182_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_601_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38990 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_368_213 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_223_754 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_698_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2558 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_444_1882 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_68112_ _68112_/A _68269_/B VGND VGND VPWR VPWR _68112_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_323_2245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_542_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_1569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_2032 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_228_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_65324_ _80596_/Q _65820_/B VGND VGND VPWR VPWR _65325_/C sky130_fd_sc_hd__nor2_2
+XFILLER_74_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_56_2374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38272_ _38279_/A _38279_/B _80419_/Q VGND VGND VPWR VPWR _38272_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_569_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_179_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50550_ _50546_/X VGND VGND VPWR VPWR _50578_/B sky130_fd_sc_hd__buf_1
+X_62536_ _59381_/A VGND VGND VPWR VPWR _63153_/B sky130_fd_sc_hd__buf_1
+XFILLER_411_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_1801 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_1715 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_81370_ _81370_/CLK _81370_/D VGND VGND VPWR VPWR _81370_/Q sky130_fd_sc_hd__dfxtp_4
+X_69092_ _72849_/C _68788_/B VGND VGND VPWR VPWR _69093_/C sky130_fd_sc_hd__nor2_2
+XFILLER_228_1077 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_676_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_405_1855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_91_1531 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_577_1513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_421_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_2677 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_577_2258 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_719_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_672_2703 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_2823 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_573_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_3204 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2510 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_679_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2211 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_49209_ _49209_/A _49209_/B VGND VGND VPWR VPWR _49209_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_143_1621 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_68043_ _67876_/A _68043_/B _68042_/Y VGND VGND VPWR VPWR _68060_/A sky130_fd_sc_hd__nor3_2
+X_80321_ _80321_/CLK _38675_/Y VGND VGND VPWR VPWR _80321_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_182_1670 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_584_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65255_ _65255_/A _65255_/B _65255_/C VGND VGND VPWR VPWR _65256_/B sky130_fd_sc_hd__nor3_2
+XFILLER_496_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_659_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_503_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_368_279 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_17_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_225_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_440_1779 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_557_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62467_ _62002_/A _62463_/Y _62467_/C VGND VGND VPWR VPWR _62468_/C sky130_fd_sc_hd__nor3_2
+X_50481_ _50422_/X _50484_/B VGND VGND VPWR VPWR _50481_/X sky130_fd_sc_hd__or2_2
+XFILLER_526_700 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_50_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_675_1147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_397_2368 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_397_1623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_657_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_383_216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_124_1020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_538_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_309_302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_295_2609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_301_2543 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_203_2953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_210_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_78_0_u_core.clock clkbuf_9_79_0_u_core.clock/A VGND VGND VPWR VPWR _78451_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_221_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_520_1792 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_2425 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_64206_ _64206_/A _64167_/B VGND VGND VPWR VPWR _64207_/C sky130_fd_sc_hd__nor2_2
+XFILLER_421_1189 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_379_2780 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_52220_ _52192_/A _52220_/B VGND VGND VPWR VPWR _52221_/B sky130_fd_sc_hd__or2_2
+XFILLER_276_2008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_143_1665 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_594_2594 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_555_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_258_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_323_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_206_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_631_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80252_ _80597_/CLK _38934_/Y VGND VGND VPWR VPWR _80252_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_375_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61418_ _61418_/A _60781_/B VGND VGND VPWR VPWR _61418_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_148_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_1811 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_160_2691 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_175_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_644 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_477_2392 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_438_2343 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_717_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65186_ _65186_/A VGND VGND VPWR VPWR _65857_/B sky130_fd_sc_hd__buf_1
+XFILLER_501_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_3209 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_618_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_365_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_1268 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62398_ _60836_/A VGND VGND VPWR VPWR _62711_/B sky130_fd_sc_hd__buf_1
+XFILLER_202_1239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_2168 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_358_1629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_525_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_34_1971 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_715_855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_516_2529 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_309_357 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_195_2721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_713_2733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_2988 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_453_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_761 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_378_1066 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_692_1483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_30_1813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_618_2299 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_191_812 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_590_2469 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_380_912 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1028 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52151_ _52151_/A VGND VGND VPWR VPWR _52163_/B sky130_fd_sc_hd__buf_1
+X_64137_ _64164_/A _64137_/B _64137_/C VGND VGND VPWR VPWR _64138_/C sky130_fd_sc_hd__nor3_2
+XFILLER_30_2569 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_85_2003 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_258_2453 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_89_2172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_716_1166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_69_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_783 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_61349_ _61349_/A _61037_/B VGND VGND VPWR VPWR _61349_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_571_1123 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_80183_ _80195_/CLK _80183_/D VGND VGND VPWR VPWR _57012_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_553_574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_536_1243 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_85_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_121_2697 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69994_ _42789_/A VGND VGND VPWR VPWR _69995_/C sky130_fd_sc_hd__inv_8
+XFILLER_356_1320 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_373_3080 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_498_618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_273_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_67_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_156_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_416_2630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_945 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_353_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_336_1905 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_63_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51102_ _51015_/A _51108_/B VGND VGND VPWR VPWR _51102_/X sky130_fd_sc_hd__or2_2
+XFILLER_588_3033 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_479_810 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_455_2690 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_653_1489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_392_2980 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_375_1965 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_11_1245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_668_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_191_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_714_398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1063 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_412_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_391_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_154_3130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_631_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_52082_ _52054_/A _52082_/B VGND VGND VPWR VPWR _52083_/B sky130_fd_sc_hd__or2_2
+X_68945_ _68945_/A _68945_/B VGND VGND VPWR VPWR _68945_/Y sky130_fd_sc_hd__nor2_2
+X_64068_ _64294_/A VGND VGND VPWR VPWR _64095_/A sky130_fd_sc_hd__inv_8
+XFILLER_688_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_514_2253 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_117_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_336_1938 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_3216 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_236_2740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_419_1085 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_371_1829 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_28_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_237_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_384_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_473_1599 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51033_ _50998_/A _51054_/B VGND VGND VPWR VPWR _51034_/B sky130_fd_sc_hd__or2_2
+XFILLER_24_3008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_82_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55910_ _55906_/Y _69831_/Y _55910_/C VGND VGND VPWR VPWR _55911_/C sky130_fd_sc_hd__nor3_2
+XFILLER_451_1831 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63019_ _62238_/A VGND VGND VPWR VPWR _63625_/B sky130_fd_sc_hd__buf_1
+XPHY_11309 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_612_1142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_293_1687 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_254_1638 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_239_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_451_2587 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_412_2538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56890_ _56882_/Y _56889_/Y VGND VGND VPWR VPWR _56891_/B sky130_fd_sc_hd__nor2_2
+X_68876_ _68374_/A _68871_/Y _68876_/C VGND VGND VPWR VPWR _68884_/B sky130_fd_sc_hd__nor3_2
+XFILLER_254_1649 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_432_1230 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_320_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_549_2316 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_85_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_667_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_2327 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_416_1984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_466_515 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_143_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_1338 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10608 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_687_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_627_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_1642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_277_426 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_103_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55841_ _55839_/Y _55840_/X VGND VGND VPWR VPWR _76761_/D sky130_fd_sc_hd__nand2_2
+XFILLER_232_1903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_710_593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67827_ _67994_/A _67822_/Y _67827_/C VGND VGND VPWR VPWR _67827_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_63_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_10619 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_451_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_154_2484 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_2249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_466_537 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_2843 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_410_2240 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_8_1825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_213_1302 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_412_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_320_566 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_28_1764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_2337 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_486_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_484_3053 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_189_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_707_2526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_449_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1985 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_51 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_213_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_686_1276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_1655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_655_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_3228 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_106_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_312_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_39726_ _39243_/A _39617_/A VGND VGND VPWR VPWR _39726_/X sky130_fd_sc_hd__or2_2
+XFILLER_135_1160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_648_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_569_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58560_ _58560_/A _58560_/B _58559_/Y VGND VGND VPWR VPWR _58561_/B sky130_fd_sc_hd__nor3_2
+XFILLER_484_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_389_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_55772_ _55769_/Y _55772_/B VGND VGND VPWR VPWR _55772_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_22_2020 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_131_1002 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_230_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_644_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67758_ _67758_/A _67096_/X VGND VGND VPWR VPWR _67758_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_493_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_58_734 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_52984_ _77400_/Q _52984_/B VGND VGND VPWR VPWR _52984_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_66_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_660_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_258_673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_1636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_210_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_287_2148 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_63_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_419_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_873 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_97_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_625_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_63_1699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_842 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_670_629 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_547_2073 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_57511_ _57112_/A VGND VGND VPWR VPWR _57512_/B sky130_fd_sc_hd__buf_1
+XFILLER_484_2363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_629_1672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1962 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54723_ _54723_/A _54723_/B VGND VGND VPWR VPWR _54723_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_265_3136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_115_1778 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_66709_ _74888_/C _66709_/B VGND VGND VPWR VPWR _66711_/B sky130_fd_sc_hd__nor2_2
+XFILLER_724_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51935_ _77679_/Q _51935_/B VGND VGND VPWR VPWR _51937_/A sky130_fd_sc_hd__nand2_2
+XFILLER_474_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_39657_ _39663_/A _39668_/B _58056_/A VGND VGND VPWR VPWR _39657_/Y sky130_fd_sc_hd__nand3_2
+XPHY_5204 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_347_2735 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_58491_ _68766_/A _58633_/B VGND VGND VPWR VPWR _58491_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_527_1924 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_273_632 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_58_778 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_98_1729 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5215 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2123 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_67689_ _64102_/A VGND VGND VPWR VPWR _67855_/B sky130_fd_sc_hd__buf_1
+XFILLER_386_2795 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_347_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_41_1953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_334 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_189_1857 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_446_294 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_363_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5226 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_603_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_461_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19606 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_39_970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_582_1252 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_100_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_79_1128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_406_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5237 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_2194 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_345_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_19617 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2145 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38608_ _39242_/A VGND VGND VPWR VPWR _38609_/A sky130_fd_sc_hd__buf_1
+XFILLER_265_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_57442_ _57432_/Y _57442_/B VGND VGND VPWR VPWR _57443_/B sky130_fd_sc_hd__nor2_2
+XFILLER_6_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_69428_ _69428_/A _69428_/B _69428_/C VGND VGND VPWR VPWR _69432_/B sky130_fd_sc_hd__nor3_2
+XPHY_4503 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_45_428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_382_1914 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_5248 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54654_ _76960_/Q _54654_/B VGND VGND VPWR VPWR _54654_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19628 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_2_1435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_245_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_544_2983 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_187_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4514 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_705_2294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_51866_ _51927_/A _51882_/B VGND VGND VPWR VPWR _51867_/B sky130_fd_sc_hd__or2_2
+X_39588_ _39588_/A _39588_/B VGND VGND VPWR VPWR _39588_/Y sky130_fd_sc_hd__nand2_2
+XPHY_19639 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_22_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5259 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_403_98 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_44 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_562_1859 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_405 _54248_/X VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_701_2136 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37007 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_599_3173 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_4525 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18905 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1433 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_607_1970 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_603_2546 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_540_2836 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_416 _58537_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_269_1892 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_163_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37018 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18916 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_4536 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_367_1493 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_3035 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_306_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_699_1648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_57_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_54_951 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_26_642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1401 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XANTENNA_427 _60033_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XPHY_37029 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_3026 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53605_ _77239_/Q _53608_/B VGND VGND VPWR VPWR _53605_/Y sky130_fd_sc_hd__nand2_2
+XPHY_3802 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_126_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_161_3112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_380_2350 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_18927 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_328_1455 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_4547 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_226_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_38539_ _38539_/A _38539_/B VGND VGND VPWR VPWR _38539_/X sky130_fd_sc_hd__or2_2
+XFILLER_543_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50817_ _50817_/A VGND VGND VPWR VPWR _50822_/B sky130_fd_sc_hd__buf_1
+XFILLER_243_2711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_39_2550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_57373_ _57373_/A _57213_/B VGND VGND VPWR VPWR _57375_/B sky130_fd_sc_hd__nor2_2
+XANTENNA_438 _59668_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+XFILLER_443_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_69359_ _58774_/A _64465_/X VGND VGND VPWR VPWR _69360_/C sky130_fd_sc_hd__nor2_2
+XPHY_4558 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3813 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_497_2702 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_382_1969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_18938 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_521_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_54585_ _54642_/A _54600_/B VGND VGND VPWR VPWR _54586_/B sky130_fd_sc_hd__or2_2
+XFILLER_246_1133 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_697_2062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_677_2636 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2771 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36306 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_426_1067 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XANTENNA_449 _59426_/A VGND VGND VPWR VPWR sky130_fd_sc_hd__diode_2
+X_51797_ _51797_/A _51811_/B VGND VGND VPWR VPWR _51800_/A sky130_fd_sc_hd__nand2_2
+XPHY_4569 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3824 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_18949 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_213_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_246_1144 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_610_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_588_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_183_1434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_198_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36317 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3835 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_35_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_623_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2101 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_59112_ _59112_/A VGND VGND VPWR VPWR _59113_/A sky130_fd_sc_hd__buf_1
+XFILLER_54_995 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_282_2793 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_36328 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_3846 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_148_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_549_847 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_478_2112 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56324_ _81295_/Q _56540_/B VGND VGND VPWR VPWR _56328_/B sky130_fd_sc_hd__nor2_2
+XFILLER_380_2394 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_603_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_967 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_207_1117 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_548_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41550_ _41550_/A _41550_/B VGND VGND VPWR VPWR _41550_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_263_2181 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_575_110 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53536_ _53536_/A _53551_/B VGND VGND VPWR VPWR _53537_/B sky130_fd_sc_hd__or2_2
+XFILLER_41_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_36339 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1944 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_72370_ _72443_/A VGND VGND VPWR VPWR _72370_/X sky130_fd_sc_hd__buf_1
+XPHY_3857 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_2307 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_242_1008 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_497_2746 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_50748_ _50716_/X _50754_/B VGND VGND VPWR VPWR _50749_/B sky130_fd_sc_hd__or2_2
+XFILLER_74_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_35605 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_202_927 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_208_2886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_3868 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_2367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_989 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_242_1019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_403_887 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_1409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_224_2154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_658_2068 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_74_1751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_204_2728 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35616 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_423_1966 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_25_196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_74_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_629_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_1782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_401_2932 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_3879 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_564_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_495_3160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_458_2719 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_694_2972 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35627 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_430_684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_404_1354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40501_ _73246_/A VGND VGND VPWR VPWR _40987_/A sky130_fd_sc_hd__buf_1
+XFILLER_491_3002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25104 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_59043_ _59043_/A _59677_/B VGND VGND VPWR VPWR _59043_/Y sky130_fd_sc_hd__nor2_2
+X_71321_ _70275_/Y _71321_/B VGND VGND VPWR VPWR _71321_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_224_1431 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_636_2311 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_595_1635 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_198_488 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_709_159 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35638 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_361_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_278_2807 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_80519_ _80518_/CLK _76109_/Y VGND VGND VPWR VPWR _68372_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_478_1422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25115 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_56255_ _56450_/A VGND VGND VPWR VPWR _56255_/X sky130_fd_sc_hd__buf_1
+XFILLER_302_1606 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_718_1910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_478_2167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_718_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_690_2825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41481_ _41407_/A VGND VGND VPWR VPWR _41496_/B sky130_fd_sc_hd__buf_1
+XFILLER_186_639 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34904 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_53467_ _77276_/Q _53476_/B VGND VGND VPWR VPWR _53467_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_9_318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_161_2477 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_35649 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_25126 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_15_86 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_800 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_50679_ _50679_/A _50679_/B VGND VGND VPWR VPWR _78010_/D sky130_fd_sc_hd__nand2_2
+XFILLER_224_1453 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_619_1318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_55_1172 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34915 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_517_711 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_81499_ _81499_/CLK _71701_/Y VGND VGND VPWR VPWR _66475_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_40_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_714_2508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25137 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_341_1677 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_201_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_456_3155 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_35_1757 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34926 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_404_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_298_2266 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_276_3232 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_17_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_557_891 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24403 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_401_2987 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_495_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_25148 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43220_ _79407_/Q _47240_/A VGND VGND VPWR VPWR _43222_/B sky130_fd_sc_hd__nor2_2
+XFILLER_693_1269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_1025 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55206_ _55098_/B VGND VGND VPWR VPWR _55207_/B sky130_fd_sc_hd__inv_8
+XFILLER_202_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_34937 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_636_2355 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_591_647 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_576_1056 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74040_ _74195_/A _74045_/B VGND VGND VPWR VPWR _74043_/A sky130_fd_sc_hd__or2_2
+XFILLER_397_2880 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_33_2160 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_593_2071 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_517_733 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40432_ _40409_/A VGND VGND VPWR VPWR _40437_/B sky130_fd_sc_hd__buf_1
+XFILLER_724_129 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_558_2191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24414 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_52418_ _52418_/A _52417_/X VGND VGND VPWR VPWR _77552_/D sky130_fd_sc_hd__nand2_2
+XFILLER_671_1501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25159 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_374_249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71252_ _71078_/X _71252_/B _71251_/Y VGND VGND VPWR VPWR _71252_/X sky130_fd_sc_hd__or3_2
+XFILLER_376_1707 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_178_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_34948 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_122_1727 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_2153 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_104_2862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_56186_ _56174_/A _56186_/B _56186_/C VGND VGND VPWR VPWR wbs_dat_o[30] sky130_fd_sc_hd__nor3_2
+XFILLER_439_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24425 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_456_2443 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_222_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_632_2208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_53398_ _59583_/A _53401_/B VGND VGND VPWR VPWR _53400_/A sky130_fd_sc_hd__nand2_2
+XFILLER_356_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_319_2804 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_34959 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_750 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_355_441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_276_2520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_120_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_534_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_322_1098 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24436 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_371_901 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_21_391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_505_906 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_298_1565 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_210_993 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_517_766 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_24447 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23702 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_127_717 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_572_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_456_1720 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_417_2416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_159_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_636_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70203_ _70203_/A VGND VGND VPWR VPWR _70204_/A sky130_fd_sc_hd__inv_8
+XFILLER_515_2017 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_272_3118 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_491_1611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24458 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_23713 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_43151_ _43166_/A VGND VGND VPWR VPWR _43152_/A sky130_fd_sc_hd__inv_8
+XFILLER_417_2427 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55137_ _55132_/Y _55137_/B VGND VGND VPWR VPWR _76844_/D sky130_fd_sc_hd__or2_2
+XFILLER_645_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_396_1177 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_356_997 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_328_688 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_202_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_705_354 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_40363_ _40363_/A _40363_/B VGND VGND VPWR VPWR _40363_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_319_2837 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_1538 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_519_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23724 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_177_1205 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_52349_ _52349_/A _52349_/B VGND VGND VPWR VPWR _77572_/D sky130_fd_sc_hd__nand2_2
+XFILLER_519_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2318 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24469 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_2097 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_71183_ _71144_/X _71151_/X _71153_/X _42528_/B VGND VGND VPWR VPWR _71184_/A sky130_fd_sc_hd__o22a_4
+XPHY_13201 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_335_2105 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_5_513 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_385 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_194_694 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_358_2897 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_193_171 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_517_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23735 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_383_794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_300_1363 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_516_276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_126_227 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13212 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_108_931 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_573_1977 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_23746 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_2107 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_6_0_u_core.clock clkbuf_9_7_0_u_core.clock/A VGND VGND VPWR VPWR _78498_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_87_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13223 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42102_ _69687_/A _42099_/B VGND VGND VPWR VPWR _42102_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_31_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_2380 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23757 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_178_2996 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_617_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_339_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_108_953 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70134_ _69971_/X _70134_/B VGND VGND VPWR VPWR _70140_/A sky130_fd_sc_hd__nand2_2
+XPHY_13234 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_488_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_272_2428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_720_324 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_23768 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_300_1396 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_43082_ _43082_/A VGND VGND VPWR VPWR _43083_/B sky130_fd_sc_hd__inv_8
+XFILLER_174_2838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_1249 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_194_2264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_59945_ _54796_/A _59632_/B VGND VGND VPWR VPWR _59947_/B sky130_fd_sc_hd__nor2_2
+XFILLER_335_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_55068_ _45965_/X _55068_/B VGND VGND VPWR VPWR _55069_/B sky130_fd_sc_hd__or2_2
+XPHY_12500 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13245 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_653_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_40294_ _40292_/X _40294_/B VGND VGND VPWR VPWR _79907_/D sky130_fd_sc_hd__nand2_2
+XFILLER_343_669 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_81_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_190_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75991_ _75991_/A _75991_/B VGND VGND VPWR VPWR _75991_/Y sky130_fd_sc_hd__nand2_2
+XPHY_23779 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12511 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_13256 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2430 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_554_1398 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_107_452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_147_74 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_472_1065 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_433_1016 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_294_80 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_68_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12522 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2441 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XPHY_13267 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_531_257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_141_208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_532_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_330_308 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_155_1503 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_12533 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_42033_ wbs_dat_i[17] VGND VGND VPWR VPWR _42033_/Y sky130_fd_sc_hd__inv_8
+X_46910_ _46910_/A VGND VGND VPWR VPWR _46919_/A sky130_fd_sc_hd__buf_1
+XPHY_13278 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_54019_ _54019_/A _54018_/X VGND VGND VPWR VPWR _77129_/D sky130_fd_sc_hd__nand2_2
+XFILLER_313_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_257_1273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_253_1104 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77730_ _77732_/CLK _77730_/D VGND VGND VPWR VPWR _61286_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_589_1428 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_469_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12544 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74942_ _74963_/A VGND VGND VPWR VPWR _75058_/B sky130_fd_sc_hd__buf_1
+XFILLER_352_2463 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_269_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70065_ _70419_/A _70064_/X VGND VGND VPWR VPWR _70065_/Y sky130_fd_sc_hd__nand2_2
+XPHY_13289 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_686_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2130 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_123_934 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_684_2618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47890_ _47913_/B VGND VGND VPWR VPWR _47909_/B sky130_fd_sc_hd__buf_1
+Xclkbuf_9_222_0_u_core.clock clkbuf_9_223_0_u_core.clock/A VGND VGND VPWR VPWR _81255_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+X_59876_ _53989_/A _59718_/B VGND VGND VPWR VPWR _59876_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_194_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_11810 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_370_1339 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_137_2660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12555 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_591_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_489_2241 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_1459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_292_1175 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_513_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_218_1246 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_682_3021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_254_2884 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_512_471 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_422 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_133_2502 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11821 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_630_1264 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_818 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_352_1740 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12566 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_1051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_218_1257 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_540_791 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_289_2903 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11832 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_1849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_269_949 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_730 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_7_2014 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_12577 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_352_2496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_323_393 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_311_533 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_270_2163 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_669_1452 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_669_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_96_807 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46841_ _70064_/X _46850_/B VGND VGND VPWR VPWR _46842_/C sky130_fd_sc_hd__and2_2
+XPHY_11843 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_484_312 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58827_ _58827_/A _58827_/B _58827_/C VGND VGND VPWR VPWR _58827_/Y sky130_fd_sc_hd__nor3_2
+XPHY_12588 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_513_1073 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77661_ _77163_/CLK _77661_/D VGND VGND VPWR VPWR _60530_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_665_2039 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_215_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_118_2081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_150_764 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_74873_ _75355_/A _74876_/B VGND VGND VPWR VPWR _74875_/A sky130_fd_sc_hd__or2_2
+XPHY_11854 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_12599 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_311_555 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_62_1110 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_500_655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_450_1374 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_661_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_647_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_457_548 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_11865 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_485_2127 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_1_763 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_95_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_268_459 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_500_666 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_411_1336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_81_2489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2741 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_295_245 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_137_1981 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11876 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_549_2861 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_489_1562 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79400_ _81263_/CLK _43249_/Y VGND VGND VPWR VPWR _69491_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_249_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_643_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_510_2695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_380_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_76612_ VGND VGND VPWR VPWR _76612_/HI la_data_out[108] sky130_fd_sc_hd__conb_1
+XFILLER_485_1404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_163_62 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_11887 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_188_2002 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73824_ _73944_/A _73817_/X VGND VGND VPWR VPWR _73824_/X sky130_fd_sc_hd__or2_2
+X_49560_ _49558_/A VGND VGND VPWR VPWR _49576_/B sky130_fd_sc_hd__buf_1
+Xclkbuf_9_391_0_u_core.clock clkbuf_9_391_0_u_core.clock/A VGND VGND VPWR VPWR _81228_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XFILLER_235_1593 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_645_147 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_46_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_289_2969 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46772_ _46772_/A _46772_/B _46772_/C VGND VGND VPWR VPWR _46772_/Y sky130_fd_sc_hd__nor3_2
+XPHY_11898 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_58758_ _79917_/Q _56309_/B VGND VGND VPWR VPWR _58760_/B sky130_fd_sc_hd__nor2_2
+XFILLER_725_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_77592_ _77880_/CLK _77592_/D VGND VGND VPWR VPWR _52266_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_62_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_369_2960 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+X_43984_ _43984_/A _43983_/X VGND VGND VPWR VPWR _43984_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_0_273 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_7140 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_580_2605 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_526_2102 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_411_1369 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_76_520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2774 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_467_2561 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_4_2935 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_723_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_1630 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_608_2446 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7151 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_153_1282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_587_1196 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_24_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_584_2785 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_463_3148 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_2142 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_682_2386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_583_1038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_20_2716 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7162 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48511_ _48511_/A _48511_/B VGND VGND VPWR VPWR _78575_/D sky130_fd_sc_hd__nand2_2
+XFILLER_673_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_706_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79331_ _78940_/CLK _43561_/Y VGND VGND VPWR VPWR _71108_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_626_361 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_37_907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_45723_ _43529_/X VGND VGND VPWR VPWR _45754_/B sky130_fd_sc_hd__buf_1
+X_57709_ _40184_/C _57548_/B VGND VGND VPWR VPWR _57709_/Y sky130_fd_sc_hd__nor2_2
+X_76543_ VGND VGND VPWR VPWR _76543_/HI la_data_out[35] sky130_fd_sc_hd__conb_1
+XFILLER_102_190 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7173 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_131_2270 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_660_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_506_2709 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_465_581 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42935_ _42938_/A _42938_/B _67462_/A VGND VGND VPWR VPWR _42936_/B sky130_fd_sc_hd__nand3_2
+XFILLER_7_1379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_59_2904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_188_2057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_49491_ _49619_/A _49519_/B VGND VGND VPWR VPWR _49492_/B sky130_fd_sc_hd__or2_2
+XFILLER_706_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_73755_ _73635_/A _73750_/B VGND VGND VPWR VPWR _73755_/X sky130_fd_sc_hd__or2_2
+XFILLER_428_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_365_2835 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_7184 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_111_2855 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_58689_ _38873_/C _56448_/B VGND VGND VPWR VPWR _58690_/C sky130_fd_sc_hd__nor2_2
+XFILLER_437_283 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70967_ _70967_/A _71971_/A VGND VGND VPWR VPWR _70968_/A sky130_fd_sc_hd__or2_2
+XFILLER_149_2019 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_381_2103 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_49_789 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_248_194 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_647_1794 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6450 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_598_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+Xclkbuf_9_338_0_u_core.clock clkbuf_9_339_0_u_core.clock/A VGND VGND VPWR VPWR _78728_/CLK
++ sky130_fd_sc_hd__clkbuf_1
+XPHY_7195 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_91_501 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_3045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_663_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_329_1219 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_110_1119 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_131 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_6461 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_76_586 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_424_2409 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60720_ _60720_/A _60092_/B VGND VGND VPWR VPWR _60720_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_326_2819 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_561_2059 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_72706_ _72700_/A _72703_/B _81359_/Q VGND VGND VPWR VPWR _72706_/Y sky130_fd_sc_hd__nand3_2
+XPHY_6472 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_48442_ _78591_/Q _48448_/B VGND VGND VPWR VPWR _48442_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_526_2179 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_79262_ _79298_/CLK _79262_/D VGND VGND VPWR VPWR _79262_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_48_288 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_614_556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45654_ _72115_/A _45542_/X VGND VGND VPWR VPWR _45654_/Y sky130_fd_sc_hd__nor2_2
+XPHY_38220 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_342 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_621_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_606_2170 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_460_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_441_916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_413_607 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_6483 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_76474_ VGND VGND VPWR VPWR _76474_/HI io_oeb[34] sky130_fd_sc_hd__conb_1
+XFILLER_425_467 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_263_153 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_248_1921 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_398_809 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_42866_ _79446_/Q VGND VGND VPWR VPWR _42867_/A sky130_fd_sc_hd__buf_1
+XFILLER_224_518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XPHY_38231 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_73686_ _73807_/A _73680_/B VGND VGND VPWR VPWR _73686_/X sky130_fd_sc_hd__or2_2
+XFILLER_346_1522 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_599_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_6494 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_610_30 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_184_1209 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_508_2591 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_504_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_463_1746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_2_2681 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_70898_ _70898_/A _70140_/Y VGND VGND VPWR VPWR _70898_/X sky130_fd_sc_hd__or2_2
+XFILLER_723_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_940 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38242 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2023 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_5760 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_56_93 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_425_489 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_248_1943 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_127_2306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_642_898 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78213_ _77689_/CLK _78213_/D VGND VGND VPWR VPWR _78213_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_445_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_252_849 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_38253 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_660_1961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_2034 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_346_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_40_1496 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5771 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_522_1309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44605_ _44359_/B VGND VGND VPWR VPWR _44606_/B sky130_fd_sc_hd__inv_8
+X_75425_ _75183_/A _75577_/B VGND VGND VPWR VPWR _75429_/A sky130_fd_sc_hd__or2_2
+XFILLER_412_117 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_79_1673 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_602_1300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_434_990 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_426_2280 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41817_ _41804_/B _41817_/B VGND VGND VPWR VPWR _41818_/B sky130_fd_sc_hd__or2_2
+XPHY_38264 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_641_2094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_602_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_363_2570 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60651_ _60498_/A _60651_/B _60650_/Y VGND VGND VPWR VPWR _60651_/Y sky130_fd_sc_hd__nor3_2
+X_48373_ _48371_/Y _48373_/B VGND VGND VPWR VPWR _78611_/D sky130_fd_sc_hd__nand2_2
+XPHY_5782 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_72637_ _72228_/A _72622_/X VGND VGND VPWR VPWR _72639_/A sky130_fd_sc_hd__or2_2
+XFILLER_268_1391 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_263_197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_79193_ _79193_/CLK _79193_/D VGND VGND VPWR VPWR _44781_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_209_1916 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_229_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_63_269 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_45585_ _45585_/A _45589_/B VGND VGND VPWR VPWR _45587_/B sky130_fd_sc_hd__nor2_2
+XPHY_37530 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_38275 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_264_1233 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_16_141 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_5793 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_543_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_504_1721 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_75_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_42797_ _42788_/A _42797_/B _42797_/C VGND VGND VPWR VPWR _79455_/D sky130_fd_sc_hd__nor3_2
+XFILLER_504_2466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_704_1081 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_567_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37541 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_60_910 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38286 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_57_2672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_166_1654 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_38297 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_37552 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_421_640 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_53_2514 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_47324_ _47324_/A _47324_/B VGND VGND VPWR VPWR _78866_/D sky130_fd_sc_hd__nand2_2
+XFILLER_695_2758 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_619_3221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_422_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_260_860 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_578_2545 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_78144_ _78138_/CLK _50172_/Y VGND VGND VPWR VPWR _78144_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_37563 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_602_2089 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_44536_ _44536_/A _44534_/Y _44536_/C VGND VGND VPWR VPWR _44536_/Y sky130_fd_sc_hd__nor3_2
+XFILLER_32_623 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_524_1180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_27040 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_283_1889 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_44_483 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_63370_ _63370_/A _62901_/B VGND VGND VPWR VPWR _63370_/Y sky130_fd_sc_hd__nor2_2
+X_75356_ _75356_/A VGND VGND VPWR VPWR _75367_/A sky130_fd_sc_hd__buf_1
+XFILLER_610_751 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_398_2622 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_108_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_229_1386 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_426_1590 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_41748_ wbs_dat_i[12] VGND VGND VPWR VPWR _41748_/Y sky130_fd_sc_hd__inv_8
+XFILLER_144_2642 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37574 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_578_2556 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_479_1208 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_60582_ _77478_/Q _60426_/X VGND VGND VPWR VPWR _60582_/Y sky130_fd_sc_hd__nor2_2
+X_72568_ _72571_/A _72571_/B _65281_/A VGND VGND VPWR VPWR _72568_/Y sky130_fd_sc_hd__nand3_2
+XPHY_27051 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_379_2010 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_261_2866 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_400_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37585 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_36840 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_964 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_539_2518 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_189_466 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_539_39 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27062 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_38_2093 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36851 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_277_3007 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_18_2667 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_37596 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_500_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_27073 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_398_2655 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_559_1221 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_555_817 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_62321_ _61704_/A _62317_/Y _62320_/Y VGND VGND VPWR VPWR _62322_/C sky130_fd_sc_hd__nor3_2
+XFILLER_686_56 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36862 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_74307_ _74029_/X _74307_/B VGND VGND VPWR VPWR _74307_/X sky130_fd_sc_hd__or2_2
+XFILLER_566_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_520_1055 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_176_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_242_2276 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_2411 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_27084 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_71519_ _71519_/A _70411_/Y VGND VGND VPWR VPWR _71520_/B sky130_fd_sc_hd__nor2_2
+X_47255_ _41705_/D _47276_/B VGND VGND VPWR VPWR _47256_/B sky130_fd_sc_hd__and2_2
+XFILLER_539_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_296_2907 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_183_1990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78075_ _78070_/CLK _50441_/Y VGND VGND VPWR VPWR _62674_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_220_746 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36873 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_539_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_341_2890 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_324_1875 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_44467_ _44406_/X _44467_/B _44467_/C VGND VGND VPWR VPWR _79229_/D sky130_fd_sc_hd__nor3_2
+XPHY_27095 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XPHY_26350 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_366_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_654_3167 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_75287_ _75285_/X _75286_/Y VGND VGND VPWR VPWR _80723_/D sky130_fd_sc_hd__nand2_2
+XFILLER_70_2850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_41679_ _41666_/Y _41678_/X VGND VGND VPWR VPWR _41680_/A sky130_fd_sc_hd__or2_2
+XFILLER_105_2648 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36884 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_319_611 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_72499_ _72499_/A _72499_/B VGND VGND VPWR VPWR _81415_/D sky130_fd_sc_hd__nand2_2
+XFILLER_242_1553 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26361 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_220_768 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_340_1154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_296_2929 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_103_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_36895 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_595_2892 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_496_1544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_722 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_496_2289 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26372 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_376_2920 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_207_1684 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_2530 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_398_2699 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_140_1805 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_556_2854 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_539_1839 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_46206_ _46085_/A VGND VGND VPWR VPWR _46207_/A sky130_fd_sc_hd__inv_8
+X_65040_ _80851_/Q _65040_/B VGND VGND VPWR VPWR _65041_/C sky130_fd_sc_hd__nor2_2
+XPHY_180 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XPHY_26383 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_77026_ _77029_/CLK _77026_/D VGND VGND VPWR VPWR _77026_/Q sky130_fd_sc_hd__dfxtp_4
+XFILLER_203_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_220_2541 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_379_2087 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_43418_ _43418_/A _43417_/Y VGND VGND VPWR VPWR _43418_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_73_1294 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62252_ _62404_/A _62252_/B _62252_/C VGND VGND VPWR VPWR _62252_/Y sky130_fd_sc_hd__nor3_2
+X_74238_ _74625_/A _74227_/X VGND VGND VPWR VPWR _74240_/A sky130_fd_sc_hd__or2_2
+XFILLER_157_330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_554_349 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_693_1770 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_26394 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_47186_ _46402_/A _47186_/B VGND VGND VPWR VPWR _47186_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_581_135 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_3015 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_53_1879 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_44398_ _79236_/Q _44398_/B VGND VGND VPWR VPWR _44398_/Y sky130_fd_sc_hd__nor2_2
+XPHY_25660 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_357_2330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_201_982 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_594_1178 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_3075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_51_2282 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_1384 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_140_1838 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_507_232 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_400_2497 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_400_1752 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_307_806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25671 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_572_1410 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_533_2106 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_125_1395 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_158_886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_122_2984 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_185_672 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61203_ _77466_/Q _61203_/B VGND VGND VPWR VPWR _61203_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_199_2197 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25682 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_439_2685 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_375_1217 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_318_154 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_357_2352 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_337_2926 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_258_1004 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_46137_ _46135_/X _46136_/Y VGND VGND VPWR VPWR _46186_/A sky130_fd_sc_hd__nor2_2
+XFILLER_555_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_199_1463 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_713_1306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_435_2527 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_172_300 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_674_1191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_25693 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_118_739 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+X_43349_ _71981_/B _43359_/B VGND VGND VPWR VPWR _43351_/A sky130_fd_sc_hd__or2_2
+XPHY_15170 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_160_1297 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_62183_ _62180_/X _62181_/Y _62183_/C VGND VGND VPWR VPWR _62183_/Y sky130_fd_sc_hd__nor3_2
+X_74169_ _74169_/A _74165_/B _81004_/Q VGND VGND VPWR VPWR _74169_/Y sky130_fd_sc_hd__nand3_2
+XFILLER_533_2128 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_255_2604 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_145_525 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_550_3143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_177_3185 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_508_799 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_8_373 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_220_1862 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_654_1776 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_337_2948 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_15181 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_12_1532 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_712_825 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_522_202 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_470_2429 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_670_1044 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_24970 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_392_1520 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_338_84 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_68_3 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_650_1618 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_297_1086 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_15192 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_258_1037 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_103_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_537_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_515_2540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_3038 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_452_2830 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XPHY_24981 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_533_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_290_2517 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_689_1806 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_173_867 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_61134_ _61134_/A _60665_/B VGND VGND VPWR VPWR _61134_/Y sky130_fd_sc_hd__nor2_2
+XPHY_24992 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_417_2961 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_723_184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_318_2358 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_46068_ _46063_/A _46068_/B VGND VGND VPWR VPWR _46069_/C sky130_fd_sc_hd__nor2_2
+XFILLER_550_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_490_1143 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_474_1886 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_138_2435 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_66991_ _40072_/C _66991_/B VGND VGND VPWR VPWR _66992_/C sky130_fd_sc_hd__nor2_2
+XPHY_14480 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_523_769 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_315_850 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_253_3051 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_78977_ _79100_/CLK _78977_/D _46690_/X VGND VGND VPWR VPWR _58859_/B sky130_fd_sc_hd__dfrtp_4
+XFILLER_416_1225 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_668_239 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_335_2650 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XPHY_14491 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_550_2464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_67_1021 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_177_1761 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_452_2874 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_353_1526 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_613_1440 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_357_1695 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_299_540 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_1313 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_416_1247 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+X_45019_ _56214_/A _45069_/B VGND VGND VPWR VPWR _45019_/Y sky130_fd_sc_hd__nor2_2
+X_68730_ _80905_/Q _69325_/B VGND VGND VPWR VPWR _68733_/B sky130_fd_sc_hd__nor2_2
+XFILLER_290_1827 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_236_2069 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_65942_ _67837_/A VGND VGND VPWR VPWR _66122_/A sky130_fd_sc_hd__buf_1
+X_61065_ _61846_/A VGND VGND VPWR VPWR _61066_/B sky130_fd_sc_hd__buf_1
+X_77928_ _77937_/CLK _77928_/D VGND VGND VPWR VPWR _62153_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_711_379 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_38890_ _38900_/A _38900_/B _38890_/C VGND VGND VPWR VPWR _38891_/B sky130_fd_sc_hd__nand3_2
+XFILLER_255_1958 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_82_1508 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_2301 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_490_1198 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_302_511 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_218_2470 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_504_994 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_314_371 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_259_404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_299_573 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_13790 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_571_15 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_271_1226 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_154_1013 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_193_1062 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_3057 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_711_1074 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_433_1550 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_86_1688 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_649_464 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_370_2585 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_331_2536 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_531_1151 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_648_2237 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_125_293 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_609_306 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1951 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+X_60016_ _77234_/Q _59541_/B VGND VGND VPWR VPWR _60017_/C sky130_fd_sc_hd__nor2_2
+XFILLER_155_2782 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_134_1609 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_171_2050 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XPHY_31180 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+X_68661_ _80296_/Q _68494_/B VGND VGND VPWR VPWR _68661_/Y sky130_fd_sc_hd__nor2_2
+X_49827_ _49827_/A _49827_/B VGND VGND VPWR VPWR _49827_/Y sky130_fd_sc_hd__nand2_2
+XFILLER_302_544 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_151_2624 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_468_2325 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_3
+XFILLER_271_1259 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_9_2868 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1600 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_708_2813 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_99_678 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_65873_ _43717_/A _65381_/B VGND VGND VPWR VPWR _65875_/B sky130_fd_sc_hd__nor2_2
+XFILLER_354_83 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_77859_ _77840_/CLK _77859_/D VGND VGND VPWR VPWR _61493_/A sky130_fd_sc_hd__dfxtp_4
+XFILLER_28_1049 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_171_2061 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_589_1973 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XPHY_31191 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_288_2402 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_259_448 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_571_59 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+XFILLER_468_2336 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_253_1660 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_687_1574 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_531_1184 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_1330 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_45_2075 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_25_1904 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1212 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_6
+XFILLER_98_166 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_683_1416 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_664_434 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_691_220 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_566_1203 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_140_263 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_637_659 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_312_1223 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_116_2777 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_429_2309 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_290_27 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_4
+XFILLER_3_3124 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_67612_ _65124_/X VGND VGND VPWR VPWR _68269_/B sky130_fd_sc_hd__buf_1
+XFILLER_491_805 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+XFILLER_100_105 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_132_2045 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_23_3063 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_81_2990 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_548_3094 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_488_1050 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_64824_ _64824_/A _64660_/X VGND VGND VPWR VPWR _64824_/Y sky130_fd_sc_hd__nor2_2
+XFILLER_661_2404 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+XFILLER_214_1644 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_1
+XFILLER_626_2524 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_8
+X_49758_ _49787_/A _49758_/B VGND VGND VPWR VPWR _49758_/X sky130_fd_sc_hd__or2_2
+XFILLER_268_971 VGND VGND VPWR VPWR sky130_fd_sc_hd__fill_2
+X_80870_ _80867_/CLK _80870_/D VGND VGND VPWR VPWR _80870_/Q sky130_fd_sc_hd__dfxtp_4
+XPHY_30490 VGND VPWR sky130_fd_sc_hd__tapvpwrvgnd_1
+XFILLER_475_367 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+X_68592_ _68924_/A _68584_/Y _68591_/Y VGND VGND VPWR VPWR _68593_/B sky130_fd_sc_hd__nor3_2
+XFILLER_114_3191 VGND VGND VPWR VPWR sky130_fd_sc_hd__decap_12
+.ends
+
diff --git a/verilog/gl/fwpayload.v.gz b/verilog/gl/fwpayload.v.gz
new file mode 100644
index 0000000..d5fa91e
--- /dev/null
+++ b/verilog/gl/fwpayload.v.gz
Binary files differ
diff --git a/verilog/rtl/caravel.v b/verilog/rtl/caravel.v
new file mode 100644
index 0000000..4d3842b
--- /dev/null
+++ b/verilog/rtl/caravel.v
@@ -0,0 +1,648 @@
+// Note: copied root here to pass precheck
+/*--------------------------------------------------------------*/
+/* caravel, a project harness for the Google/SkyWater sky130	*/
+/* fabrication process and open source PDK			*/
+/*                                                          	*/
+/* Copyright 2020 efabless, Inc.                            	*/
+/* Written by Tim Edwards, December 2019                    	*/
+/* and Mohamed Shalan, August 2020			    	*/
+/* This file is open source hardware released under the     	*/
+/* Apache 2.0 license.  See file LICENSE.                   	*/
+/*                                                          	*/
+/*--------------------------------------------------------------*/
+
+`timescale 1 ns / 1 ps
+
+`define USE_POWER_PINS
+`define UNIT_DELAY #1
+
+`include "defines.v"
+`include "pads.v"
+
+/* NOTE: Need to pass the PDK root directory to iverilog with option -I */
+
+`include "libs.ref/sky130_fd_io/verilog/sky130_fd_io.v"
+`include "libs.ref/sky130_fd_io/verilog/sky130_ef_io.v"
+
+`include "libs.ref/sky130_fd_sc_hd/verilog/primitives.v"
+`include "libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v"
+`include "libs.ref/sky130_fd_sc_hvl/verilog/primitives.v"
+`include "libs.ref/sky130_fd_sc_hvl/verilog/sky130_fd_sc_hvl.v"
+
+`include "mgmt_soc.v"
+`include "housekeeping_spi.v"
+`include "digital_pll.v"
+`include "caravel_clocking.v"
+`include "mgmt_core.v"
+`include "mgmt_protect.v"
+`include "mprj_io.v"
+`include "chip_io.v"
+`include "user_id_programming.v"
+`include "user_project_wrapper.v"
+`include "gpio_control_block.v"
+`include "clock_div.v"
+`include "simple_por.v"
+`include "storage_bridge_wb.v"
+`include "DFFRAM.v"
+`include "DFFRAMBB.v"
+`include "sram_1rw1r_32_256_8_sky130.v"
+`include "storage.v"
+
+/*------------------------------*/
+/* Include user project here	*/
+/*------------------------------*/
+`include "user_proj_example.v"
+
+// `ifdef USE_OPENRAM
+//     `include "sram_1rw1r_32_256_8_sky130.v"
+// `endif
+
+module caravel (
+    inout vddio,	// Common 3.3V padframe/ESD power
+    inout vssio,	// Common padframe/ESD ground
+    inout vdda,		// Management 3.3V power
+    inout vssa,		// Common analog ground
+    inout vccd,		// Management/Common 1.8V power
+    inout vssd,		// Common digital ground
+    inout vdda1,	// User area 1 3.3V power
+    inout vdda2,	// User area 2 3.3V power
+    inout vssa1,	// User area 1 analog ground
+    inout vssa2,	// User area 2 analog ground
+    inout vccd1,	// User area 1 1.8V power
+    inout vccd2,	// User area 2 1.8V power
+    inout vssd1,	// User area 1 digital ground
+    inout vssd2,	// User area 2 digital ground
+
+    inout gpio,			// Used for external LDO control
+    inout [`MPRJ_IO_PADS-1:0] mprj_io,
+    output [`MPRJ_PWR_PADS-1:0] pwr_ctrl_out,
+    input clock,	    	// CMOS core clock input, not a crystal
+    input resetb,
+
+    // Note that only two pins are available on the flash so dual and
+    // quad flash modes are not available.
+
+    output flash_csb,
+    output flash_clk,
+    output flash_io0,
+    output flash_io1
+);
+
+    //------------------------------------------------------------
+    // This value is uniquely defined for each user project.
+    //------------------------------------------------------------
+    parameter USER_PROJECT_ID = 32'h0;
+
+    // These pins are overlaid on mprj_io space.  They have the function
+    // below when the management processor is in reset, or in the default
+    // configuration.  They are assigned to uses in the user space by the
+    // configuration program running off of the SPI flash.  Note that even
+    // when the user has taken control of these pins, they can be restored
+    // to the original use by setting the resetb pin low.  The SPI pins and
+    // UART pins can be connected directly to an FTDI chip as long as the
+    // FTDI chip sets these lines to high impedence (input function) at
+    // all times except when holding the chip in reset.
+
+    // JTAG      = mprj_io[0]		(inout)
+    // SDO 	 = mprj_io[1]		(output)
+    // SDI 	 = mprj_io[2]		(input)
+    // CSB 	 = mprj_io[3]		(input)
+    // SCK	 = mprj_io[4]		(input)
+    // ser_rx    = mprj_io[5]		(input)
+    // ser_tx    = mprj_io[6]		(output)
+    // irq 	 = mprj_io[7]		(input)
+
+    // These pins are reserved for any project that wants to incorporate
+    // its own processor and flash controller.  While a user project can
+    // technically use any available I/O pins for the purpose, these
+    // four pins connect to a pass-through mode from the SPI slave (pins
+    // 1-4 above) so that any SPI flash connected to these specific pins
+    // can be accessed through the SPI slave even when the processor is in
+    // reset.
+
+    // user_flash_csb = mprj_io[8]
+    // user_flash_sck = mprj_io[9]
+    // user_flash_io0 = mprj_io[10]
+    // user_flash_io1 = mprj_io[11]
+
+    // One-bit GPIO dedicated to management SoC (outside of user control)
+    wire gpio_out_core;
+    wire gpio_in_core;
+    wire gpio_mode0_core;
+    wire gpio_mode1_core;
+    wire gpio_outenb_core;
+    wire gpio_inenb_core;
+
+    // User Project Control (pad-facing)
+    wire mprj_io_loader_resetn;
+    wire mprj_io_loader_clock;
+    wire mprj_io_loader_data;
+
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_hldh_n;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_enh;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_inp_dis;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_oeb;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_ib_mode_sel;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_vtrip_sel;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_slow_sel;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_holdover;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_analog_en;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_analog_sel;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_analog_pol;
+    wire [`MPRJ_IO_PADS*3-1:0] mprj_io_dm;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_in;
+    wire [`MPRJ_IO_PADS-1:0] mprj_io_out;
+
+    // User Project Control (user-facing)
+    wire [`MPRJ_IO_PADS-1:0] user_io_oeb;
+    wire [`MPRJ_IO_PADS-1:0] user_io_in;
+    wire [`MPRJ_IO_PADS-1:0] user_io_out;
+
+    /* Padframe control signals */
+    wire [`MPRJ_IO_PADS-1:0] gpio_serial_link;
+    wire mgmt_serial_clock;
+    wire mgmt_serial_resetn;
+
+    // User Project Control management I/O
+    // There are two types of GPIO connections:
+    // (1) Full Bidirectional: Management connects to in, out, and oeb
+    //     Uses:  JTAG and SDO
+    // (2) Selectable bidirectional:  Management connects to in and out,
+    //	   which are tied together.  oeb is grounded (oeb from the
+    //	   configuration is used)
+
+    // SDI 	 = mprj_io[2]		(input)
+    // CSB 	 = mprj_io[3]		(input)
+    // SCK	 = mprj_io[4]		(input)
+    // ser_rx    = mprj_io[5]		(input)
+    // ser_tx    = mprj_io[6]		(output)
+    // irq 	 = mprj_io[7]		(input)
+
+    wire [`MPRJ_IO_PADS-1:0] mgmt_io_in;
+    wire jtag_out, sdo_out; 		
+    wire jtag_outenb, sdo_outenb; 
+
+    wire [`MPRJ_IO_PADS-3:0] mgmt_io_nc1;	/* no-connects */
+    wire [`MPRJ_IO_PADS-3:0] mgmt_io_nc3;	/* no-connects */
+    wire [1:0] mgmt_io_nc2;			/* no-connects */
+
+    // Power-on-reset signal.  The reset pad generates the sense-inverted
+    // reset at 3.3V.  The 1.8V signal and the inverted 1.8V signal are
+    // derived.
+
+    wire porb_h;
+    wire porb_l;
+
+    wire rstb_h;
+    wire rstb_l;
+
+    // To be considered:  Master hold signal on all user pads (?)
+    // For now, set holdh_n to 1 (NOTE:  This is in the 3.3V domain)
+    // and setting enh to porb_h.
+    assign mprj_io_hldh_n = {`MPRJ_IO_PADS{vddio}};
+    assign mprj_io_enh = {`MPRJ_IO_PADS{porb_h}};
+
+    chip_io padframe(
+	// Package Pins
+	.vddio(vddio),
+	.vssio(vssio),
+	.vdda(vdda),
+	.vssa(vssa),
+	.vccd(vccd),
+	.vssd(vssd),
+	.vdda1(vdda1),
+	.vdda2(vdda2),
+	.vssa1(vssa1),
+	.vssa2(vssa2),
+	.vccd1(vccd1),
+	.vccd2(vccd2),
+	.vssd1(vssd1),
+	.vssd2(vssd2),
+
+	.gpio(gpio),
+	.mprj_io(mprj_io),
+	.clock(clock),
+	.resetb(resetb),
+	.flash_csb(flash_csb),
+	.flash_clk(flash_clk),
+	.flash_io0(flash_io0),
+	.flash_io1(flash_io1),
+	// SoC Core Interface
+	.porb_h(porb_h),
+	.resetb_core_h(rstb_h),
+	.clock_core(clock_core),
+	.gpio_out_core(gpio_out_core),
+	.gpio_in_core(gpio_in_core),
+	.gpio_mode0_core(gpio_mode0_core),
+	.gpio_mode1_core(gpio_mode1_core),
+	.gpio_outenb_core(gpio_outenb_core),
+	.gpio_inenb_core(gpio_inenb_core),
+	.flash_csb_core(flash_csb_core),
+	.flash_clk_core(flash_clk_core),
+	.flash_csb_oeb_core(flash_csb_oeb_core),
+	.flash_clk_oeb_core(flash_clk_oeb_core),
+	.flash_io0_oeb_core(flash_io0_oeb_core),
+	.flash_io1_oeb_core(flash_io1_oeb_core),
+	.flash_csb_ieb_core(flash_csb_ieb_core),
+	.flash_clk_ieb_core(flash_clk_ieb_core),
+	.flash_io0_ieb_core(flash_io0_ieb_core),
+	.flash_io1_ieb_core(flash_io1_ieb_core),
+	.flash_io0_do_core(flash_io0_do_core),
+	.flash_io1_do_core(flash_io1_do_core),
+	.flash_io0_di_core(flash_io0_di_core),
+	.flash_io1_di_core(flash_io1_di_core),
+	.por(~porb_l),
+	.mprj_io_in(mprj_io_in),
+	.mprj_io_out(mprj_io_out),
+	.mprj_io_oeb(mprj_io_oeb),
+	.mprj_io_hldh_n(mprj_io_hldh_n),
+	.mprj_io_enh(mprj_io_enh),
+	.mprj_io_inp_dis(mprj_io_inp_dis),
+	.mprj_io_ib_mode_sel(mprj_io_ib_mode_sel),
+	.mprj_io_vtrip_sel(mprj_io_vtrip_sel),
+	.mprj_io_slow_sel(mprj_io_slow_sel),
+	.mprj_io_holdover(mprj_io_holdover),
+	.mprj_io_analog_en(mprj_io_analog_en),
+	.mprj_io_analog_sel(mprj_io_analog_sel),
+	.mprj_io_analog_pol(mprj_io_analog_pol),
+	.mprj_io_dm(mprj_io_dm)
+    );
+
+    // SoC core
+    wire caravel_clk;
+    wire caravel_clk2;
+    wire caravel_rstn;
+
+    wire [7:0] spi_ro_config_core;
+
+    // LA signals
+    wire [127:0] la_output_core;   // From CPU to MPRJ
+    wire [127:0] la_data_in_mprj;  // From CPU to MPRJ
+    wire [127:0] la_data_out_mprj; // From CPU to MPRJ
+    wire [127:0] la_output_mprj;   // From MPRJ to CPU
+    wire [127:0] la_oen;           // LA output enable from CPU perspective (active-low) 
+	
+    // WB MI A (User Project)
+    wire mprj_cyc_o_core;
+    wire mprj_stb_o_core;
+    wire mprj_we_o_core;
+    wire [3:0] mprj_sel_o_core;
+    wire [31:0] mprj_adr_o_core;
+    wire [31:0] mprj_dat_o_core;
+    wire mprj_ack_i_core;
+    wire [31:0] mprj_dat_i_core;
+
+    // WB MI B (xbar)
+    wire xbar_cyc_o_core;
+    wire xbar_stb_o_core;
+    wire xbar_we_o_core;
+    wire [3:0] xbar_sel_o_core;
+    wire [31:0] xbar_adr_o_core;
+    wire [31:0] xbar_dat_o_core;
+    wire xbar_ack_i_core;
+    wire [31:0] xbar_dat_i_core;
+
+    // Mask revision
+    wire [31:0] mask_rev;
+
+	wire 	    mprj_clock;
+	wire 	    mprj_clock2;
+	wire 	    mprj_resetn;
+	wire 	    mprj_cyc_o_user;
+	wire 	    mprj_stb_o_user;
+	wire 	    mprj_we_o_user;
+	wire [3:0]  mprj_sel_o_user;
+	wire [31:0] mprj_adr_o_user;
+	wire [31:0] mprj_dat_o_user;
+	wire	    mprj_vcc_pwrgood;
+	wire	    mprj2_vcc_pwrgood;
+	wire	    mprj_vdd_pwrgood;
+	wire	    mprj2_vdd_pwrgood;
+
+	// Storage area
+	// Management R/W interface 
+	wire [`RAM_BLOCKS-1:0] mgmt_ena; 
+    wire [`RAM_BLOCKS-1:0] mgmt_wen;
+    wire [(`RAM_BLOCKS*4)-1:0] mgmt_wen_mask;
+    wire [7:0] mgmt_addr;
+    wire [31:0] mgmt_wdata;
+    wire [(`RAM_BLOCKS*32)-1:0] mgmt_rdata;
+	// Management RO interface
+	wire mgmt_ena_ro; 
+    wire [7:0] mgmt_addr_ro;
+    wire [31:0] mgmt_rdata_ro;
+
+    mgmt_core soc (
+	`ifdef USE_POWER_PINS
+		.vdd1v8(vccd),
+		.vss(vssa),
+	`endif
+		// GPIO (1 pin)
+		.gpio_out_pad(gpio_out_core),
+		.gpio_in_pad(gpio_in_core),
+		.gpio_mode0_pad(gpio_mode0_core),
+		.gpio_mode1_pad(gpio_mode1_core),
+		.gpio_outenb_pad(gpio_outenb_core),
+		.gpio_inenb_pad(gpio_inenb_core),
+		// Primary SPI flash controller
+		.flash_csb(flash_csb_core),
+		.flash_clk(flash_clk_core),
+		.flash_csb_oeb(flash_csb_oeb_core),
+		.flash_clk_oeb(flash_clk_oeb_core),
+		.flash_io0_oeb(flash_io0_oeb_core),
+		.flash_io1_oeb(flash_io1_oeb_core),
+		.flash_csb_ieb(flash_csb_ieb_core),
+		.flash_clk_ieb(flash_clk_ieb_core),
+		.flash_io0_ieb(flash_io0_ieb_core),
+		.flash_io1_ieb(flash_io1_ieb_core),
+		.flash_io0_do(flash_io0_do_core),
+		.flash_io1_do(flash_io1_do_core),
+		.flash_io0_di(flash_io0_di_core),
+		.flash_io1_di(flash_io1_di_core),
+		// Master Reset
+		.resetb(rstb_l),
+		.porb(porb_l),
+		// Clocks and reset
+		.clock(clock_core),
+        	.core_clk(caravel_clk),
+        	.user_clk(caravel_clk2),
+        	.core_rstn(caravel_rstn),
+		// Logic Analyzer 
+		.la_input(la_data_out_mprj),
+		.la_output(la_output_core),
+		.la_oen(la_oen),
+		// User Project IO Control
+		.mprj_vcc_pwrgood(mprj_vcc_pwrgood),
+		.mprj2_vcc_pwrgood(mprj2_vcc_pwrgood),
+		.mprj_vdd_pwrgood(mprj_vdd_pwrgood),
+		.mprj2_vdd_pwrgood(mprj2_vdd_pwrgood),
+		.mprj_io_loader_resetn(mprj_io_loader_resetn),
+		.mprj_io_loader_clock(mprj_io_loader_clock),
+		.mprj_io_loader_data(mprj_io_loader_data),
+		.mgmt_in_data(mgmt_io_in),
+		.mgmt_out_data({mgmt_io_in[(`MPRJ_IO_PADS-1):2], mgmt_io_nc2}),
+		.pwr_ctrl_out(pwr_ctrl_out),
+		.sdo_out(sdo_out),
+		.sdo_outenb(sdo_outenb),
+		.jtag_out(jtag_out),
+		.jtag_outenb(jtag_outenb),
+		// User Project Slave ports (WB MI A)
+		.mprj_cyc_o(mprj_cyc_o_core),
+		.mprj_stb_o(mprj_stb_o_core),
+		.mprj_we_o(mprj_we_o_core),
+		.mprj_sel_o(mprj_sel_o_core),
+		.mprj_adr_o(mprj_adr_o_core),
+		.mprj_dat_o(mprj_dat_o_core),
+		.mprj_ack_i(mprj_ack_i_core),
+		.mprj_dat_i(mprj_dat_i_core),
+		// mask data
+		.mask_rev(mask_rev),
+		// MGMT area R/W interface 
+    	.mgmt_ena(mgmt_ena), 
+    	.mgmt_wen_mask(mgmt_wen_mask),
+    	.mgmt_wen(mgmt_wen),
+    	.mgmt_addr(mgmt_addr),
+    	.mgmt_wdata(mgmt_wdata),
+    	.mgmt_rdata(mgmt_rdata),
+    	// MGMT area RO interface
+    	.mgmt_ena_ro(mgmt_ena_ro),
+    	.mgmt_addr_ro(mgmt_addr_ro),
+    	.mgmt_rdata_ro(mgmt_rdata_ro)
+    	);
+
+	/* Clock and reset to user space are passed through a tristate	*/
+	/* buffer like the above, but since they are intended to be	*/
+	/* always active, connect the enable to the logic-1 output from	*/
+	/* the vccd1 domain.						*/
+
+	mgmt_protect mgmt_buffers (
+		.vccd(vccd),
+		.vssd(vssd),
+		.vccd1(vccd1),
+		.vssd1(vssd1),
+		.vdda1(vdda1),
+		.vssa1(vssa1),
+		.vdda2(vdda2),
+		.vssa2(vssa2),
+
+		.caravel_clk(caravel_clk),
+		.caravel_clk2(caravel_clk2),
+		.caravel_rstn(caravel_rstn),
+		.mprj_cyc_o_core(mprj_cyc_o_core),
+		.mprj_stb_o_core(mprj_stb_o_core),
+		.mprj_we_o_core(mprj_we_o_core),
+		.mprj_sel_o_core(mprj_sel_o_core),
+		.mprj_adr_o_core(mprj_adr_o_core),
+		.mprj_dat_o_core(mprj_dat_o_core),
+		.la_output_core(la_output_core),
+		.la_oen(la_oen),
+
+		.user_clock(mprj_clock),
+		.user_clock2(mprj_clock2),
+		.user_resetn(mprj_resetn),
+		.mprj_cyc_o_user(mprj_cyc_o_user),
+		.mprj_stb_o_user(mprj_stb_o_user),
+		.mprj_we_o_user(mprj_we_o_user),
+		.mprj_sel_o_user(mprj_sel_o_user),
+		.mprj_adr_o_user(mprj_adr_o_user),
+		.mprj_dat_o_user(mprj_dat_o_user),
+		.la_data_in_mprj(la_data_in_mprj),
+		.user1_vcc_powergood(mprj_vcc_pwrgood),
+		.user2_vcc_powergood(mprj2_vcc_pwrgood),
+		.user1_vdd_powergood(mprj_vdd_pwrgood),
+		.user2_vdd_powergood(mprj2_vdd_pwrgood)
+	);
+
+	
+	/*----------------------------------------------*/
+	/* Wrapper module around the user project 	*/
+	/*----------------------------------------------*/
+
+	user_project_wrapper mprj ( 
+		.vdda1(vdda1),	// User area 1 3.3V power
+		.vdda2(vdda2),	// User area 2 3.3V power
+		.vssa1(vssa1),	// User area 1 analog ground
+		.vssa2(vssa2),	// User area 2 analog ground
+		.vccd1(vccd1),	// User area 1 1.8V power
+		.vccd2(vccd2),	// User area 2 1.8V power
+		.vssd1(vssd1),	// User area 1 digital ground
+		.vssd2(vssd2),	// User area 2 digital ground
+
+    		.wb_clk_i(mprj_clock),
+    		.wb_rst_i(!mprj_resetn),
+		// MGMT SoC Wishbone Slave 
+		.wbs_cyc_i(mprj_cyc_o_user),
+		.wbs_stb_i(mprj_stb_o_user),
+		.wbs_we_i(mprj_we_o_user),
+		.wbs_sel_i(mprj_sel_o_user),
+	    	.wbs_adr_i(mprj_adr_o_user),
+		.wbs_dat_i(mprj_dat_o_user),
+	    	.wbs_ack_o(mprj_ack_i_core),
+		.wbs_dat_o(mprj_dat_i_core),
+		// Logic Analyzer
+		.la_data_in(la_data_in_mprj),
+		.la_data_out(la_data_out_mprj),
+		.la_oen (la_oen),
+		// IO Pads
+		.io_in (user_io_in),
+    		.io_out(user_io_out),
+    		.io_oeb(user_io_oeb),
+		// Independent clock
+		.user_clock2(mprj_clock2)
+	);
+
+	/*--------------------------------------*/
+	/* End user project instantiation	*/
+	/*--------------------------------------*/
+
+    wire [`MPRJ_IO_PADS-1:0] gpio_serial_link_shifted;
+
+    assign gpio_serial_link_shifted = {gpio_serial_link[`MPRJ_IO_PADS-2:0], mprj_io_loader_data};
+
+    // Each control block sits next to an I/O pad in the user area.
+    // It gets input through a serial chain from the previous control
+    // block and passes it to the next control block.  Due to the nature
+    // of the shift register, bits are presented in reverse, as the first
+    // bit in ends up as the last bit of the last I/O pad control block.
+
+    // There are two types of block;  the first two are configured to be
+    // full bidirectional under control of the management Soc (JTAG and
+    // SDO).  The rest are configured to be default (input).
+
+    gpio_control_block #(
+	.DM_INIT(3'b110),	// Mode = output, strong up/down
+	.OENB_INIT(1'b1)	// Enable output signaling from wire
+    ) gpio_control_bidir [1:0] (
+    	`ifdef USE_POWER_PINS
+			.vccd(vccd),
+			.vssd(vssd),
+			.vccd1(vccd1),
+			.vssd1(vssd1),
+        `endif
+
+    	// Management Soc-facing signals
+
+    	.resetn(mprj_io_loader_resetn),
+    	.serial_clock(mprj_io_loader_clock),
+
+    	.mgmt_gpio_in(mgmt_io_in[1:0]),
+	.mgmt_gpio_out({sdo_out, jtag_out}),
+	.mgmt_gpio_oeb({sdo_outenb, jtag_outenb}),
+
+    	// Serial data chain for pad configuration
+    	.serial_data_in(gpio_serial_link_shifted[1:0]),
+    	.serial_data_out(gpio_serial_link[1:0]),
+
+    	// User-facing signals
+    	.user_gpio_out(user_io_out[1:0]),
+    	.user_gpio_oeb(user_io_oeb[1:0]),
+    	.user_gpio_in(user_io_in[1:0]),
+
+    	// Pad-facing signals (Pad GPIOv2)
+    	.pad_gpio_inenb(mprj_io_inp_dis[1:0]),
+    	.pad_gpio_ib_mode_sel(mprj_io_ib_mode_sel[1:0]),
+    	.pad_gpio_vtrip_sel(mprj_io_vtrip_sel[1:0]),
+    	.pad_gpio_slow_sel(mprj_io_slow_sel[1:0]),
+    	.pad_gpio_holdover(mprj_io_holdover[1:0]),
+    	.pad_gpio_ana_en(mprj_io_analog_en[1:0]),
+    	.pad_gpio_ana_sel(mprj_io_analog_sel[1:0]),
+    	.pad_gpio_ana_pol(mprj_io_analog_pol[1:0]),
+    	.pad_gpio_dm(mprj_io_dm[5:0]),
+    	.pad_gpio_outenb(mprj_io_oeb[1:0]),
+    	.pad_gpio_out(mprj_io_out[1:0]),
+    	.pad_gpio_in(mprj_io_in[1:0])
+    );
+
+    gpio_control_block gpio_control_in [`MPRJ_IO_PADS-1:2] (
+    	`ifdef USE_POWER_PINS
+            .vccd(vccd),
+			.vssd(vssd),
+			.vccd1(vccd1),
+			.vssd1(vssd1),
+        `endif
+
+    	// Management Soc-facing signals
+
+    	.resetn(mprj_io_loader_resetn),
+    	.serial_clock(mprj_io_loader_clock),
+
+	.mgmt_gpio_in(mgmt_io_in[(`MPRJ_IO_PADS-1):2]),
+	.mgmt_gpio_out(mgmt_io_in[(`MPRJ_IO_PADS-1):2]),
+	.mgmt_gpio_oeb(1'b1),
+
+    	// Serial data chain for pad configuration
+    	.serial_data_in(gpio_serial_link_shifted[(`MPRJ_IO_PADS-1):2]),
+    	.serial_data_out(gpio_serial_link[(`MPRJ_IO_PADS-1):2]),
+
+    	// User-facing signals
+    	.user_gpio_out(user_io_out[(`MPRJ_IO_PADS-1):2]),
+    	.user_gpio_oeb(user_io_oeb[(`MPRJ_IO_PADS-1):2]),
+    	.user_gpio_in(user_io_in[(`MPRJ_IO_PADS-1):2]),
+
+    	// Pad-facing signals (Pad GPIOv2)
+    	.pad_gpio_inenb(mprj_io_inp_dis[(`MPRJ_IO_PADS-1):2]),
+    	.pad_gpio_ib_mode_sel(mprj_io_ib_mode_sel[(`MPRJ_IO_PADS-1):2]),
+    	.pad_gpio_vtrip_sel(mprj_io_vtrip_sel[(`MPRJ_IO_PADS-1):2]),
+    	.pad_gpio_slow_sel(mprj_io_slow_sel[(`MPRJ_IO_PADS-1):2]),
+    	.pad_gpio_holdover(mprj_io_holdover[(`MPRJ_IO_PADS-1):2]),
+    	.pad_gpio_ana_en(mprj_io_analog_en[(`MPRJ_IO_PADS-1):2]),
+    	.pad_gpio_ana_sel(mprj_io_analog_sel[(`MPRJ_IO_PADS-1):2]),
+    	.pad_gpio_ana_pol(mprj_io_analog_pol[(`MPRJ_IO_PADS-1):2]),
+    	.pad_gpio_dm(mprj_io_dm[(`MPRJ_IO_PADS*3-1):6]),
+    	.pad_gpio_outenb(mprj_io_oeb[(`MPRJ_IO_PADS-1):2]),
+    	.pad_gpio_out(mprj_io_out[(`MPRJ_IO_PADS-1):2]),
+    	.pad_gpio_in(mprj_io_in[(`MPRJ_IO_PADS-1):2])
+    );
+
+    sky130_fd_sc_hvl__lsbufhv2lv porb_level (
+		.VPWR(vddio),
+		.VPB(vddio),
+		.LVPWR(vccd),
+		.VNB(vssio),
+		.VGND(vssio),
+		.A(porb_h),
+		.X(porb_l)
+    );
+
+    user_id_programming #(
+	.USER_PROJECT_ID(USER_PROJECT_ID)
+    ) user_id_value (
+	.vdd1v8(vccd),
+	.vss(vssd),
+	.mask_rev(mask_rev)
+    );
+
+    // Power-on-reset circuit
+    simple_por por (
+		.vdd3v3(vddio),
+		.vss(vssio),
+		.porb_h(porb_h)
+    );
+
+    // XRES (chip input pin reset) reset level converter
+    sky130_fd_sc_hvl__lsbufhv2lv rstb_level (
+		.VPWR(vddio),
+		.VPB(vddio),
+		.LVPWR(vccd),
+		.VNB(vssio),
+		.VGND(vssio),
+		.A(rstb_h),
+		.X(rstb_l)
+    );
+
+	// Storage area
+	storage storage(
+		.mgmt_clk(caravel_clk),
+        .mgmt_ena(mgmt_ena),
+        .mgmt_wen(mgmt_wen),
+        .mgmt_wen_mask(mgmt_wen_mask),
+        .mgmt_addr(mgmt_addr),
+        .mgmt_wdata(mgmt_wdata),
+        .mgmt_rdata(mgmt_rdata),
+        // Management RO interface  
+        .mgmt_ena_ro(mgmt_ena_ro),
+        .mgmt_addr_ro(mgmt_addr_ro),
+        .mgmt_rdata_ro(mgmt_rdata_ro)
+	);
+
+endmodule